KR102528076B1 - 반도체 응용들을 위한 구조를 식각하기 위한 방법들 - Google Patents

반도체 응용들을 위한 구조를 식각하기 위한 방법들 Download PDF

Info

Publication number
KR102528076B1
KR102528076B1 KR1020217016313A KR20217016313A KR102528076B1 KR 102528076 B1 KR102528076 B1 KR 102528076B1 KR 1020217016313 A KR1020217016313 A KR 1020217016313A KR 20217016313 A KR20217016313 A KR 20217016313A KR 102528076 B1 KR102528076 B1 KR 102528076B1
Authority
KR
South Korea
Prior art keywords
conductive layer
substrate
flow rate
chlorine
gas mixture
Prior art date
Application number
KR1020217016313A
Other languages
English (en)
Other versions
KR20210068590A (ko
Inventor
하오 지앙
허 렌
하오 첸
메훌 비. 나이크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210068590A publication Critical patent/KR20210068590A/ko
Application granted granted Critical
Publication of KR102528076B1 publication Critical patent/KR102528076B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 개시내용의 실시예들은 기판 상에 배치된 막 스택에 피쳐들을 형성하고 패터닝하기 위한 방법들 및 장치를 제공한다. 일 실시예에서, 기판 상에 전도성 층을 패터닝하기 위한 방법은, 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 가스 혼합물을 제1 유량으로 공급하는 단계, 제1 전도성 층을 계속 식각하기 위해 제1 가스 혼합물의 염소 함유 가스를 제1 유량보다 낮은 제2 유량으로 낮추는 단계, 및 기판으로부터 제1 전도성 층을 제거하기 위해 제1 가스 혼합물의 염소 함유 가스를 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함한다.

Description

반도체 응용들을 위한 구조를 식각하기 위한 방법들
본 개시내용의 예들은 일반적으로, 막 스택에 구조를 형성하기 위한 증착 및 식각 프로세스에 관한 것이다. 특히, 본 개시내용의 실시예들은 반도체 응용들에서 상이한 물질들을 갖는 막 스택에 피쳐들을 형성하기 위한 다중 식각 프로세스를 위한 방법들을 제공한다.
집적 회로들(IC) 또는 칩들의 제조에서, 칩의 상이한 층들을 나타내는 패턴들이 칩 설계자에 의해 생성된다. 제조 프로세스 동안 각각의 칩 층의 설계를 반도체 기판 상에 전사하기 위해 이 패턴들로부터 일련의 재사용가능 마스크들 또는 포토마스크들이 생성된다. 마스크 패턴 생성 시스템들은 칩의 각각의 층의 설계를 각각의 마스크 상에 이미지화하는 데에 정밀 레이저들 또는 전자 빔들을 사용한다. 그 다음, 마스크들은 각각의 층에 대한 회로 패턴들을 반도체 기판 상에 전사하기 위해 음화들과 매우 유사하게 사용된다. 이 층들은 일련의 프로세스들을 사용하여 구축되고, 각각의 완성된 칩을 포함하는 전기 회로들 및 소형 트랜지스터들로 변환된다. 따라서, 마스크의 임의의 결함들은 칩에 전달될 수 있고, 성능에 잠재적으로 악영향을 미친다. 충분히 심각한 결함들은 마스크를 완전히 쓸모없게 할 수 있다. 전형적으로, 15 내지 100개의 마스크들의 세트가, 칩을 구성하는 데에 사용되며 반복적으로 사용될 수 있다.
임계 치수들(CD)의 축소로 인해, 현재의 광학 리소그래피는 45 나노미터(nm) 기술 노드에서 기술적 한계에 접근한다. 차세대 리소그래피(NGL)는 종래의 광학 리소그래피 방법, 예를 들어, 20 nm 기술 노드 및 그 너머의 것을 대체할 것으로 예상된다. 패터닝된 마스크의 이미지들은 고정밀 광학 시스템을 통해, 포토레지스트의 층으로 코팅되는 기판 표면 상에 투영된다. 그 다음, 패턴들이, 복잡한 화학 반응들 및 후속 제조 단계들, 예컨대, 현상, 노출 후 베이크 및 습식 또는 건식 식각 후에 기판 표면 상에 형성된다.
피쳐 밀도 및 정확도를 향상시키기 위해, 다중 증착 및 식각 프로세스가, 상이한 물질들을 갖는 구조들을 기판 상에 형성하는 데 폭넓게 사용되어 왔다. 그러나, 전기적 성능 요건이 계속 한계로 치닫기 때문에, 성능 요건을 만족시키기 위해, 상이한 특성들을 갖는 상이한 물질들이 구조에 종종 사용된다. 그러나, 상이한 물질들 사이의 높은 식각 선택성은 달성하기가 종종 어렵다. 불량한 식각 선택성은 종종, 식각 프로세스들로부터 초래되는 변형된 프로파일들, 높이 손실 또는 다른 결함들을 초래한다. 프로파일들의 그러한 변형은 디바이스 구조들의 타겟 물질들로의 피쳐 전사 및 임계 치수(CD) 제어에 상당히 영향을 미쳤다. 결과적으로, 바람직하지 않은 구조 프로파일들 및 부정확한 결과적인 치수들은 디바이스 성능의 조기 고장을 초래한다.
그러므로, 기판 상에 구조를 형성하기 위해 막 스택의 상이한 물질들 사이에서 높은 선택성을 갖는 식각 프로세스를 수행하기 위한 장치 및 방법들이 필요하다.
본 개시내용의 실시예들은 반도체 응용들을 위해 상이한 물질들을 갖는 구조를 식각하기 위한 방법들 및 장치를 제공한다. 일 실시예에서, 기판 상에 전도성 층을 패터닝하기 위한 방법은, 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 가스 혼합물을 제1 유량으로 공급하는 단계, 제1 전도성 층을 계속 식각하기 위해 제1 가스 혼합물의 염소 함유 가스를 제1 유량보다 낮은 제2 유량으로 낮추는 단계, 및 기판으로부터 제1 전도성 층을 제거하기 위해 제1 가스 혼합물의 염소 함유 가스를 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함한다.
다른 실시예에서, 기판 상에 전도성 층을 패터닝하기 위한 방법은 기판 상의 잔류물들을 제거하기 위해 기판에 대해 수소 처리 프로세스를 수행하는 단계, 제2 전도성 층이 기판 상에 노출될 때까지 기판 상의 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 식각 가스 혼합물을 공급함으로써 식각 프로세스를 수행하는 단계, 및 약 5 bar 내지 100 bar의 프로세스 압력으로 사후 어닐링 프로세스를 수행하는 단계를 포함한다.
또 다른 실시예에서, 기판 상에 전도성 층을 패터닝하기 위한 방법은 수소 처리 프로세스에 의해 기판 상으로부터 잔류물들을 제거하는 단계 - 잔류물들은 유기 물질을 포함하고, 기판은 제2 전도성 층 상에 배치된 제1 전도성 층을 포함함 -, 제2 전도성 층이 기판 상에 노출될 때까지, 염소 함유 가스를 포함하는 식각 가스 혼합물을 공급함으로써 제1 전도성 층을 식각하는 단계 - 식각 가스 혼합물의 염소 함유 가스는 제1 전도성 층을 식각하는 동안 제1 유량으로부터 제2 유량으로 그리고 추가로 제3 유량으로 유지됨 -, 및 기판 상의 제2 전도성 층을 열처리하기 위해 약 5 bar 내지 100 bar의 프로세스 압력으로 사후 어닐링 프로세스를 수행하는 단계를 포함한다.
본 개시내용의 위에서 언급된 특징들이 달성되고 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이, 첨부 도면들에 예시되는, 본 개시내용의 실시예들을 참조하여 이루어질 수 있다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 식각 프로세스를 수행하도록 구성된 처리 챔버의 개략적인 단면도이고;
도 2는 일부 실시예들에 따른 처리 챔버의 간략화된 정면 단면도이고;
도 3은 본 개시내용의 하나 이상의 실시예에 따른, 기판 상에 물질 층을 패터닝하기 위한 방법의 흐름도이고;
도 4a-4b, 5a-5b, 6a-6b, 7a-7b, 8a-8b, 9a-9b 및 10a-10b는 도 3의 식각 프로세스 동안 기판 상에 형성된 구조의 사시도들 및 단면도들을 예시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 예시적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
막 스택에 피쳐들을 패터닝하고 원하는 작은 치수들을 갖는 나노구조들을 제조하기 위한 방법들이 제공된다. 방법들은 막 스택의 다른 물질들을 실질적으로 손상시키지 않고 막 스택의 특정 물질들을 식각하기 위해 높은 식각 선택성을 갖는 식각 프로세스를 활용한다. 일 예에서, 식각 프로세스는 여러 절차들 또는 단계들을 포함한다. 예를 들어, 막 스택의 전도성 층을 식각하기 위해, 잔류물 제거 프로세스, 주 식각 프로세스 및 사후 어닐링 프로세스를 포함하는 3단계 프로세스가 수행된다. 또한, 주 식각 프로세스는 브레이킹 스루 프로세스, 벌크 식각 프로세스, 및 잔류물 세정 프로세스를 더 포함한다. 이러한 다수의 프로세스들은 막 스택의 다른 물질들을 실질적으로 공격하지 않고 막 스택의 타겟 물질, 예컨대, 전도성 층을 효율적으로 식각할 수 있다.
본원에서 사용되는 바와 같은 "기판"이라는 용어는 후속 처리 작동들을 위한 기초로서 역할하는 물질의 층을 지칭하고, 세정될 표면을 포함한다. 예를 들어, 기판은 규소 함유 물질들, IV 족 또는 Ⅲ-Ⅴ 족 함유 화합물들, 예컨대 Si, 폴리실리콘, 비정질 규소, 질화규소, 산질화규소, 산화규소, Ge, SiGe, GaAs, InP, InAs, GaAs, GaP, InGaAs, InGaAsP, GaSb, InSb 등, 또는 이들의 조합들을 함유하는 하나 이상의 물질을 포함할 수 있다. 또한, 기판은 유전체 물질들, 예컨대, 이산화규소, 유기실리케이트들, 및 탄소 도핑된 산화규소들을 또한 포함할 수 있다. 기판은 또한, 하나 이상의 전도성 금속, 예컨대, 니켈, 티타늄, 백금, 몰리브데넘, 레늄, 오스뮴, 크로뮴, 철, 알루미늄, 구리, 텅스텐, 또는 이들의 조합들을 포함할 수 있다. 또한, 기판은 응용에 따라, 임의의 다른 물질들, 예컨대, 금속 질화물들, 금속 산화물들, 및 금속 합금들을 포함할 수 있다. 하나 이상의 실시예에서, 기판은, 상부에 후속하여 형성되는 상호연결 피쳐, 예컨대, 플러그, 비아, 콘택, 라인 및 와이어, 또는 반도체 디바이스들에서 활용되는 적합한 구조들과의 연결을 용이하게 하기 위해, 콘택 구조, 금속 실리사이드 층, 또는 게이트 유전체 층 및 게이트 전극 층을 포함하는 게이트 구조를 형성할 수 있다.
더욱이, 기판은 임의의 특정 크기 또는 형상으로 제한되지 않는다. 기판은 200 mm 직경, 300 mm 직경, 450 mm 직경 또는 다른 직경들을 갖는 둥근 웨이퍼일 수 있다. 기판은 또한, 임의의 다각형, 정사각형, 직사각형, 곡선형 또는 다른 방식의 비-원형 작업물, 예컨대, 평판 디스플레이들의 제조에 사용되는 다각형 유리, 플라스틱 기판일 수 있다.
도 1은 처리 챔버(100)의 기판(400) 상에 배치된 물질 층을 식각하기에 적합한 예시적인 처리 챔버(100)에 대한 단순화된 단면도이다. 예시적인 처리 챔버(100)는 패터닝 프로세스를 수행하기에 적합하다. 본 개시내용으로부터 이익을 취하도록 적응될 수 있는 처리 챔버(100)의 일 예는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 메사®(MESA®) 식각 처리 챔버이다. 다른 제조사들로부터의 것들을 포함하는 다른 프로세스 챔버들이 본 개시내용의 실시예들을 실시하도록 적응될 수 있다는 것이 고려된다.
플라즈마 처리 챔버(100)는 내부에 한정된 챔버 용적(101)을 갖는 챔버 몸체(105)를 포함한다. 챔버 몸체(105)는 접지(126)에 결합된 측벽들(112) 및 바닥(118)을 갖는다. 측벽들(112)은 측벽들(112)을 보호하고 플라즈마 처리 챔버(100)의 유지보수 주기들 사이의 시간을 연장하기 위해 라이너(115)를 갖는다. 플라즈마 처리 챔버(100)의 챔버 몸체(105) 및 관련 구성요소들의 치수들은 제한되지 않으며, 일반적으로, 내부에서 처리될 기판(400)의 크기보다 비례적으로 더 크다. 기판 크기들의 예들은, 특히, 200 mm 직경, 250 mm 직경, 300 mm 직경, 및 450 mm 직경을 포함한다.
챔버 몸체(105)는 챔버 용적(101)을 에워싸기 위한 챔버 덮개 조립체(110)를 지지한다. 챔버 몸체(105)는 알루미늄 또는 다른 적합한 물질들로 제조될 수 있다. 기판 접근 포트(113)가, 플라즈마 처리 챔버(100) 안팎으로의 기판(400)의 이송을 용이하게 하기 위해, 챔버 몸체(105)의 측벽(112)을 통해 형성된다. 접근 포트(113)는 기판 처리 시스템(도시되지 않음)의 이송 챔버 및/또는 다른 챔버들에 결합될 수 있다.
펌핑 포트(145)는 챔버 몸체(105)의 측벽(112)을 통해 형성되고, 챔버 용적(101)에 연결된다. 펌핑 디바이스(도시되지 않음)는, 챔버 용적(101)을 배기하고 내부의 압력을 제어하기 위해 펌핑 포트(145)를 통해 챔버 용적(101)에 결합된다. 펌핑 디바이스는 하나 이상의 펌프 및 스로틀 밸브를 포함할 수 있다.
가스 패널(160)은 챔버 용적(101) 내에 프로세스 가스들을 공급하기 위해 가스 라인(167)에 의해 챔버 몸체(105)에 결합된다. 가스 패널(160)은 하나 이상의 프로세스 가스 공급원(161, 162, 163, 164)을 포함할 수 있고, 요구된다면, 불활성 가스들, 비반응성 가스들, 및 반응성 가스들을 추가적으로 포함할 수 있다. 가스 패널(160)에 의해 제공될 수 있는 프로세스 가스들의 예들은, 메탄(CH4)을 포함하는 탄화수소 함유 가스, 6불화황(SF6), 염화규소(SiCl4), 4불화탄소(CF4), 브로민화수소(HBr), 탄화수소 함유 가스, 아르곤 가스(Ar), 염소(Cl2), 질소(N2), 헬륨(He) 및 산소 가스(O2)를 포함하지만 이에 제한되지 않는다. 추가적으로, 프로세스 가스들은 질소, 염소, 플루오린, 산소 및 수소 함유 가스들, 예컨대, 특히, BCl3, C2F4, C4F8, C4F6, CHF3, CH2F2, CH3F, NF3, NH3, CO2, SO2, CO, N2, NO2, N2O 및 H2를 포함할 수 있다.
밸브들(166)은 가스 패널(160)의 공급원들(161, 162, 163, 164)로부터의 프로세스 가스들의 유동을 제어하고, 제어기(165)에 의해 관리된다. 가스 패널(160)로부터 챔버 몸체(105)에 공급되는 가스들의 유동은 가스들의 조합들을 포함할 수 있다.
덮개 조립체(110)는 노즐(114)을 포함할 수 있다. 노즐(114)은 프로세스 가스들을 가스 패널(160)의 공급원들(161, 162, 164, 163)로부터 챔버 용적(101) 내로 도입하기 위한 하나 이상의 포트를 갖는다. 프로세스 가스들이 플라즈마 처리 챔버(100) 내로 도입된 후, 가스들은 플라즈마를 형성하도록 에너지를 공급받는다. 안테나(148), 예컨대, 하나 이상의 인덕터 코일이 플라즈마 처리 챔버(100)에 인접하여 제공될 수 있다. 플라즈마 처리 챔버(100)의 챔버 용적(101)의 프로세스 가스로부터 형성되는 플라즈마를 유지하기 위해 에너지, 예컨대, RF 에너지를 프로세스 가스에 유도성 결합시키기 위해서, 안테나 전력 공급부(142)는 정합 회로(141)를 통해 안테나(148)에 전력을 공급할 수 있다. 안테나 전력 공급부(142)에 대안적으로, 또는 추가적으로, 챔버 용적(101) 내의 플라즈마를 유지하기 위해 RF 전력을 프로세스 가스들에 용량성 결합시키기 위해서, 기판(400) 아래 및/또는 기판(400) 위의 프로세스 전극들이 사용될 수 있다. 전력 공급부(142)의 작동은 제어기, 예컨대, 제어기(165)에 의해 제어될 수 있으며, 제어기는 또한, 플라즈마 처리 챔버(100)의 다른 구성요소들의 작동을 제어한다.
기판 지지 페디스털(135)은 처리 동안 기판(400)을 지지하기 위해 챔버 용적(101)에 배치된다. 기판 지지 페디스털(135)은 처리 동안 기판(400)을 유지하기 위한 정전 척(122)을 포함할 수 있다. 정전 척(ESC)(122)은 기판(400)을 기판 지지 페디스털(135)에 유지하기 위해 정전기 인력을 사용한다. ESC(122)는 정합 회로(124)와 통합된 RF 전력 공급부(125)에 의해 전력을 공급받는다. ESC(122)는 유전체 몸체 내에 내장된 전극(121)을 포함한다. 전극(121)은 RF 전력 공급부(125)에 결합되고, 바이어스를 제공하며, 바이어스는 챔버 용적(101)의 프로세스 가스들에 의해 형성되는 플라즈마 이온들을 ESC(122) 및 ESC 상에 위치된 기판(400)으로 끌어당긴다. RF 전력 공급부(125)는 기판(400)의 처리 동안 켜짐 및 꺼짐 주기를 돌거나 펄싱할 수 있다. ESC(122)는 ESC(122)의 유지보수 수명 주기를 연장시키기 위해, ESC(122)의 측벽을 플라즈마에 대해 인력을 덜 갖게 할 목적으로 아이솔레이터(128)를 갖는다. 추가적으로, 기판 지지 페디스털(135)은 기판 지지 페디스털(135)의 측벽들을 플라즈마 가스들로부터 보호하고 플라즈마 처리 챔버(100)의 유지보수 사이의 시간을 연장시키기 위해, 캐소드 라이너(136)를 가질 수 있다.
또한, 전극(121)은 전원(150)에 결합된다. 전원(150)은 약 200 볼트 내지 약 2000 볼트의 척킹 전압을 전극(121)에 제공한다. 전원(150)은 또한, 기판(400)을 척킹하고 척킹해제하기 위해 전극(121)에 DC 전류를 보냄으로써 전극(121)의 작동을 제어하기 위한 시스템 제어기를 포함할 수 있다.
ESC(122)는 기판을 가열하기 위해 ESC에 배치되고 전원(도시되지 않음)에 연결된 가열기들을 포함할 수 있는 한편, ESC(122)를 지지하는 냉각 베이스(129)는 ESC(122) 및 ESC 상에 배치된 기판(400)의 온도를 유지하기 위해 열 전달 유체를 순환시키기 위한 도관들을 포함할 수 있다. ESC(122)는 기판(400) 상에 제조되는 디바이스의 열 예산에 의해 요구되는 온도 범위에서 수행되도록 구성된다. 예를 들어, 특정 실시예들의 경우, ESC(122)는 기판(400)을 섭씨 약 -25 도 내지 섭씨 약 500도의 온도로 유지하도록 구성될 수 있다.
냉각 베이스(129)는 기판(400)의 온도를 제어하는 것을 보조하도록 제공된다. 프로세스 드리프트 및 시간을 경감시키기 위해, 기판(400)이 세정 챔버에 있는 시간 전체에 걸쳐, 기판(400)의 온도는 냉각 베이스(129)에 의해 실질적으로 일정하게 유지될 수 있다. 일 실시예에서, 기판(400)의 온도는 후속 세정 프로세스들 전체에 걸쳐 섭씨 약 30 내지 120 도로 유지된다.
커버 링(130)은 ESC(122) 상에, 그리고 기판 지지 페디스털(135)의 둘레를 따라 배치된다. 커버 링(130)은 식각 가스들을 기판(400)의 노출된 최상부 표면의 원하는 부분에 국한시키는 한편, 기판 지지 페디스털(135)의 최상부 표면을 플라즈마 처리 챔버(100) 내부의 플라즈마 환경으로부터 차폐하도록 구성된다. 이송 로봇(도시되지 않음) 또는 다른 적합한 이송 메커니즘에 의한 기판(400)으로의 접근을 용이하게 하기 위해, 기판 지지 페디스털(135) 위로 기판(400)을 들어 올리기 위해 리프트 핀들(도시되지 않음)이 기판 지지 페디스털(135)을 통해 선택적으로 이동된다.
제어기(165)는 프로세스 순서를 제어하고, 가스 패널(160)로부터 플라즈마 처리 챔버(100) 내로의 가스 유동들 및 다른 프로세스 파라미터들을 조절하는 데 활용될 수 있다. 소프트웨어 루틴들은, CPU에 의해 실행될 때, 프로세스들이 본 개시내용에 따라 수행되도록, CPU를 플라즈마 처리 챔버(100)를 제어하는 특정 목적 컴퓨터(제어기)로 변환한다. 소프트웨어 루틴들은 또한, 플라즈마 처리 챔버(100)와 병치되는 제2 제어기(도시되지 않음)에 의해 저장되고/거나 실행될 수 있다.
도 2는 단일 기판(400)의 고압 어닐링 프로세스를 위한 단일-기판 처리 챔버(200)의 간략화된 정면 단면도이다. 단일-기판 처리 챔버(200)는, 외측 표면(212), 및 내부 용적(215)을 에워싸는 내측 표면(213)을 갖는 몸체(210)를 갖는다. 도 2에서와 같은 일부 실시예들에서, 몸체(210)는 환형 단면을 갖지만, 다른 실시예들에서는 몸체(210)의 단면은 직사각형 또는 임의의 폐쇄 형상일 수 있다. 몸체(210)의 외측 표면(212)은 내부식성 강(CRS), 예컨대, 스테인리스 강(그러나 이에 제한되지 않음)으로 만들어질 수 있다. 단일-기판 처리 챔버(200)로부터 외부 환경으로의 열 손실을 방지하는 하나 이상의 열 차폐부(225)가 몸체(210)의 내측 표면(213) 상에 배치된다. 몸체(210)의 내측 표면(213)뿐만 아니라 열 차폐부들(225)은, 높은 내부식성을 보여주는 니켈 기재의 강철 합금들, 예컨대, 하스텔로이®(HASTELLOY®), 아이코넬®(ICONEL®), 및 모넬®(MONEL®)(그러나 이에 한정되지 않음)로 만들어질 수 있다.
기판 지지부(230)는 내부 용적(215) 내에 배치된다. 기판 지지부(230)는 스템(234) 및 스템(234)에 의해 유지되는 기판 지지 부재(232)를 갖는다. 스템(234)은 챔버 몸체(210)를 통해 형성된 통로(222)를 통과한다. 액추에이터(238)에 연결된 로드(239)는 챔버 몸체(210)를 통해 형성된 제2 통로(223)를 통과한다. 로드(239)는 기판 지지부(230)의 스템(234)을 수용하는 애퍼쳐(236)를 갖는 플레이트(235)에 결합된다. 리프트 핀들(237)은 기판 지지 부재(232)에 연결된다. 액추에이터(238)는 플레이트(235)가 리프트 핀들(237)과 연결되고 분리되기 위해 위 또는 아래로 이동되도록 로드(239)를 작동시킨다. 리프트 핀들(237)이 상승 또는 하강될 때, 기판 지지 부재(232)는 챔버(200)의 내부 용적(215) 내에서 상승 또는 하강된다. 기판 지지 부재(232)는 부재 내에 중앙에 내장된 저항성 가열 요소(231)를 갖는다. 전원(233)은 저항성 가열 요소(231)에 전기적으로 전력을 공급하도록 구성된다. 전원(233)뿐만 아니라 액추에이터(238)의 작동이 제어기(280)에 의해 제어된다.
단일-기판 처리 챔버(200)는 몸체(210) 상에 개구부(211)를 가지며, 개구부를 통해 하나 이상의 기판(400)이, 내부 용적(215)에 배치된 기판 지지부(230)로 로딩되고 그로부터 언로딩될 수 있다. 개구부(211)는 몸체(210) 상에 터널(221)을 형성한다. 슬릿 밸브(228)는, 슬릿 밸브(228)가 개방될 때만 개구부(211) 및 내부 용적(215)이 접근될 수 있도록 터널(221)을 밀봉가능하게 폐쇄하도록 구성된다. 고압 밀봉부(227)는 처리를 위해 내부 용적(215)을 밀봉하기 위해 슬릿 밸브(228)를 몸체(210)에 대해 밀봉하는 데에 활용된다. 고압 밀봉부(227)는 중합체, 예를 들어, 플루오로중합체, 예컨대, 퍼플루오로엘라스토머 및 폴리테트라플루오로에틸렌(PTFE)(그러나 이에 제한되지 않음)으로 만들어질 수 있다. 고압 밀봉부(227)는 밀봉 성능을 개선하기 위해 밀봉부를 편향시키기 위한 스프링 부재를 더 포함할 수 있다. 처리 동안 고압 밀봉부들(227)을 고압 밀봉부들(227)의 최대 안전 작동 온도 아래로 유지하기 위해, 냉각 채널(224)이 터널(221) 상에 고압 밀봉부들(227)에 인접하여 배치된다. 냉각 유체 공급원(226)으로부터의 냉각제, 예컨대, 비활성, 유전체, 및 고성능 열 전달 유체(그러나 이에 제한되지 않음)가 냉각 채널(224) 내에서 순환될 수 있다. 냉각 유체 공급원(226)으로부터의 냉각제의 유동은, 온도 센서(216) 또는 유동 센서(도시되지 않음)로부터 수신된 피드백을 통해 제어기(280)에 의해 제어된다. 슬릿 밸브(228)가 개방될 때 내부 용적(215)으로부터 개구부(211)를 통한 열의 유동을 방지하기 위해, 터널(221) 주위에 환형 형상의 열 초크(229)가 형성된다.
단일-기판 처리 챔버(200)는, 몸체(210)를 통하는 포트(217)를 가지며, 포트는 가스 패널(250), 응축기(260) 및 포트(217)를 연결하는 유체 회로(290)에 유체적으로 연결된다. 유체 회로(290)는 가스 도관(292), 공급원 도관(257), 유입구 격리 밸브(255), 배기 도관(263) 및 배출구 격리 밸브(265)를 갖는다. 다수의 가열기들(296, 258, 252, 254, 264, 266)은 유체 회로(290)의 상이한 부분들과 인터페이스로 연결된다. 온도 측정들을 취하고 정보를 제어기(280)에 전송하기 위해 다수의 온도 센서들(251, 253, 219, 267, 및 269)이 또한, 유체 회로(290)의 상이한 부분들에 배치된다. 제어기(280)는, 유체 회로(290)의 온도가, 유체 회로(290) 및 내부 용적(215)에 배치된 처리 유체의 응축점 위의 온도로 유지되도록 가열기들(252, 254, 258, 296, 264, 및 266)의 작동을 제어하기 위해 온도 측정 정보를 사용한다.
가스 패널(250)은 내부 용적(215)의 압력 하에서 처리 유체를 제공하도록 구성된다. 내부 용적(215) 내에 도입되는 처리 유체의 압력은 몸체(210)에 결합된 압력 센서(214)에 의해 모니터링된다. 응축기(260)는 냉각 유체 공급원(도시되지 않음)에 유체적으로 결합되고, 가스 도관(292)을 통해 내부 용적(215)을 빠져나가는 가스 상 처리 유체를 응축시키도록 구성된다. 그 다음, 응축된 처리 유체는 펌프(276)에 의해 제거된다. 하나 이상의 가열기(240)가 몸체(210) 상에 배치되고, 단일-기판 처리 챔버(200) 내의 내부 용적(215)을 가열하도록 구성된다. 가열기들(240, 252, 254, 258, 296, 264, 및 266)은 유체 회로(290) 내의 처리 유체를 가스 상으로 유지하면서, 유체 회로 내에서의 응축을 방지하기 위해 응축기(260)에 대한 배출구 격리 밸브(265)를 개방한다.
제어기(280)는 단일-기판 처리 챔버(200)의 작동을 제어한다. 제어기(280)는 가스 패널(250), 응축기(260), 펌프(270), 유입구 격리 밸브(255), 배출구 격리 밸브(265) 및 전원들(233 및 245)의 작동을 제어한다. 제어기(280)는 또한, 온도 센서(216), 압력 센서(214), 액추에이터(238), 냉각 유체 공급원(226) 및 온도 판독 디바이스들(256 및 262)에 통신가능하게 연결된다.
처리 유체는 산소 함유 및/또는 질소 함유 가스, 및/또는 칼코겐 또는 텔루륨(예컨대, S, Se, Te) 가스들 또는 증기들, 예컨대, 산소, 건조 스팀, 물, 과산화수소, 암모니아, S 증기, Se 증기, H2S, H2Se 등을 포함할 수 있다. 처리 유체는 금속 산질화물들, 금속 산화물들, 금속 옥시칼코게나이드들 또는 금속 칼코게나이드들을 형성하기 위해 기판 상의 금속 물질들과 반응될 수 있다. 산소 함유 가스 및/또는 질소 함유 가스에 추가적으로 또는 대안적으로, 처리 유체는 규소 함유 가스를 포함할 수 있다. 규소 함유 가스의 예들은 유기규소, 테트라알킬 오르토실리케이트 가스들 및 디실록산을 포함한다. 유기규소 가스들은 적어도 하나의 탄소-규소 결합을 갖는 유기 화합물들의 가스들을 포함한다. 테트라알킬 오르토실리케이트 가스들은 SiO4 4- 이온에 부착된 4개의 알킬 기들로 구성된 가스들을 포함한다. 더 구체적으로, 하나 이상의 가스는 (디메틸실릴)(트리메틸실릴)메탄((Me)3SiCH2SiH(Me)2), 헥사메틸디실란((Me)3SiSi(Me)3), 트리메틸실란((Me)3SiH), 트리메틸실릴클로라이드((Me)3SiCl), 테트라메틸실란((Me)4Si), 테트라에톡시실란((EtO)4Si), 테트라메톡시실란((MeO)4Si), 테트라키스-(트리메틸실릴)실란((Me3Si)4Si), (디메틸아미노)디메틸-실란((Me2N)SiHMe2), 디메틸디에톡시실란((EtO)2Si(Me)2), 디메틸-디메톡시실란((MeO)2Si(Me)2), 메틸트리메톡시실란((MeO)3Si(Me)), 디메톡시테트라메틸-디실록산(((Me)2Si(OMe))2O), 트리스(디메틸아미노)실란((Me2N)3SiH), 비스(디메틸아미노)메틸실란((Me2N)2CH3SiH), 디실록산((SiH3)2O), 및 그의 조합들일 수 있다.
기판(400)의 처리 동안, 내부 용적(215)의 환경은 고압 영역 내의 처리 유체를 증기상으로 유지하는 온도 및 압력으로 유지된다. 그러한 압력 및 온도는 처리 유체의 조성에 기초하여 선택된다. 스팀의 경우, 온도 및 압력은 스팀을 건조 스팀 상태로 유지하는 조건으로 유지된다. 일 예에서, 내부 용적(215)은 대기압 초과의 압력, 예를 들어, 약 2 bar 초과의 압력까지 가압된다. 다른 예에서, 내부 용적(215)은 약 10 내지 약 50 bar, 예컨대, 약 20 내지 약 50 bar의 압력까지 가압된다. 다른 예에서, 내부 용적(215)은 최대 약 100 bar의 압력까지 가압된다. 처리 동안, 내부 용적(215)은 또한, 고온, 예를 들어, 섭씨 225 도를 초과하는 온도(기판 지지 부재(132) 상에 배치된 기판들(400)의 열 예산에 의해 제한됨), 예컨대, 섭씨 약 300 도 내지 섭씨 약 500 도로 유지된다.
도 3은 기판 상에 구조를 형성하는 데 활용되는 막 스택의 물질 층(또는 전도성 층이라 불림)을 식각하기 위한 식각 프로세스를 위한 방법(300)의 일 예의 흐름도이다. 물질 층은 막 스택에 배치된 인근 물질들과 상이한 막 특성들을 가질 수 있다. 도 4a-4b, 5a-5b, 6a-6b, 7a-7b, 8a-8b, 9a-9b 및 10a-10b는, 방법(300)의 다양한 스테이지들에 대응하는, 기판(400) 상에 형성된 막 스택(450)을 갖는 기판(400)의 부분의 사시도들 및 단면도들이다. "a" 표시로 끝나는 도면들은 처리의 다양한 예들에서의 사시도들을 예시한다. "b" 표시로 끝나는 도면들은, 단면(A-A')에 대응하는, 처리의 다양한 예들에서의 단면도들을 예시한다. 일부 도면들에서, 도면에 예시된 구성요소들 또는 피쳐들의 일부 참조 번호들은 다른 구성요소들 또는 피쳐들을 모호하게 하는 것을 회피하기 위하여 생략될 수도 있고; 이는 도면들을 용이하게 도시하기 위한 것이다. 방법(300)은 진보된 반도체 응용들을 위해 백 엔드 구조, 예컨대, 이중 다마신 구조를 형성하는 데 활용될 수 있다.
방법(300)은 기판(400) 상에 형성된 막 스택(450)을 갖는 기판(400)을 제공함으로써 작동(302)에서 시작한다. 막 스택(450)은 기판(400)과 막 스택(450) 사이에 배치된 계면 층(402)을 포함한다. 일 실시예에서, 계면 층(402)은 막 스택(450)과 기판(400)을 가교할 수 있는 임의의 적합한 물질들일 수 있다. 일부 예들에서, 계면 층(402)은, 계면 층 상에 형성된 상이한 구조들, 예컨대, 필요에 따라 계면 층에 형성된 프론트 엔드 구조, 백 엔드 구조, 콘택 구조, 금속 전도성 구조들, 절연 구조들 등을 포함할 수 있다. 계면 층(402)은 필요에 따라 전도성 층 또는 유전체 층일 수 있다. 막 스택(450)이 기판(400)에 대한 양호한 계면 접착을 갖는 일부 실시예에서, 계면 층(402)은 제거될 수 있다.
막 스택(450)은 제1 전도성 층(404), 제2 전도성 층(406), 제3 전도성 층(408) 및 제4 전도성 층(410)을 포함한다. 제1 및 제3 전도성 층들(404, 408)은 유사하거나 동일한 물질로 만들어질 수 있는 한편, 제2 및 제4 전도성 층들(406, 410)은 유사하거나 동일한 물질로 만들어질 수 있다. 다시 말해서, 제3 및 제4 전도성 층들(408, 410)은 제1 및 제2 전도성 층들(404, 406)의 반복 쌍이다. 도 4a-4b에 전도성 층들의 2개의 쌍들만이 도시되어 있지만, 전도성 층들(404, 406 또는 408, 410)의 쌍들은 필요에 따라 임의의 개수로 형성될 수 있다는 것을 주목한다. 일 예에서, 제1 및 제3 전도성 층들(404, 408)은 Ru 함유 층, Mo 함유 층, NiSi 함유 층 등이며, 제2 및 제4 전도성 층들(406, 410)은 Ti 함유 층, Ta 함유 층, TiN 함유 층, TaN 함유 층, TiON 함유 층, TaON 함유 층, TiO 함유 층, TiN 함유 층 등이다. 전도성 층들(404, 406, 408, 410)은 MOCVD 프로세스, PECVD, 유동성 CVD, PVD, ALD, 또는 임의의 적합한 증착 기법들에 의해 형성될 수 있다.
그 다음, 유전체 층(412)이 제4 전도성 층(410) 상에 형성된다. 유전체 층(412)은 필요에 따라 산화규소, 질화규소, 산질화규소(SiON), 산탄화규소(SiOC), 또는 비정질 탄소 물질들일 수 있다. 그 다음, 패터닝된 포토레지스트 층(416)과 함께 제1 희생 층(414)이 유전체 층(412) 상에 형성된다. 제1 희생 층(414)은 유전체 층(412) 상에 스핀-온-코팅된 유기 중합체 물질일 수 있다. 제1 희생 층(414)은 탄화수소 화합물들로 구성된 유기 중합체 물질일 수 있다. 단량체 또는 중합체 물질은 화학식(CxHyOz)을 가질 수 있고, 여기서 x, y 및 z는 정수들이다.
제1 희생 층(414)은 제1 희생 층(414)에 대해 실질적으로 평면인 최상부 표면을 생성하기에 충분한 두께로 비평면 기판 표면 상에 스핀-온-코팅될 수 있다. 도 4a-4b에 도시된 실시예에서, 제1 희생 층(414)은 패터닝을 위해 준비된 유전체 층(412)의 실질적으로 평면인 표면 상으로 스핀-온-코팅된다. 제1 희생 층(414)의 적합한 예들은 포토레지스트 물질, 스핀-온-글라스(SOG) 물질들 등을 포함한다. 일 예에서, 포토레지스트 물질은 포지티브 톤 포토레지스트, 네거티브 톤 포토레지스트, UV 리소그래피 포토레지스트, I-라인 포토레지스트, G-라인 포토레지스트, e-빔 레지스트(예를 들어, 화학적으로 증폭된 레지스트(CAR)) 또는 다른 적합한 포토레지스트일 수 있다. 제1 희생 층(414)에 대해 제공된 유기 중합체 물질들은, 기판 상에 형성된 구조들의 불균일한 표면형태를, 그러한 불균일한 표면형태가 존재한다면, 균일하게 커버하기 위해 유전체 층(412) 상에 코팅되도록 자체 레벨링 평탄화 특성을 가질 수 있는 것으로 여겨진다. 그렇게 함으로써, 기판(400)으로부터의 불균일한 표면형태의 표면은, 정확하고 정밀한 치수 제어로 기판(400) 상의 막 스택(450) 내로 피쳐들을 전사하기 위해 후속 포토리소그래피 프로세스를 용이하게 하기 위해, 평탄화되거나 평판화된 최상부 표면으로 커버될 수 있다.
일 실시예에서, 제1 희생 층(414)은 기판 표면 상에 스핀 코팅될 수 있다. 다른 실시예에서, 제1 희생 층(414)은 또한, 필요에 따라, 주입, 분무 증착 시스템, 분무 증착 시스템, 에어로졸 증착(AD) 프로세스, 에어로젯, 용액으로부터의 나노입자들 분무, 분무 CVD, 잉크-젯, 메니스커스 코팅, 액침 코팅, 전기도금, 분무 코팅, 전기 분무, 스크린 인쇄를 사용하여, 또는 다른 적합한 기법들에 의해 기판 상에 코팅될 수 있다.
패터닝된 포토레지스트 층(416)은 유기 레지스트 층을 포함한다. 패터닝된 포토레지스트 층(416)은 필요에 따라 극자외선(EUV) 응용들에 활용될 수 있다.
작동(304)에서, 패터닝된 포토레지스트 층(416)을 식각 마스크로서 사용하여 막 스택(450)을 패터닝하기 위해 제1 식각 프로세스가 수행된다. 제1 식각 프로세스는, 도 5a 및 5b에 도시된 바와 같이, 제2 전도성 층(406)이 노출될 때까지 막 스택(450)을 식각하도록 수행되고, 막 스택(450)으로부터, 패터닝된 구조들(432)(432a, 432b로 더 도시됨) 사이의 막 스택(450)에 개방 영역(430)을 형성한다. 식각 프로세스는 기판(400)을 식각 처리 챔버, 예컨대, 도 1에 도시된 처리 챔버(100) 내로 이송함으로써 수행될 수 있다. 제1 식각 프로세스는 제1 희생 층(414), 유전체 층(412), 제4 전도성 층(410) 및 제3 전도성 층(408)을 효율적인 방식으로 식각하기 위해 식각 프로세스의 상이한 스테이지 동안 공급되는 다수의 상이한 식각 전구체들을 가질 수 있다. 일 예에서, 막 스택(450)을 식각하기 위해 활용되는 식각 전구체들은 할로겐 함유 가스들 등을 포함한다. 식각 전구체들의 적합한 예들은 CH4, C2H6, C4H8, C4F6, C4F8, C2F2, CF4, CHF3, C2F6, C4F6, C5F8, CH2F2, SF6, NF3, Cl2, HBr, HCl, HF 등을 포함한다. 다른 반응 가스들, 예컨대, O2, O2, H2, N2, H2O, O3, CO2 등이 또한, 필요에 따라 식각 프로세스 동안 공급될 수 있다.
막 스택(450)의 미리 결정된 부분(예를 들어, 개방 영역(430))이 식각된 후, 도 6a-6b에 도시된 바와 같이, 막 스택(450) 상에 제2 희생 층(422)뿐만 아니라 제2 패터닝된 포토레지스트 층(438)도 형성된다. 제2 패터닝된 포토레지스트 층(438)은 패터닝된 구조들(432) 위에 형성되고 패터닝된 구조들(432)의 2개의 단부들과 각각 수직으로 정렬된다. 제2 패터닝된 포토레지스트 층(438)은 후속하는 식각 프로세스를 위해 패터닝될 패터닝된 구조들(432)(도 7a-7b 및 8a-8b에 더 예시될 것임)의 중심 부분(440)을 노출시킨다.
제2 희생 층(422)은 제1 희생 층(414)과 유사하거나 동일하다. 제2 희생 층(422)은 제2 희생 층(422)에 대해 실질적으로 평면인 최상부 표면을 생성하기에 충분한 두께로 비평면 기판 표면 상에 형성된다. 이전에 도 5a-5b에 도시된 바와 같이, 식각 프로세스 후에, 패터닝된 구조들(432)(432a, 432b로 더 도시됨) 사이에 개방 영역(430)이 형성되고, 따라서 불균일한 표면을 생성한다. 따라서, 제2 희생 층(422)은, 불균일한 표면형태를 균일하게 커버하기 위해, 식각된 막 스택(450)의 불균일한 표면 상에 코팅되도록 자체 레벨링 능력을 갖는다. 그렇게 함으로써, 패터닝된 구조들(432) 사이의 개방 영역(430)으로부터의 불균일한 표면형태의 표면은, 후속 포토리소그래피 프로세스를 용이하게 하기 위해, 평탄화되거나 평판화된 최상부 표면으로 커버될 수 있다.
제2 희생 층(422)이 형성된 후에, 기판(400) 상에 형성된 제2 패터닝된 포토레지스트 층(438) 사이에 한정된 중심 부분(440) 및 개방 영역(430)을 통해 막 스택(450)을 식각하기 위해 제2 식각 프로세스가 수행된다. 제2 식각 프로세스는, 도 7a-7b에 도시된 바와 같이, 제4 전도성 층(410)의 표면(441a, 441b)이 노출될 때까지 계속 수행된다. 제4 전도성 층(410)의 표면(441a, 441b)은, 제2 식각 프로세스 후에, 중심 부분(440)에 의해 노출된 제4 전도성 층(410)의 표면(441a, 441b)이 노출되도록, 제2 패터닝된 포토레지스트 층(438)에 의해 한정된 중심 부분(440)과 정렬된다는 점을 주목한다. 그 다음, 패터닝된 구조들(432a, 432b)은 중심 부분(440)으로부터 각각 표면(441a, 441b)을 포함하도록 더 식각되고, 표면(441a, 441b)에 의해 연결된 코너 구조들(432c, 432d, 432e, 432f)을 형성한다. 도 7a-7b에 도시된 구조에서, 유전체 층(412)의 측벽(445)이 노출되는 것은 물론, 중심 부분(440)에서 제3 및 제4 전도성 층(408, 410)의 측벽들(442a, 442b)도 노출된다.
작동(306)에서, 도 8a-8b에 도시된 바와 같이, 나머지 제2 희생 층(422)을 기판(400)으로부터 제거하기 위해 잔류물 제거 프로세스가 수행되고, 개방 영역(430)의 제2 전도성 층(406)을 노출시킨다. 잔류물 제거 프로세스는 잔류 제2 희생 층(422)을 기판(400)으로부터 제거하기 위해 수행된다. 중심 부분(440) 및 개방 영역(430)의 제2 전도성 층(406) 및 제4 전도성 층(410) 상의 잔류 제2 희생 층(422)은 제4 전도성 층(410) 및 제2 전도성 층(406)을 제거하기 위해 후속하여 수행되는 식각 성능에 악영향을 미칠 수 있다. 따라서, 잔류물 제거 프로세스는 제2 및 제4 전도성 층들(406, 410)이 제거되기 전에 수행된다.
일 예에서, 잔류물 제거 프로세스는 수소 처리 프로세스이다. 잔류물 제거 프로세스는, 도 8a-8b에 도시된 바와 같이, 제2 및 제4 전도성 층들(410, 408)의 중심 부분(440) 및 개방 영역(430)이 노출될 때까지 잔류 제2 희생 층(422)(도 7a-7b에 도시된 바와 같음)을 기판(400)으로부터 제거하기 위해, 수소 함유 가스를 포함하는 잔류물 제거 가스 혼합물을 기판(400)에 공급함으로써 수행된다. 잔류물 제거 가스 혼합물은 수소 함유 가스 및 선택적으로 불활성 가스를 포함한다. 수소 함유 가스의 적합한 예들은 H2, H2O, H2O2, NH3 등을 포함한다.
잔류물 제거 프로세스를 수행하는 동안, 상이한 물질들을 갖는 노출된 표면들(예컨대, 도 7a-7b에 도시된 바와 같은 제4 전도성 층(410)의 중심 부분(440)의 표면(441a, 441b), 제3 전도성 층(408)의 측벽들(442a, 442b) 및 유전체 층(412)의 측벽들(445)) 중 일부가 또한, 잔류물 제거 가스 혼합물로부터의 반응성 종들 하에 노출된다. 따라서, 잔류물 제거 프로세스를 수행하기 위한 반응성 종들은 이러한 물질들 중에서 높은 선택성을 갖도록 선택되어, 반응성 종들은 노출된 제4 전도성 층(410), 제3 전도성 층(408) 및 유전체 층(412)에 실질적으로 부착되지 않고 잔류 제2 희생 층(422)을 선택적으로 제거할 수 있다. 일 실시예에서, 원격 플라즈마 공급원으로부터 공급되는 수소 함유 가스는 잔류 제2 희생 층(422)을 선택적으로 제거하기 위해 제공된다. 질소 함유 가스, 예컨대, N2, N2O, NO2, NH3가 또한, 잔류물 제거 가스 혼합물에 선택적으로 공급될 수 있다. 하나의 특정 예에서, 잔류물 제거 가스 혼합물은 H2 및 N2를 포함한다.
잔류물 제거 프로세스 동안, 몇몇 프로세스 파라미터들이 또한 조절될 수 있다. 예시적인 일 실시예에서, 처리 챔버(100)에서의 프로세스 압력은 약 10 mTorr 내지 약 5000 mTorr 사이에서, 예컨대, 약 10 mTorr 내지 약 200 mTorr 사이에서 조절된다. 원격 플라즈마 공급원 및/또는 선택적 RF 바이어스 전력이 잔류물 제거 프로세스에 활용될 수 있다. 원격 플라즈마 공급원에 공급되는 전력은 1000 와트 내지 약 20,000 와트, 예컨대, 약 5000 와트일 수 있다. 일 예에서, 잔류물 제거 가스 혼합물을 공급하는 동안 RF 바이어스 전력이 인가되지 않는다. 잔류물 제거 프로세스를 수행하는 동안 RF 바이어스 전력의 제거는 잔류물 제거 가스 혼합물로부터 생성되는 플라즈마의 반응성 종들이 특정 방향성 없이 비교적 온화한 전력으로 기판 표면에 걸쳐 더 균일하게 분포되는 것을 보조할 수 있다.
잔류물 제거 가스 혼합물로부터의 수소 함유 가스는 약 500 sccm 내지 약 2000 sccm의 용적 유량으로 챔버 내로 유동될 수 있고, 질소 함유 가스는 약 500 sccm 내지 약 2000 sccm의 용적 유량으로 공급될 수 있다. 잔류물 제거 가스 혼합물로부터의 탄화수소 함유 가스 및 질소 함유 가스는 필요에 따라 동시에 처리 챔버에 공급되거나 교번적으로 또는 순차적으로 공급될 수 있다.
기판 온도는 섭씨 100 도 초과, 예컨대, 섭씨 약 120 도 내지 섭씨 약 400 도, 예컨대, 섭씨 약 150 도 내지 섭씨 약 350 도, 예를 들어, 섭씨 약 200 도 내지 섭씨 약 300 도로 유지된다. 잔류물 제거 프로세스 동안 기판(400)의 고온 제어는 잔류 제2 희생 층(422)으로부터 중합체 물질들을 증발시키고 보조할 수 있고, 기판(400) 상의 다른 물질들을 손상시키지 않고 제거 효율을 향상시키는 것으로 여겨진다.
작동(308)에서, 도 9a-9b에 도시된 바와 같이, 아래놓인 제3 및 제1 전도성 층들(408, 404)이 노출될 때까지, 노출된 제4 및 제2 전도성 층들(410, 406)을 동시에 식각하기 위해 주 식각 프로세스가 수행된다. 주 식각 프로세스는 노출된 제4 및 제2 전도성 층들(410, 406)을 식각하기 위해, 주 식각 가스 혼합물을 처리 챔버, 예컨대, 도 1에 도시된 처리 챔버(100)에 공급함으로써 수행된다.
주 식각 프로세스는 브레이크 스루 프로세스, 벌크 식각 프로세스, 및 잔류물 세정 프로세스를 포함하는 3개의 단계들을 더 포함한다. 수행되는 바와 같은 주 식각 프로세스는, 주 식각 프로세스로부터의 반응성 종들이, 유전체 층(412) 뿐만 아니라 아래놓인 제3 및 제1 전도성 층들(408, 404)을, 노출될 때, 실질적으로 공격하지 않고 제4 및 제2 전도성 층들(410, 406)을 주로 식각할 수 있도록 고도로 선택적이다. 제4 및 제2 전도성 층들(410, 406)이 티타늄(Ti) 함유 물질인 예에서, 주 식각 가스 혼합물로부터의 반응성 종들은 유전체 층(412)으로부터의 산화물 물질과 실질적으로 반응하지 않고 티타늄(Ti) 함유 물질과 주로 반응할 수 있고, 따라서 선택적 식각 프로세스를 제공한다. 선택적 식각 프로세스는 인근 물질(예컨대, 이 예에서 유전체 층(412)으로부터의 산화물 함유 물질)을 공격하거나 손상시키지 않고 타겟 물질(예컨대, 이 예에서 티타늄(Ti) 함유 물질)을 우선적으로 식각할 수 있다.
일 예에서, 주 식각 가스 혼합물은 할로겐 함유 가스, 예컨대, 염소 함유 가스이다. 불활성 가스가 또한, 주 식각 가스 혼합물에 공급될 수 있다. 염소 함유 가스의 적합한 예들은 Cl2, HCl 등을 포함한다. 염소 함유 가스는 유전체 층(412)으로부터의 최소 산화물 손상 또는 산화물 손실을 유지하기 위해 제4 및 제2 전도성 층들(410, 406)로부터의 Ti 또는 Ta 원소들과 주로 반응할 수 있다고 여겨진다. 일 예에서, 주 식각 가스 혼합물은 염소 함유 가스, 예컨대, Cl2 가스, 및 Ar 가스를 포함한다.
일 예에서, 주 식각 가스 혼합물에 공급되는 Cl2 가스 및 Ar 가스의 비율은 티타늄(Ti) 함유 물질을 식각하는 동안 달라지거나, 조정되거나, 변경되거나, 변화될 수 있다. 티타늄(Ti) 함유 물질의 상부 표면이 종종 TiOx을 포함할 때, 주 식각 가스 혼합물에서의 Cl2 가스의 비교적 높은 비율(예를 들어, 더 높은 염소 가스 유량)이, 표면 산화물을, 그러한 표면 산화물이 존재한다면, 제4 및 제2 전도성 층들(410, 406)로부터의 티타늄(Ti) 함유 물질의 표면으로부터 제거하는 데 활용된다. 일 예에서, 상부 TiOx의 두께는 제4 및 제2 전도성 층들(410, 406)의 총 두께로부터 약 3% 및 약 20%일 수 있다. 일 예에서, 주 식각 가스 혼합물에 공급되는 Cl2 가스는 브레이킹 스루 프로세스 동안 주 식각 가스 혼합물에 공급되는 Ar 가스에 대해 높은 비율을 갖는다. 일 예에서, 주 식각 가스 혼합물에 공급되는 Cl2 가스 및 Ar 가스는 10:1 초과, 예컨대, 약 10:1 내지 약 50:1의 비율(Cl2 가스:Ar 가스)로 제어된다. 주 가스 혼합물의 Cl2 가스는 제1 유량으로 공급된다.
티타늄(Ti) 함유 물질이 제거된 후에, 제4 및 제2 전도성 층들(410, 406)로부터 티타늄(Ti) 함유 물질의 벌크 몸체를 식각하기 위해, 비교적 유사한 양의 Cl2 가스 및 Ar 가스(예를 들어, 더 낮은 염소 가스 유량 또는 유동비)가 주 식각 가스 혼합물에 사용된다(또는, 예를 들어, 벌크 식각 프로세스로 불림). 벌크 티타늄(Ti) 함유 물질 식각 프로세스에서, 주 식각 가스 혼합물에 공급되는 Cl2 가스 및 Ar 가스는 약 1:4 내지 약 2:1의 비율(Cl2 가스:Ar 가스)로 제어된다. 이 예에서, 제4 및 제2 전도성 층들(410, 406)의 두께는 제4 및 제2 전도성 층들(410, 406)의 총 두께로부터 약 5% 및 약 98%, 예컨대, 약 50% 내지 약 98% 식각될 수 있다. 주 가스 혼합물의 Cl2 가스는 벌크 식각 프로세스에서 제1 유량보다 낮은 제2 유량으로 공급된다.
마지막으로, Ar 가스에 대한 Cl2 가스의 비교적 높은 비율(브레이킹 스루 프로세스와 유사함)이, 제4 및 제2 전도성 층들(410, 406)로부터 잔류 티타늄(Ti) 함유 물질을 제거하고(또는, 예를 들어, 잔류물 세정 프로세스로 불림) 산화물 잔류물들을, 그러한 산화물 잔류물들이 존재한다면, 유전체 층(412)으로부터 제거하는 데 사용된다. 잔류물 세정 프로세스에서 주 가스 혼합물의 Cl2 가스는, 제2 유량 미만이지만 제1 유량과 유사하거나 동일한 제3 유량으로 있다. 일 예에서, 잔류물 세정 프로세스에서 주 식각 가스 혼합물에 공급되는 Cl2 가스 및 Ar 가스는 약 10:1 초과, 예컨대, 약 10:1 및 약 20:1의 비율(Cl2 가스:Ar 가스)로 제어된다. 잔류물 세정 프로세스 및 브레이크 스루 프로세스를 위한 주 식각 가스 혼합물의 Cl2 가스 대 Ar 가스의 비율은 유사하거나 동일할 수 있다는 것을 주목한다.
제4 및 제2 전도성 층들(410, 406)을 식각하기 위해 공급되는 주 식각 가스 혼합물은 브레이크 스루 프로세스, 벌크 식각 프로세스, 및 잔류물 세정 프로세스 동안 상이한 프로세스 요건들을 만족시키도록 점진적으로 조정되거나 변경되거나 달라질 수 있다는 것을 주목한다.
(브레이크 스루 프로세스, 벌크 식각 프로세스, 및 잔류물 세정 프로세스를 포함하는) 주 식각 프로세스 동안, 몇몇 프로세스 파라미터들이 또한 조절될 수 있다. 예시적인 일 실시예에서, 처리 챔버(100)에서의 프로세스 압력은 약 10 mTorr 내지 약 5000 mTorr 사이에서, 예컨대, 약 10 mTorr 내지 약 200 mTorr 사이에서 조절된다. 플래시 식각 프로세스를 수행하는 동안 RF 공급원 및/또는 바이어스 전력이 활용될 수 있다. RF 공급원 및 RF 바이어스 전력은 연속 모드 또는 펄스 모드로 처리 챔버에 인가될 수 있다. 일 예에서, RF 바이어스 전력은 주 식각 가스 혼합물을 공급하는 동안 인가된다.
주 식각 가스 혼합물을 공급할 때 인가되는 RF 바이어스 전력은 식각을 위해 제4 및 제2 전도성 층들(410, 406)의 반응성 노출된 표면들(440a, 440b, 430)을 형성하는 것을 보조한다. 일 예에서, 증착 가스 혼합물에서 플라즈마를 유지하기 위해 약 13 MHz의 주파수의 RF 공급원 전력이 인가될 수 있다. 예를 들어, 처리 챔버(100) 내부에서 플라즈마를 유지하기 위해 약 100 와트 내지 약 1000 와트의 RF 공급원 전력이 인가될 수 있다. 주 식각 가스 혼합물을 공급하는 동안 약 300 와트 및 약 700 와트의 RF 바이어스 전력이 인가될 수 있다.
기판 온도는 섭씨 약 10 도 내지 섭씨 약 300 도, 예컨대, 섭씨 약 20 도 내지 섭씨 약 80 도, 예를 들어, 섭씨 약 30 도 내지 섭씨 약 50 도로 유지된다.
작동(310)에서, 사후 어닐링 프로세스가 수행된다. 사후 어닐링 프로세스는 높은 프로세스 압력, 예컨대, 2 bar 초과 70 bar 미만에서 수행되는 고압 어닐링 프로세스이다. 고압 어닐링 프로세스는, 주 식각 프로세스 이후, 노출된 제3 및 제1 전도성 층들(408, 404) 및 유전체 층(412)에서 주 식각 프로세스로부터 초래될 수 있는 빈공간들을 수리하고 부산물 및/또는 잔류물들을 식각하고 표면 거칠기를 평활화하는 것을 보조할 수 있다. 일부 예들에서, 높은 프로세스 압력은 70 bar까지 갈 수 있다. 고압 어닐링 프로세스는 처리 챔버, 예컨대, 도 2에 도시된 처리 챔버(200), 또는 한번에 하나씩 기판을 처리하는 처리 챔버들을 포함하는 다른 적합한 처리 챔버들에서 수행될 수 있다.
작동(310)에서 수행되는 고압 어닐링 프로세스는 증기상, 예를 들어, 액체 액적들이 실질적으로 존재하지 않는 건조 증기상의 고압 영역에서 처리 압력을 유지한다. 처리 압력 및 온도는 막 결함들을 수리하고, 불순물들을 제거하고 표면 거칠기를 평활화하기 위해 막 구조들을 치밀화하도록 제어된다. 일 예에서, (도 2에 도시된 바와 같은) 내부 용적(215)은 대기압 초과의 압력, 예를 들어, 약 2 bar 초과의 압력까지 가압된다. 다른 예에서, 내부 용적(215)은 약 5 내지 약 70 bar, 예컨대, 약 5 내지 약 50 bar, 예컨대, 약 25 bar 내지 약 55 bar의 압력까지 가압된다. 고압이 막 구조의 치밀화를 효율적으로 보조할 수 있기 때문에, 예컨대, 섭씨 500 도 미만의 비교적 낮은 처리 온도가, 기판(400)에 대한 열 사이클 손상의 가능성을 감소시킨다.
처리 동안, 내부 용적(215)은 가열기들(240)에 의해 비교적 낮은 온도, 예를 들어, 섭씨 500 도 미만, 예컨대, 섭씨 약 150 도 내지 섭씨 약 350 도의 온도로 유지된다. 따라서, 낮은 온도 체제와 함께 고압 어닐링 프로세스를 활용함으로써 기판에 대한 낮은 열 예산을 획득할 수 있다.
고압 프로세스는 노출된 제3 및 제1 전도성 층들(408, 404) 및 유전체 층(412)의 댕글링 본드들을 제거하기 위한 구동력을 제공할 수 있고, 따라서, 어닐링 프로세스 동안, 잔류물들을 수리하고 노출된 제3 및 제1 전도성 층들(408, 404) 및 유전체 층(412)의 표면 거칠기를 평활화하는 것으로 여겨진다. 일 예에서, 수소 또는 질소 함유 가스, 예컨대, H2, H2O, H2O2, N2O, NO2, NH3, 및 건조 스팀이 어닐링 프로세스 동안 공급될 수 있다. 불활성 가스, 예컨대, He 및 Ar이 또한, 어닐링 프로세스 동안 공급될 수 있다.
하나의 예시적인 구현에서, 프로세스 압력은 2 bar 초과, 예컨대, 5 bar 내지 70 bar, 예컨대, 20 bar 내지 약 50 bar의 압력으로 조절된다. 프로세스 온도는 섭씨 150 도 초과 섭씨 500 도 미만, 예컨대, 섭씨 약 150 도 내지 섭씨 약 380 도, 예컨대, 섭씨 약 180 도 내지 섭씨 약 400 도로 제어될 수 있다.
고압에서의 어닐링 프로세스 후에, 제3 및 제1 전도성 층들(408, 404) 및 유전체 층(412)은 평활한 표면 거칠기를 갖는 고순도의 큰 결정립 구조를 갖는 수리된 막 구조를 가지며, 이는 더 높은 막 밀도 및 낮은 막 저항률이 획득되어 이를 제공하는 비교적 강건한 막 구조를 제공한다. 제3 및 제1 전도성 층들(408, 404)이 Ru 함유 물질인 예에서, 제3 및 제1 전도성 층들(408, 404)로부터의 Ru 함유 물질에 대한 막 저항률은 고압 어닐링 프로세스 후에 약 3% 내지 약 7% 감소될 수 있다.
작동(312)에서, 제3 및 제1 전도성 층들(408, 404)이 노출된 후에, 도 9a-9b에 도시된 바와 같이 제3 및 제1 전도성 층들(408, 404)을 제거하기 위해 추가의 식각 프로세스가 수행될 수 있고, 후속하여, 도 10a-10b에 도시된 바와 같이 기판(400) 상에 원하는 구조(460)가 형성될 때까지 추가적인 식각 프로세스가 수행될 수 있다. 기판(400) 상에 형성된 바와 같은 구조(460)는 반도체 응용들을 위해 백 엔드 구조에 활용되는 이중 서브트랙티브 구조일 수 있다. 구조(460)는 또한, 필요에 따라 기판(400) 상에 임의의 적합한 구조들을 형성하는 데 활용될 수 있다는 것을 또한 주목한다.
따라서, 막 스택의 피쳐들을 식각하기 위한 방법들이 제공된다. 방법들은 막 스택의 금속 함유 물질을 식각하기 위해, 잔류물 제거 프로세스, 주 식각 프로세스 및 고압 어닐링 프로세스를 포함하는 3단계 프로세스를 활용한다. 또한, 주 식각 프로세스는 브레이크 스루 프로세스, 벌크 식각 프로세스, 및 잔류물 세정 프로세스를 포함하는 3단계 프로세스를 더 포함한다. 프로세스는 막 스택의 다른 물질들을 실질적으로 공격하지 않고 막 스택의 타겟 물질, 예컨대, 전도성 층을 효율적으로 식각할 수 있다.
전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 기판 상의 전도성 층을 패터닝하기 위한 방법으로서,
    상기 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 제1 가스 혼합물을 제1 유량으로 공급하는 단계;
    상기 제1 전도성 층을 계속 식각하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제1 유량보다 낮은 제2 유량으로 줄이는 단계; 및
    상기 제1 전도성 층을 상기 기판으로부터 제거하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함하고,
    상기 제1 전도성 층은 Ti 또는 Ta 함유 층인, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 제1 가스 혼합물은 불활성 가스를 더 포함하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  4. 제1항에 있어서,
    상기 염소 함유 가스는 Cl2 또는 HCl인, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  5. 기판 상의 전도성 층을 패터닝하기 위한 방법으로서,
    상기 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 제1 가스 혼합물을 제1 유량으로 공급하는 단계;
    상기 제1 전도성 층을 계속 식각하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제1 유량보다 낮은 제2 유량으로 줄이는 단계; 및
    상기 제1 전도성 층을 상기 기판으로부터 제거하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함하고,
    상기 제1 유량은 상기 제3 유량과 동일하거나 유사한, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  6. 기판 상의 전도성 층을 패터닝하기 위한 방법으로서,
    상기 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 제1 가스 혼합물을 제1 유량으로 공급하는 단계;
    상기 제1 전도성 층을 계속 식각하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제1 유량보다 낮은 제2 유량으로 줄이는 단계; 및
    상기 제1 전도성 층을 상기 기판으로부터 제거하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함하고,
    상기 제1 전도성 층을 식각하기 전에 수소 처리 프로세스를 수행하는 단계를 더 포함하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  7. 제6항에 있어서,
    상기 수소 처리 프로세스를 수행하는 동안 기판 온도를 섭씨 150 도 초과로 유지하는 단계를 더 포함하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  8. 제7항에 있어서,
    상기 기판 온도는 섭씨 200 도 내지 섭씨 300 도인, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  9. 기판 상의 전도성 층을 패터닝하기 위한 방법으로서,
    상기 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 제1 가스 혼합물을 제1 유량으로 공급하는 단계;
    상기 제1 전도성 층을 계속 식각하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제1 유량보다 낮은 제2 유량으로 줄이는 단계; 및
    상기 제1 전도성 층을 상기 기판으로부터 제거하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함하고,
    상기 제1 전도성 층이 상기 기판으로부터 제거된 후에 상기 기판에 대해 사후 어닐링 프로세스를 수행하는 단계를 더 포함하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  10. 제9항에 있어서,
    상기 사후 어닐링 프로세스는:
    프로세스 압력을 5 bar 내지 100 bar로 유지하는 단계를 더 포함하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  11. 제10항에 있어서,
    상기 사후 어닐링 프로세스를 수행하는 동안, 수소 함유 가스 또는 질소 함유 가스를 포함하는 어닐링 가스 혼합물을 공급하는 단계를 더 포함하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  12. 제9항에 있어서,
    상기 사후 어닐링 프로세스는 상기 기판 상에 노출된 제2 전도성 층을 치밀화하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  13. 제12항에 있어서,
    상기 제2 전도성 층은 Ru 함유 물질인, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  14. 기판 상의 전도성 층을 패터닝하기 위한 방법으로서,
    상기 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 제1 가스 혼합물을 제1 유량으로 공급하는 단계;
    상기 제1 전도성 층을 계속 식각하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제1 유량보다 낮은 제2 유량으로 줄이는 단계; 및
    상기 제1 전도성 층을 상기 기판으로부터 제거하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함하고,
    상기 제1 유량으로 공급되는 상기 제1 가스 혼합물은 상기 제1 전도성 층으로부터 산화물 물질을 제거하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  15. 기판 상의 전도성 층을 패터닝하기 위한 방법으로서,
    상기 기판 상에 배치된 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 제1 가스 혼합물을 제1 유량으로 공급하는 단계;
    상기 제1 전도성 층을 계속 식각하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제1 유량보다 낮은 제2 유량으로 줄이는 단계; 및
    상기 제1 전도성 층을 상기 기판으로부터 제거하기 위해 상기 제1 가스 혼합물의 상기 염소 함유 가스를 상기 제2 유량보다 큰 제3 유량으로 증가시키는 단계를 포함하고,
    상기 제2 유량으로 공급되는 상기 제1 가스 혼합물은 상기 제1 전도성 층의 5% 내지 약 95%를 제거하는, 기판 상의 전도성 층을 패터닝하기 위한 방법.
  16. 기판 상에 전도성 층을 패터닝하기 위한 방법으로서,
    기판 상의 잔류물들을 제거하기 위해 기판에 대해 수소 처리 프로세스를 수행하는 단계 - 상기 처리 프로세스는 잔류물 물질을 제1 전도성 층으로부터 제거하기 위해 섭씨 100 도 초과의 온도들로 수행됨 -;
    제2 전도성 층이 상기 기판 상에 노출될 때까지 상기 기판 상의 제1 전도성 층을 식각하기 위해, 염소 함유 가스를 포함하는 식각 가스 혼합물을 공급함으로써 식각 프로세스를 수행하는 단계; 및
    사후 어닐링 프로세스를 5 bar 내지 100 bar의 프로세스 압력으로 수행하는 단계를 포함하는, 기판 상에 전도성 층을 패터닝하기 위한 방법.
  17. 제16항에 있어서,
    상기 식각 프로세스를 수행하는 단계는:
    상기 제1 전도성 층을 식각하는 동안 상기 식각 가스 혼합물의 상기 염소 함유 가스를 제1 유량으로부터 제2 유량으로 그리고 추가로 제3 유량으로 유지하는 단계를 더 포함하는, 기판 상에 전도성 층을 패터닝하기 위한 방법.
  18. 제17항에 있어서,
    상기 제1 유량은 상기 제2 유량보다 큰, 기판 상에 전도성 층을 패터닝하기 위한 방법.
  19. 제16항에 있어서,
    상기 제1 전도성 층은 Ta 또는 Ti 함유 층이고 상기 제2 전도성 층은 Ru 함유 층인, 기판 상에 전도성 층을 패터닝하기 위한 방법.
  20. 기판 상에 전도성 층을 패터닝하기 위한 방법으로서,
    수소 처리 프로세스에 의해 기판 상으로부터 잔류물들을 제거하는 단계 - 상기 잔류물들은 유기 물질을 포함하고, 상기 기판은 제2 전도성 층 상에 배치된 제1 전도성 층을 포함함 -;
    제2 전도성 층이 상기 기판 상에 노출될 때까지, 염소 함유 가스를 포함하는 식각 가스 혼합물을 공급함으로써 상기 제1 전도성 층을 식각하는 단계 - 상기 제1 전도성 층을 식각하는 동안 상기 식각 가스 혼합물의 상기 염소 함유 가스는 제1 유량으로부터 제2 유량으로 그리고 추가로 제3 유량으로 유지됨 -; 및
    상기 기판 상의 상기 제2 전도성 층을 열처리하기 위해 5 bar 내지 100 bar의 프로세스 압력으로 사후 어닐링 프로세스를 수행하는 단계를 포함하는, 기판 상에 전도성 층을 패터닝하기 위한 방법.
KR1020217016313A 2018-10-30 2019-10-16 반도체 응용들을 위한 구조를 식각하기 위한 방법들 KR102528076B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862752764P 2018-10-30 2018-10-30
US62/752,764 2018-10-30
PCT/US2019/056447 WO2020092002A1 (en) 2018-10-30 2019-10-16 Methods for etching a structure for semiconductor applications

Publications (2)

Publication Number Publication Date
KR20210068590A KR20210068590A (ko) 2021-06-09
KR102528076B1 true KR102528076B1 (ko) 2023-05-03

Family

ID=70327214

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217016313A KR102528076B1 (ko) 2018-10-30 2019-10-16 반도체 응용들을 위한 구조를 식각하기 위한 방법들

Country Status (6)

Country Link
US (1) US10957533B2 (ko)
JP (1) JP7179172B6 (ko)
KR (1) KR102528076B1 (ko)
CN (1) CN112640065A (ko)
TW (1) TWI826555B (ko)
WO (1) WO2020092002A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202001450UA (en) 2017-09-12 2020-03-30 Applied Materials Inc Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
CN109148288A (zh) * 2018-08-16 2019-01-04 武汉华星光电半导体显示技术有限公司 制作导电线路的方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11508617B2 (en) * 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118829A (ja) * 1999-10-19 2001-04-27 Matsushita Electronics Industry Corp 金属パターンの形成方法
JP2009174056A (ja) 2009-03-19 2009-08-06 Canon Anelva Corp 窒化金属膜作製装置、窒化金属膜作製方法及び窒化金属膜
JP2014203912A (ja) 2013-04-03 2014-10-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Family Cites Families (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP3419590B2 (ja) * 1995-05-30 2003-06-23 松下電器産業株式会社 シリコンのエッチング方法およびこれを用いたccd固体撮像装置の製造方法
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3254997B2 (ja) * 1995-12-25 2002-02-12 ソニー株式会社 プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
KR100213207B1 (ko) * 1996-06-29 1999-08-02 윤종용 폴리사이드 구조의 게이트 형성방법
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JPH10338515A (ja) * 1997-06-06 1998-12-22 Shin Etsu Chem Co Ltd シリコン基板の酸化方法
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
TW442913B (en) * 2000-06-05 2001-06-23 Taiwan Semiconductor Mfg Side wall aluminum fluorite self-protection process
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) * 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003007690A (ja) * 2001-06-27 2003-01-10 Seiko Epson Corp エッチング方法及び半導体装置の製造方法
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
KR100450564B1 (ko) * 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
EP1597752A2 (en) 2003-02-04 2005-11-23 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
CN1328767C (zh) 2003-12-18 2007-07-25 上海华虹Nec电子有限公司 一种金属配线的多步干法刻蚀方法
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP2005311148A (ja) 2004-04-23 2005-11-04 Ishikawajima Harima Heavy Ind Co Ltd 半導体装置の製造方法
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
CN100521092C (zh) * 2004-11-08 2009-07-29 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
CN100390928C (zh) * 2005-03-02 2008-05-28 茂德科技股份有限公司 高深宽比结构的制备方法
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
JP5276436B2 (ja) 2005-06-10 2013-08-28 オブデュキャット、アクチボラグ 中間スタンプによるパターン複製
KR100683110B1 (ko) * 2005-06-13 2007-02-15 삼성전자주식회사 플라즈마 형성 방법 및 이를 이용한 막 형성 방법
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
US8685266B2 (en) * 2005-09-30 2014-04-01 The Regents Of The University Of California Monocyclic high aspect ratio titanium inductively coupled plasma deep etching processes and products so produced
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
FR2914500B1 (fr) * 2007-03-30 2009-11-20 Picogiga Internat Dispositif electronique a contact ohmique ameliore
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
WO2008147522A1 (en) 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
KR20100084676A (ko) 2007-10-26 2010-07-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 연료 회로를 사용하는 스마트 저감을 위한 방법 및 장치
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (ko) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
CN102456545A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 图形化衬底的刻蚀方法
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
WO2012134025A1 (ko) 2011-03-25 2012-10-04 Lee Seo Young 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US10090179B2 (en) 2011-06-28 2018-10-02 Brooks Automation, Inc. Semiconductor stocker systems and methods
CN103999198B (zh) 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) * 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG11201600447YA (en) 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9287134B2 (en) * 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲***
JP6399417B2 (ja) 2014-06-16 2018-10-03 インテル・コーポレーション 金属相互接続のシーム修復
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用***
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
JP6086933B2 (ja) * 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR20190141034A (ko) 2015-02-06 2019-12-20 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
TWI791199B (zh) 2015-05-11 2023-02-01 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6318188B2 (ja) 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
CN108335978B (zh) * 2017-01-20 2022-08-26 东京毅力科创株式会社 等离子体处理装置
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102606653B1 (ko) 2017-03-31 2023-11-24 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
WO2018204078A1 (en) 2017-05-01 2018-11-08 Applied Materials, Inc. High pressure anneal chamber with vacuum isolation and pre-processing environment
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2018222614A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Quality improvement of films deposited on a substrate
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
EP3756217A4 (en) 2018-02-22 2021-11-10 Applied Materials, Inc. PROCESS FOR TREATING A MASK SUBSTRATE ALLOWING A BETTER FILM QUALITY
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118829A (ja) * 1999-10-19 2001-04-27 Matsushita Electronics Industry Corp 金属パターンの形成方法
JP2009174056A (ja) 2009-03-19 2009-08-06 Canon Anelva Corp 窒化金属膜作製装置、窒化金属膜作製方法及び窒化金属膜
JP2014203912A (ja) 2013-04-03 2014-10-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
WO2020092002A1 (en) 2020-05-07
JP2022505863A (ja) 2022-01-14
JP7179172B6 (ja) 2022-12-16
CN112640065A (zh) 2021-04-09
TW202025263A (zh) 2020-07-01
JP7179172B2 (ja) 2022-11-28
US20200135459A1 (en) 2020-04-30
KR20210068590A (ko) 2021-06-09
TWI826555B (zh) 2023-12-21
US10957533B2 (en) 2021-03-23

Similar Documents

Publication Publication Date Title
KR102528076B1 (ko) 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP7483839B2 (ja) エアギャップの形成方法
US11101174B2 (en) Gap fill deposition process
TWI492298B (zh) 雙重圖案化蝕刻製程
US9627608B2 (en) Dielectric repair for emerging memory devices
KR20210069129A (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
US20150214101A1 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
TWI775839B (zh) 具有選擇性阻隔層的結構
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
US20190198338A1 (en) Uniform euv photoresist patterning utilizing pulsed plasma process
JP2001244214A (ja) シリサイド膜を備えた半導体素子の製造方法
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US10727058B2 (en) Methods for forming and etching structures for patterning processes
US20200373149A1 (en) In-situ atomic layer deposition process
US11521849B2 (en) In-situ deposition process
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
TW201903885A (zh) 含矽間隔物的選擇性形成
TW201839905A (zh) 選擇性側壁間隔物
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
TW201306125A (zh) 灰化後側壁修復

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right