JP2022507390A - 強化拡散プロセスを使用する膜の堆積 - Google Patents

強化拡散プロセスを使用する膜の堆積 Download PDF

Info

Publication number
JP2022507390A
JP2022507390A JP2021526269A JP2021526269A JP2022507390A JP 2022507390 A JP2022507390 A JP 2022507390A JP 2021526269 A JP2021526269 A JP 2021526269A JP 2021526269 A JP2021526269 A JP 2021526269A JP 2022507390 A JP2022507390 A JP 2022507390A
Authority
JP
Japan
Prior art keywords
precursor
substrate
seam
bar
packed bed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021526269A
Other languages
English (en)
Inventor
シーシー チアン,
カーティス レシュキーズ,
プラミット マンナ,
アビジート マリック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022507390A publication Critical patent/JP2022507390A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Figure 2022507390000001
本明細書に記載の実施態様は、超大気圧(例えば大気圧よりも大きい圧力)を維持するよう動作可能なチャンバを使用して行うことができるシームを伴わない間隙充填及びシーム修復の方法に関する。一実施態様は、基板の表面上に一又は複数の特徴部が形成された基板を処理チャンバ中に位置付けすることと、基板の一又は複数の特徴部を約1bar以上の圧力で少なくとも一つの前駆体に曝露することとを含む。別の実施態様は、基板の表面に一又は複数の特徴部が形成された基板を処理チャンバ中に位置付けすることを含む。一又は複数の特徴部のそれぞれは、材料のシームを有する。材料のシームは、約1bar以上の圧力で少なくとも一つの前駆体に曝露される。
【選択図】図6

Description

本開示の実施態様は概して、半導体製造プロセスに関し、より具体的には、半導体デバイスの特徴部を間隙充填するための方法に関する。
多くの半導体デバイス製造プロセスでは、半導体デバイスの特徴部を充填する必要がある。慣例的には、準大気圧下での化学気相堆積(CVD)が利用されている。しかしながら、準大気圧での堆積速度は、不均一な堆積速度のために特徴部間でシームを形成する。シームは後硬化プロセス中にさらに開けられ、最終的にはスループットの低下又は半導体デバイスの故障の原因となる。したがって、シームのない膜の成長を提供し得る半導体デバイスの特徴部を間隙充填するため及びシーム修復するための方法が必要である。
一実施態様では、基板上の特徴部を充填するための方法が提供される。この方法は、基板上に形成された材料の表面に一又は複数の特徴部が形成された基板を処理チャンバ中に位置付けすることと、一又は複数の特徴部を少なくとも一つの前駆体に約1bar以上の圧力で曝露することと、一又は複数の特徴部の上方に、ケイ素含有膜又は炭素含有間隙充填層のうちの少なくとも一つを含む間隙充填層を形成して、一又は複数の特徴部を充填することとを含む。
別の実施態様では、基板上の特徴部を充填するための方法が提供される。この方法は、基板上に配置された材料の表面に一又は複数の特徴部が形成された基板を、処理チャンバ中に位置付けすることを含む。一又は複数の特徴部のうちの少なくとも一つは、一又は複数の特徴の上方に配置された材料と、材料中に配置されたシームとを含む。材料は、約1bar以上の圧力で少なくとも一つの前駆体に曝露される。シームは、少なくとも一つの前駆体から形成された充填材料で充填され、シーム充填物には、ケイ素含有シーム充填層又は炭素含有シーム充填層のうちの少なくとも一つが含まれる。
さらに別の実施態様では、基板上に形成された特徴部を充填するための方法が提供される。この方法は、ケイ素又は炭素のうちの少なくとも一つを含有する前駆体を、基板を含有する処理チャンバの処理領域中に流すことを含む。基板を含有する処理領域内に配置された前駆体の圧力は、約1bar以上に維持される。前駆体を含有する処理領域内に配置された基板上に配置された特徴部又はシームは、それぞれ間隙充填又は修復される。
本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施態様を参照することによって行うことができ、そのいくつかを添付の図面に示す。しかし、添付図面は例示的な実施態様のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施態様も許容され得ることに留意されたい。
ある実施態様によるチャンバの正面断面図である。 ある実施態様による、基板の特徴部に従来配置されている材料層中のシームを示す基板の概略断面図である。 ある実施態様による、基板の特徴部を間隙充填するための方法のフロー図である。 ある実施態様による、基板の特徴部を間隙充填するための方法による基板の概略断面図である。 ある実施態様による、基板の一又は複数の特徴部の上方に配置された材料のシームを充填するための方法のフロー図である。 ある実施態様による、基板の一又は複数の特徴部の上方に配置された材料のシームを充填するための方法による基板の概略断面図である。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施態様の構成要素及び特徴は、さらなる記述がなくとも、他の実施態様に有益に組み込まれ得ると想定されている。
本明細書に記載の実施態様は、シームを伴わない間隙充填及びシーム修復の方法を含む。超大気圧(例えば大気圧よりも大きい圧力)を維持するよう動作可能なチャンバを使用して行うことができるシームを伴わない間隙充填及びシーム修復の方法を参照して、本明細書に記載の実施態様を以下に記載する。超大気圧プロセスは、有利なことに、以前に堆積された材料内のシームを充填又は修復することを可能にする。本明細書に記載の超大気圧プロセスは、シームを伴わない間隙充填のための方法をさらに提供し、ここで、構造体は、シームを形成することなく充填され、膜の共形性が改善される。実質的にシームのない又はシーム修復された材料は、その後、よりロバストで良好なパフォーマンスを発揮する電子デバイスを製造するのに用いられ得る。本明細書に記載された装置の説明は例示的なものであり、本明細書に記載された実装形態の範囲を制限するものとして理解又は解釈するべきではない。
図1は、本明細書に記載のシームを伴わない間隙充填及びシーム修復のための方法を実施するのに適したチャンバ100の簡略化した正面断面図である。本明細書に記載のシームを伴わない間隙充填及びシーム修復の方法は他のチャンバ中で交互に実行され得ると想定されている。チャンバ100は、外面112と処理領域115を取り囲む内面113とを備えた本体110を有する。チャンバ100は、本体110内で処理領域115を密閉可能に取り囲むよう構成されたドア120を有するため、ドア120が開放されているときに処理領域115へアクセスすることができる。超大気シール122は、処理のために処理領域115を密閉するため、ドア120を本体110に密閉するために利用される。
チャンバ100は、本体110を通るポート117を有する。ポート117は、それ自体を通過してヒータ119に連結されるパイプ118を有する。パイプ118の一端は処理領域115に接続される。パイプ118の他端は、注入導管157と排出導管161に分岐する。注入導管157は、分離バルブ155を介してガスパネル150に流体接続されている。注入導管157はヒータ158に連結されている。排出導管161は、分離バルブ165を介してコンデンサ160に流体接続されている。排出導管161はヒータ162に連結されている。ヒータ119、158、及び162は、パイプ118、注入導管157、及び排出導管161をそれぞれ通って流れる処理ガスを、処理ガスが凝縮して液体を形成しないよう、凝縮点を超える温度で維持するように構成されている。パイプ118、注入導管157、及び排出導管161を通って流れる処理ガスの温度は凝縮点を超える温度で維持されるが、圧力を所定の限界を超えて上昇させても、チャンバの構造的完全性が損なわれることはない。所定の圧力限界は、25bar以上、例えば50bar以上、又は100bar以上であり得る。一実施態様では、温度は処理ガスの凝縮点と摂氏約250度との間である。別の実施態様では、温度は、凝縮点と摂氏約350度との間で維持される。別の実施態様では、温度上昇によって引き起こされる処理チャンバ内の圧力が、所定の圧力荷重閾値を超えない限り、温度は処理ガスの凝縮点を超える任意の温度で維持される。ヒータ119、158、及び162は、電源145によって電力供給される。
ガスパネル150は、圧力下にある処理ガスを、パイプ118を通って処理領域115中へ伝送するため、注入導管157へ提供するように構成されている。処理領域115へ導入される処理ガスの圧力は、本体110に連結された圧力センサ114によってモニタされている。コンデンサ160は冷却流体に流体連結され、パイプ118を通って処理領域115から除去された後に、排出導管161を通って流れる気体生成物を凝縮するように構成されている。コンデンサ160は、気体生成物を気相から液相に変える。ポンプ170はコンデンサ160に流体連結され、コンデンサ160から液化生成物を排出する。ガスパネル150、コンデンサ160及びポンプ170の動作はコントローラ180によって制御されている。
分離バルブ155及び165は、一度に1つの流体のみがパイプ118を通って処理領域115に流れるように構成されている。分離バルブ155が開いているとき、注入導管157を通って流れる処理ガスが処理領域115に入り、処理ガスの流れがコンデンサ160に入るのを防止するように、分離バルブ165は閉じられている。その一方で、分離バルブ165が開いているときには、気体生成物が処理領域115から除去され、排出導管161を通って流れ、気体生成物の流れがガスパネル150に入るのを防止するように、分離バルブ155は閉じられている。
一又は複数のヒータ140は、本体110の上に配置され、チャンバ100内の処理領域115を加熱するように構成されている。ヒータ140は、電源145により電力が供給される。ヒータ140への電力は、温度センサ116から受け取ったフィードバックを介してコントローラ180によって制御される。温度センサ116は本体110に連結され、処理領域115の温度をモニタする。
アクチュエータ(図示せず)に連結されたカセット130は、処理領域115を出入りする。カセット130は、頂面132と、底面134と、壁部136とを有している。カセット130の壁部136は、複数の基板ストレージスロット138を有している。各基板ストレージスロット138は、カセット130の壁部136に沿って均等に間隔が置かれている。各基板ストレージスロット138は、基板135をその中で保持するよう構成される。カセット130は、基板135を保持するための基板ストレージスロット138と同じ数の55個であり得る。カセット130は、チャンバ100の内外へ複数の基板135を移送するための、また、処理領域115内で複数の基板135を処理するための、有効な移送手段を提供する。図1に示すチャンバ100は複数の基板をバッチ処理するように構成されているが、チャンバ100は、代わりに、チャンバ100内で一度に一つずつ単一の基板を処理するように構成されていてもよい。
コントローラ180は、チャンバ100の動作を制御する。コントローラ180は、ガスパネル150、コンデンサ160、ポンプ170、分離バルブ155及び165、並びに電源145の動作を制御する。コントローラ180はまた、温度センサ116、圧力センサ114、及び冷却チャネル124に連通可能に接続されている。コントローラ180は、中央処理装置(CPU)182と、メモリ184と、支援回路186とを含む。CPU182は、産業用設定で使用され得る任意の形態の汎用コンピュータプロセッサであり得る。メモリ184は、ランダムアクセスメモリ(random access memory)、読み出し専用メモリ、フロッピィ、若しくは、ハードディスクドライブ、又は、他の形態によるデジタルストレージであり得る。支援回路186が、従来ではCPU112に接続されており、キャッシュ、クロック回路、入力/出力システム、電源などを含み得る。
チャンバ100は、シームを伴わない間隙充填及びシーム修復の方法のための超大気圧を提供する。この方法は、チャンバ100を介して提供される超大気圧下で化学気相堆積(CVD)を用いる。約1barから約100bar、例えば約1barから約50bar、例えば約1barから約20bar、例えば約5barから約15barの範囲内に維持される処理領域115中の処理ガスに少なくとも一つの基板135を曝露させながら、超大気圧はチャンバ100で維持される。別の例では、処理チャンバ内の圧力は、約12barから約20bar、例えば約12barから約15barなど、約12barから約50barの範囲内に維持される。CVD中の処理ガスの存在下での超大気圧の適用は、シームを伴わない間隙充填及びシーム修復を容易にする。一実施態様では、チャンバ100は、処理領域115及び基板135を約150℃から約800℃の範囲内の温度で維持する。一実施態様では、基板135の温度と処理領域115の温度は異なる。
図2は、一又は複数の特徴部を充填するために基板の一又は複数の特徴部の上方に配置された材料を有する基板の概略断面図である。基板135は、基板135上の層202、例えばケイ素又は炭素含有層に形成された一又は複数の特徴部204(3つの高アスペクト比のトレンチとして図示)を含む。基板135は概して、ケイ素(Si)及び/又はゲルマニウム(Ge)基板を含むがこれらに限定されない、処理のための任意の適切な基板であり、酸素(O)、窒素(N)、及び炭素(C)などの他の要素を含み得る。材料206は、従来、一又は複数の特徴部204の上方に配置されている。従来の堆積プロセスの例には、限定されないが、化学気相堆積(CVD)、プラズマCVD(PECVD、原子層堆積(ALD))、及びプラズマALD(PEALD)が含まれる。しかしながら、従来のプロセスは、材料206の堆積中に一又は複数の特徴部204に形成された一又は複数のシーム208をもたらす。一又は複数のシーム208は、間隙充填堆積中のトレンチ又は同様の特徴部の不完全な充填により引き起こされる材料206内の小さな間隙又はボイドとしても記載され得る。つまり、シーム208は、意図的に形成されたトレンチでもなく、意図的に形成された同様の特徴部でもない。一又は複数のシーム208は材料206の表面に曝露され、これにより、シーム208の少なくとも一つの端部は処理領域115に曝露される。シーム208は、層202に形成された特徴部204の中央にある薄いシームであり得、さまざまな深さ及び厚さであり得る。別の実施態様では、シーム208は、ある特徴部204のみに形成され得るため、すべての特徴部204がシーム208を有するわけではない。シーム208は、特徴部内の中心から外れていてもよく、さまざまな形状及びサイズを含んでもよい。未処理のままの場合、一又は複数のシーム208は、基板のさらなる処理の間に開放することが多く、これは、デバイスのパフォーマンスの低下につながる。
図3は、基板の特徴部を間隙充填するための方法300のフロー図である。説明を容易にするために、図3は図1、図4A、及び図4Bを参照して記載される。しかしながら、図1のチャンバ100以外のチャンバが方法300と併せて用いられ得ることに留意されたい。図4A及び図4Bは、方法300による基板の概略断面図である。
動作301では、基板401は、処理のための、環境、例えばチャンバ中に位置付けされる。一実施態様では、複数の基板401は、チャンバ100での処理のためのバッチとして位置付けされる。図4Aに示すとおり、基板401は、基板401上の層402、例えばケイ素又は炭素含有層に形成された一又は複数の特徴部404(3つの高アスペクト比のトレンチとして図示)を有する。一実施態様では、カセット130にロードされた複数の基板401は、チャンバ100の処理領域115に移送される。その後、ドア120は閉じられ、チャンバ100が密閉される。チャンバ100の処理領域115は、圧送され、非反応性ガスでパージされる。処理領域のパージ後、圧力は、1気圧をわずかに超える絶対圧力、例えば、約1気圧から約2気圧、例えば、約1気圧から約1.5気圧、又は約1気圧から約1.1気圧に増加する。チャンバ100の処理領域115は加圧されて、チャンバ100は一又は複数の特徴部404を間隙充填するための超大気圧チャンバに変化する。一実施態様では、前駆体は、チャンバへ入る前に、注入導管157及びパイプ118内で所定の温度に加熱される。チャンバは比較的冷温であり得るため、チャンバの温度は、導管157及びパイプ118内で加熱された前駆体とほぼ同じ温度である。この実施態様では、チャンバは前駆体の導入後に加熱されるため、チャンバが所定の処理温度に達するまで、温度上昇によって圧力増加が引き起こされる。別の実施態様では、前駆体は、既に処理温度である予加熱されたチャンバ中に導入される。この実施態様では、圧力増加は、少なくとも部分的に、チャンバ内の前駆体ガスの導入及び加熱に起因する。
動作302では、図4Bに示すように、基板は前駆体に曝露され、間隙充填層400は、一又は複数の特徴部404を充填するために、基板401の一又は複数の特徴部404の上方に配置される。間隙充填層400は、超大気圧でCVDにより堆積される。一実施態様では、超大気圧は、約1barから約50bar、例えば約1barから約20bar、例えば約5barから約15barの範囲内である。別の例では、処理チャンバ内の超大気圧は、約12barから約50bar、例えば約12barから約20bar、例えば約12barから約15barの範囲内に維持される。一実施態様では、少なくとも一つの前駆体はチャンバ100の処理領域115中に超大気圧で導入される。いくつかの実施態様では、少なくとも一つの前駆体はチャンバ100の処理領域115中におよそ大気圧で導入され、圧力は所定の処理チャンバ圧力を得るよう増加する。図4Bに示すとおり、間隙充填層400は、一又は複数の特徴部404に形成された一又は複数のシーム(図2に示される層202において参照番号208で図示)を有さずに、一又は複数の特徴部404を充填するために基板401の一又は複数の特徴部404の上方に配置される。一又は複数のシーム208を有さずに堆積された間隙充填層400は、デバイスのパフォーマンスの改善をもたらす。
一実施態様では、間隙充填層400はX材料含有膜であり、間隙充填層400を堆積させることには、基板401の一又は複数の特徴部404をX材料含有前駆体に曝露することが含まれ、ここでXは元素である。Xの適切な例には、限定されないが、とりわけケイ素及び炭素が含まれる。X材料含有前駆体は、場合によっては、チャンバ100内に配置される前又は配置される間に加熱されてもよい。
一実施態様では、間隙充填層400はケイ素含有膜であり、間隙充填層400を堆積させることには、基板401の一又は複数の特徴部404を少なくとも一つのケイ素含有前駆体に曝露することが含まれる。適切なケイ素含有前駆体の例には、限定されないが、シラン(SiH)、ジシラン(HSi)、ジクロロシラン(DCS)、トリシラン(HSi)、テトラシラン(Si10)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、ヘキサメチルジシロキサン(HMDSO)、及びトリシリル-ペンタン(C19NSi)のうちの一又は複数が含まれる。一実施態様では、少なくとも一つのケイ素含有前駆体は、場合によっては、チャンバ100の構成要素を介して加熱される。
別の実施態様では、間隙充填層400は炭素含有膜であり、間隙充填層400を堆積させることには、基板401の一又は複数の特徴部404を少なくとも一つの炭素含有前駆体に曝露することが含まれる。適切な炭素含有前駆体の例には、限定されないが、メタン(CH)、アセチレン(C)、プロペン(C)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、ヘキサメチルジシロキサン(HMDSO)、トリシリル-ペンタン(C19NSi)、その他のアルケン、及びその他のビニル炭化水素が含まれる。一実施態様では、少なくとも一つの炭素含有前駆体は、場合によっては、チャンバ100の構成要素を介して加熱される。
基板401の一又は複数の特徴部404の上方への間隙充填層400の堆積は、摂氏約150度(℃)と約450℃の間の処理領域115の温度、及び約200℃と約800℃の間の基板401の温度で生じる。一実施態様では、一又は複数の特徴部404は、約1分間から約180分間の持続時間にわたって曝露される。少なくとも一つのケイ素含有前駆体は、約100sccmから約2000sccmの流量で提供される。少なくとも一つの炭素含有前駆体は、約100sccmから約2000sccmの流量で提供される。一実施態様では、図4Bに示すとおり、300-400℃の温度及び約1から約10barの超大気圧により、共形膜である間隙充填層400がもたらされることになる。別の実施態様では、500-800℃の温度及び約1barから約10barの超大気圧により、ボトムアップ堆積膜などの、共形である間隙充填層400がもたらされることになる。いくつかの実施態様では、間隙充填層400は水素低含有膜であり得る。いくつかの実施態様では、間隙充填層400は膜内に実質的に水素濃度を含まない膜であり得る。いくつかの実施態様では、間隙充填層400は非晶質ケイ素膜を構成する。いくつかの実施態様では、シーム充填層600は非晶質炭素膜を構成する。いくつかの実施態様では、シーム充填層600は、ケイ素含有間隙充填層又は炭素含有間隙充填層のうちの少なくとも一つを含む。
図5は、基板の一又は複数の特徴部の上方に配置された材料のシームを充填するための方法500のフロー図である。説明を容易にするために、図5は図1、図2、及び図6を参照して記載される。しかしながら、図1のチャンバ100以外のチャンバが方法500と併せて用いられ得ることに留意されたい。図6は、方法500による基板の概略断面図である。
動作501では、基板135は、処理のための、位置又は環境、例えばチャンバ中に提供される。一実施態様では、複数の基板135は、チャンバ100での処理のためのバッチとして位置付けされる。別の例では、一度に一つの基板135がチャンバ100で処理される。図2に示すとおり、基板135は、材料206の一又は複数のシーム208を有する。つまり、基板135上に配置された材料206は、一又は複数のシーム208を含む。材料206は、基板135の層202に形成された一又は複数の特徴部204の上方に配置される。一実施態様では、基板135は、カセット130上でチャンバ100の処理領域115中にロードされる。その後、ドア120は閉じられ、チャンバ100が密閉される。チャンバ100の処理領域115は加圧されて、チャンバ100は材料206のシーム208を充填するための超大気圧チャンバに変化する。
動作502では、図6に示すように、シーム充填層600は、一又は複数のシーム208を充填するために、基板135の一又は複数のシーム208中に配置される。シーム充填層600は、超大気圧でCVDにより堆積される。適切な前駆体が、超大気圧で使用され、一又は複数の特徴部204内のシーム208中に送られるため、前駆体は低圧シーム中に送られる。これは、前駆体がシーム208中に入ってその中にシーム充填層600を形成し、それによりシーム208を修復するのを可能にするのに役立つ。シーム充填層600は、材料206の表面に曝露されているシーム内に形成される。一実施態様では、超大気圧は、約1barから約50bar、例えば約1barから約20bar、例えば約5barから約15barの範囲内である。別の例では、処理チャンバ内の圧力は、約12barから約20bar、例えば約12barから約15barなど、約12barから約50barの範囲内に維持される。一実施態様では、少なくとも一つの前駆体はチャンバ100の処理領域115中に超大気圧で導入される。図6に示すとおり、シーム充填層600は、一又は複数のシーム208内に堆積されて、シーム208中に追加のシームを形成することなく、一又は複数のシーム208を充填する。本明細書に記載されるような高圧の使用は、駆動力として作用し、シーム充填層600がシーム208を充填するのを可能にする。いくつかの実施態様では、シーム充填層600を用いてシーム208を充填することは、シーム充填層600のいくつかがシーム208の外側及び材料206の頂部で材料206上に堆積されることも引き起こすことになることが想定される。シーム充填層600のこのセクションは、化学機械研磨又は選択的エッチバックなどの他の基板処理方法により、後に除去され得る。
一実施態様では、材料206はX含有膜であり、シーム充填層600を堆積させることには、基板135の一又は複数のシーム208をX含有前駆体に曝露することが含まれ、ここでXは元素である。Xの適切な例には、限定されないが、とりわけケイ素及び炭素が含まれる。X含有前駆体は、場合によっては、チャンバ100内に配置される前又は配置される間に加熱されてもよい。X含有膜は、材料206又は間隙充填層400と同様であってもよい。X含有膜は、X含有前駆体と材料206の反応によっても作成され得る。
一実施態様では、材料206はケイ素を含有し、シーム充填層600を堆積させることには、一又は複数のシーム208を少なくとも一つのケイ素含有前駆体に曝露することが含まれる。シーム充填層600は、ケイ素含有前駆体から形成されたケイ素含有層であり得る。適切なケイ素含有前駆体の例には、限定されないが、シラン(SiH)、ジシラン(HSi)、ジクロロシラン(DCS)、トリシラン(HSi)、テトラシラン(Si10)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、ヘキサメチルジシロキサン(HMDSO)、及びトリシリル-ペンタン(C19NSi).のうちの一又は複数が含まれる。一実施態様では、少なくとも一つのケイ素含有前駆体は、場合によっては、チャンバ100の構成要素を介して加熱される。
別の実施態様では、材料206は炭素を含有し、シーム充填層600を堆積させることには、一又は複数のシーム208を少なくとも一つの炭素含有前駆に曝露して、炭素含有シーム充填層600を形成することが含まれる。適切な炭素含有前駆体の例には、限定されないが、メタン(CH)、アセチレン(C)、プロペン(C)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、ヘキサメチルジシロキサン(HMDSO)、トリシリル-ペンタン(C19NSi)、その他のアルケン、及びその他のビニル炭化水素が含まれる。一実施態様では、少なくとも一つの炭素含有前駆体は、場合によっては、チャンバ100の構成要素を介して加熱される。
いくつかの実施態様では、シーム208を修復することは、シーム208がシーム充填層600で充填されるように、シーム充填層600をシーム208中に堆積させることを含む。シーム充填層600の堆積は、150℃と約450℃の間の処理領域115の温度、及び約200℃と約800℃の間の基板135の温度で生じる。一実施態様では、一又は複数のシーム208は、約1分間から約60分間の持続時間にわたって曝露される。少なくとも一つのケイ素含有前駆体は、約50sccmから約1000sccmの流量で提供される。少なくとも一つの炭素含有前駆体は、約50sccmから約1000sccmの流量で提供される。いくつかの実施態様では、シーム充填層600は水素含有層であり得る。いくつかの実施態様では、シーム充填層600は層内に実質的に水素を有しない場合がある。いくつかの実施態様では、シーム充填層600は非晶質ケイ素層を構成する。いくつかの実施態様では、シーム充填層600は非晶質炭素層を構成する。いくつかの実施態様では、シーム充填層600は、ケイ素含有シーム充填層又は炭素含有シーム充填層のうちの少なくとも一つを含む。シーム208をシーム充填層600で充填した後、ある余剰のシーム充填層600も、材料206の及びシーム208の外側に堆積され得る。この余剰分は、後の除去プロセス中に除去され得る。この除去は、バッチ又は単一ウエハプロセスで完了され得る。
本開示の実施態様は、半導体デバイスの特徴部をシームを伴わずに間隙充填し、シーム修復する方法を提供する。間隙充填及びシーム修復はシームを伴わないため、半導体デバイスの全体的なパフォーマンスが改善される。
以上の説明は本開示の実施態様を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の実施態様及びさらなる実施態様が考案されてもよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (14)

  1. 基板上に形成された特徴部を充填するための方法であって、
    基板上に形成された材料の表面に一又は複数の特徴部が形成された前記基板を、処理チャンバ中に位置付けすることと、
    前記一又は複数の特徴部を少なくとも一つの前駆体に約1bar以上の圧力で曝露することと、
    前記一又は複数の特徴部の上方に、ケイ素含有膜又は炭素含有間隙充填層のうちの少なくとも一つを含む間隙充填層を形成して、前記一又は複数の特徴部を充填することと、
    を含む、方法。
  2. 前記圧力が約1barから約50barである、請求項1に記載の方法。
  3. 前記少なくとも一つの前駆体が少なくとも一つのケイ素含有前駆体である、請求項1に記載の方法。
  4. 前記少なくとも一つのケイ素含有前駆体が、シラン(SiH)、ジシラン(HSi)、ジクロロシラン(DCS)、トリシラン(HSi)、テトラシラン(Si10)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、ヘキサメチルジシロキサン(HMDSO)、及びトリシリル-ペンタン(C19NSi)のうちの少なくとも一つを含む、請求項3に記載の方法。
  5. 前記一又は複数の特徴部が、約1分間から約180分間の持続時間にわたって曝露される、請求項3に記載の方法。
  6. 前記少なくとも一つの前駆体が少なくとも一つの炭素含有前駆体である、請求項1に記載の方法。
  7. 前記少なくとも一つの炭素含有前駆体が、メタン(CH)、アセチレン(C)、プロペン(C)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、及びヘキサメチルジシロキサン(HMDSO)、トリシリル-ペンタン(C19NSi)のうちの少なくとも一つを含む、請求項7に記載の方法。
  8. 基板上に形成された特徴部を充填するための方法であって、
    基板上に配置された材料の表面に一又は複数の特徴部が形成された前記基板を、処理チャンバ中に位置付けすることであって、前記一又は複数の特徴部のうちの少なくとも一つが、前記一又は複数の特徴部の上方に配置された材料と、前記材料中に配置されたシームとを含む、位置付けすることと、
    前記材料を少なくとも一つの前駆体に約1bar以上の圧力で曝露することと、
    前記少なくとも一つの前駆体から形成された充填材料で前記シームを充填することであって、前記シーム充填材料が、ケイ素含有シーム充填層又は炭素含有シーム充填層のうちの少なくとも一つを含む、充填材料でシームを充填することと、
    を含む、方法。
  9. 前記材料を前記少なくとも一つの前駆体に曝露することが、前記材料を前記少なくとも一つの前駆体に約1barから約50barの圧力で曝露することをさらに含む、請求項9に記載の方法。
  10. 前記材料を前記少なくとも一つの前駆体に曝露することが、前記少なくとも一つの前駆体に由来するシーム充填層を前記材料上に堆積させることをさらに含む、請求項9に記載の方法。
  11. 前記少なくとも一つの前駆体が、シラン(SiH)、ジシラン(HSi)、ジクロロシラン(DCS)、トリシラン(HSi)、テトラシラン(Si10)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、ヘキサメチルジシロキサン(HMDSO)、及びトリシリル-ペンタン(C19NSi)のうちの少なくとも一つを含む、請求項11に記載の方法。
  12. 前記少なくとも一つの前駆体が、メタン(CH)、アセチレン(C)、プロペン(C)、テトラエチルオルトシリケート(Si(OC)、トリメチルアミン(TMA)、ジメチルシラン(CSi)、テトラメチルジシラン(((CHSiHSiH(CH)、ヘキサメチルジシラン((Si(CH)、及びヘキサメチルジシロキサン(HMDSO)、トリシリル-ペンタン(C19NSi)のうちの少なくとも一つを含む、請求項11に記載の方法。
  13. 基板上に形成された特徴部を充填するための方法であって、
    ケイ素又は炭素のうちの少なくとも一つを含有する前駆体を、基板を含有する処理チャンバの処理領域中に流すことと、
    前記基板を含有する前記処理領域内に配置された前記前駆体の圧力を約1bar以上で維持することと、
    前記前駆体を含有する前記処理領域内に配置された前記基板上に配置された材料上に配置されたシームを修復すること又は特徴部を間隙充填することと、
    を含む、方法。
  14. 前記前駆体を前記処理領域中に流すことが、シーム充填層を前記材料上に配置することをさらに含む、請求項14に記載の方法。
JP2021526269A 2018-11-16 2019-11-04 強化拡散プロセスを使用する膜の堆積 Pending JP2022507390A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862768523P 2018-11-16 2018-11-16
US62/768,523 2018-11-16
PCT/US2019/059659 WO2020101935A1 (en) 2018-11-16 2019-11-04 Film deposition using enhanced diffusion process

Publications (1)

Publication Number Publication Date
JP2022507390A true JP2022507390A (ja) 2022-01-18

Family

ID=70728157

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021526269A Pending JP2022507390A (ja) 2018-11-16 2019-11-04 強化拡散プロセスを使用する膜の堆積

Country Status (7)

Country Link
US (1) US11227797B2 (ja)
JP (1) JP2022507390A (ja)
KR (1) KR20210077779A (ja)
CN (1) CN112996950B (ja)
SG (1) SG11202103763QA (ja)
TW (1) TWI726478B (ja)
WO (1) WO2020101935A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022095463A (ja) * 2020-12-16 2022-06-28 東京エレクトロン株式会社 半導体装置の製造方法及び基板処理装置
FR3143836A1 (fr) * 2022-12-14 2024-06-21 Soitec Procédé de stabilisation d’une surface en un matériau semiconducteur

Family Cites Families (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) * 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0748489A (ja) 1993-08-05 1995-02-21 Mitsubishi Gas Chem Co Inc 耐光性樹脂組成物
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2002541664A (ja) * 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US7027722B2 (en) 2002-11-25 2006-04-11 Koyo Thermo Systems Co., Ltd. Electric heater for a semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
EP1597752A2 (en) 2003-02-04 2005-11-23 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
CN100408902C (zh) 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP2007522649A (ja) 2003-12-23 2007-08-09 ジョン シー. シューマカー、 半導体反応器用の排気調整システム
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
JP5276436B2 (ja) 2005-06-10 2013-08-28 オブデュキャット、アクチボラグ 中間スタンプによるパターン複製
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010525530A (ja) 2007-04-30 2010-07-22 アイファイアー・アイピー・コーポレーション 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造
WO2008147522A1 (en) 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
KR20100084676A (ko) 2007-10-26 2010-07-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 연료 회로를 사용하는 스마트 저감을 위한 방법 및 장치
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) * 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) * 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
KR101593460B1 (ko) 2009-02-04 2016-02-12 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세스를 위한 접지 귀환
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
US8404561B2 (en) * 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (ko) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012134025A1 (ko) 2011-03-25 2012-10-04 Lee Seo Young 광도파로 및 그 제조방법
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US10090179B2 (en) 2011-06-28 2018-10-02 Brooks Automation, Inc. Semiconductor stocker systems and methods
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
CN103999198B (zh) 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
CN103794543B (zh) * 2012-10-31 2018-07-10 中芯国际集成电路制造(上海)有限公司 隔离结构及其形成方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG11201600447YA (en) 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲***
JP6399417B2 (ja) 2014-06-16 2018-10-03 インテル・コーポレーション 金属相互接続のシーム修復
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用***
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
KR20190141034A (ko) 2015-02-06 2019-12-20 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
TWI791199B (zh) 2015-05-11 2023-02-01 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR102606653B1 (ko) * 2017-03-31 2023-11-24 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
WO2018204078A1 (en) 2017-05-01 2018-11-08 Applied Materials, Inc. High pressure anneal chamber with vacuum isolation and pre-processing environment
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2018222614A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Quality improvement of films deposited on a substrate
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
EP3756217A4 (en) 2018-02-22 2021-11-10 Applied Materials, Inc. PROCESS FOR TREATING A MASK SUBSTRATE ALLOWING A BETTER FILM QUALITY
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus

Also Published As

Publication number Publication date
TW202020208A (zh) 2020-06-01
US20200161178A1 (en) 2020-05-21
KR20210077779A (ko) 2021-06-25
SG11202103763QA (en) 2021-05-28
WO2020101935A1 (en) 2020-05-22
TWI726478B (zh) 2021-05-01
CN112996950B (zh) 2024-04-05
US11227797B2 (en) 2022-01-18
CN112996950A (zh) 2021-06-18

Similar Documents

Publication Publication Date Title
KR102233755B1 (ko) SiC막의 성막 방법
US10269559B2 (en) Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR101749398B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6573575B2 (ja) 凹部の埋め込み方法
KR102158903B1 (ko) 질화막의 형성 방법 및 형성 장치
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
KR101498960B1 (ko) 박막의 형성 방법 및 성막 장치
WO2018089534A1 (en) Method for high modulus ald sio2 spacer
JP6548349B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
JP2022190136A (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022507390A (ja) 強化拡散プロセスを使用する膜の堆積
KR20190138284A (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6902958B2 (ja) シリコン膜の形成方法および形成装置
KR20190109484A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
CN107658210B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
KR101997959B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6675962B2 (ja) 成膜方法及び成膜システム
US20220384186A1 (en) Methods to enable seamless high quality gapfill
US20230032481A1 (en) Station-to-station control of backside bow compensation deposition
WO2021181450A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR20240041869A (ko) 처리 방법, 반도체 장치의 제조 방법, 처리 장치 및 프로그램
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
KR20210149411A (ko) 박막 증착 방법
JP2021150383A (ja) 基板処理方法及び基板処理装置