KR101542267B1 - 규소 함유 막의 형성 방법 - Google Patents

규소 함유 막의 형성 방법 Download PDF

Info

Publication number
KR101542267B1
KR101542267B1 KR1020107008189A KR20107008189A KR101542267B1 KR 101542267 B1 KR101542267 B1 KR 101542267B1 KR 1020107008189 A KR1020107008189 A KR 1020107008189A KR 20107008189 A KR20107008189 A KR 20107008189A KR 101542267 B1 KR101542267 B1 KR 101542267B1
Authority
KR
South Korea
Prior art keywords
silicon
gas
reaction chamber
nitrogen
oxygen
Prior art date
Application number
KR1020107008189A
Other languages
English (en)
Other versions
KR20100061733A (ko
Inventor
크리스티앙 두사랏
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20100061733A publication Critical patent/KR20100061733A/ko
Application granted granted Critical
Publication of KR101542267B1 publication Critical patent/KR101542267B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반응 챔버 내에 기판을 제공하는 단계, 반응 챔버 내로 하나 이상의 규소 함유 화합물을 주입하는 단계; 반응 챔버 내로 하나 이상의 가스상 공-반응물을 주입하는 단계; 및 기판, 규소 함유 화합물, 및 가스상 반응제를 550 ℃ 이하의 온도에서 반응시켜 기판 위에 증착된 규소 함유 막을 획득하는 단계를 포함하는 규소 함유 막 형성 방법이다. 반응 챔버 내에 규소 웨이퍼를 도입하는 단계; 반응 챔버 내에 규소 함유 화합물을 도입하는 단계; 불활성 가스로 반응 챔버를 퍼지하는 단계; 및 규소 웨이퍼 위의 질화규소 막의 단분자층의 형성에 적합한 조건 하에서 질소 함유 가스상 공-반응물을 도입하는 단계를 포함하는 질화규소 막의 제조 방법이다.

Description

규소 함유 막의 형성 방법{METHOD OF FORMING SILICON-CONTAINING FILMS}
<관련 출원의 상호참조>
본 출원은 2007.09.18에 출원된 미국 가특허 출원 제60/973,210호의 권리를 주장하며, 그의 개시 내용은 본원에 참조문헌으로 도입되었다.
<기술분야>
본 발명은 일반적으로 반도체 제조 분야, 더욱 구체적으로 규소 함유 막의 형성 방법에 관한 것이다. 특히 더욱 구체적으로, 본 발명은 규소 전구체 및 가스상 공-반응물을 사용한 규소 함유 막 형성 방법에 관한 것이다.
상보형 금속-산화물-반도체(CMOS) 장치의 전단부 제조에 있어서는, 각 금속-산화물-반도체(MOS) 트랜지스터의 게이트 전극 상에 질화규소(SiN) 등의 부동화 막이 형성된다. 이 SiN 막은 각 트랜지스터의 파괴 전압을 증가시키기 위하여(다결정질 규소 또는 금속 층들 등의) 게이트 전극의 상단면 및 측면에 증착된다. 400 ℃ 이하의 온도에 도달하기 위하여 그러한 SiN 막의 증착 온도를 감소시키려는 시도가 이루어졌다. 그러나, 400 ℃ 미만의 온도에서 증착된 SiN 막은 일반적으로 막 품질이 좋지 않다. 이 문제를 극복하기 위하여, 이산화규소(SiO2) 막을 사용하여 SiN 막 특성(즉, "듀얼 스페이서")을 보강함으로써, 장치의 성능을 상당히 개선할 수 있는 효율적인 전기 장벽층이 만들어질 수 있게 하는 것이 제안되었다.
SiO2 막은 좁은 트렌치 격리(STI)층, 층 간 절연(ILD)층, 부동화층, 에칭 정지층과 같은 다양한 기능에 도입되었다. 저온에서, 예컨대, 400 ℃ 미만에서 이 SiO2 층의 증착 공정을 개선하는 것이 바람직하다. 듀얼 스페이서 적용예의 경우에, 낮은 증착 온도(예컨대, 300 ℃)에서 수행되는 매우 얇은(예컨대, 20-50 옹스트롬(Å) 두께의) 막의 증착은 금속 전극의 산화를 초래하지 않을 수 있으며, 게이트를 따르는 모든 곳에서 균일할 수 있다. 그러한 요구에는 원자층 증착 공정이 일반적으로 적합하다. STI 적용예에 관한 한, 등각 필름이 500 ℃ 미만에서 높은 증착 속도(분 당 수백 Å)로 증착될 수 있다.
높은 증착 속도를 얻기 위해서, 화학적 기상 증착(CVD) 및/또는 원자 층 증착(ALD) 공정에서의 원하는 증착 조건 하에서의 반응성, 즉, 규소 원, 공-반응물 및 기판 표면 간의 반응성을 개선하기 위하여 새로운 분자를 고려할 수 있다. ALD 의 경우, 최소 입체 장애는 분자가 반응할 수 있는 부위의 수를 최대화하기 위해서 고려되어야 할 하나의 변수이다.
본원에 개시된 발명은
a) 반응 챔버 내에 기판을 제공하는 단계,
b) 상기 반응 챔버 내에 하나 이상의 규소 함유 화합물을 주입하는 단계;
c) 상기 반응 챔버 내에 하나 이상의 가스상 공-반응물을 주입하는 단계; 및
d) 550 ℃ 이하의 온도에서 기판, 규소 함유 화합물, 및 가스상 공-반응물을 반응시켜 기판 위에 증착된 실리콘 함유 막을 획득하는 단계를 포함하는 규소 함유 막 형성 방법이다.
몇몇 실시태양에서, 상기 방법은 규소 함유 화합물을 추가적으로 포함하며 상기 규소 함유 화합물은 아미노실란, 디실릴아민(disiliylamine), 실란 또는 이들의 혼합물을 포함한다. 아미노실란은 화학식 (R1R2N)xSiH4 -X를 가지는 화합물을 포함할 수 있으며, 상기 식에서 R1 및 R2는 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬, 또는 트리메틸실릴과 같은 실릴기이며, x는 1 또는 2이다. 다르게는, 아미노실란은, 화학식 Lx SiH4 -x를 가지는 화합물을 포함하며, 상기 식에서 L은 C3-C12 환형 아미노 리간드이며 x는 1 또는 2이다. 디실릴아민은 화학식 (SiH3)2NR을 가지는 화합물을 포함할 수 있으며, 상기 식에서 R은 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬이다. 상기 실란은 화학식 (SiH3)nR을 가지는 화합물을 포함할 수 있으며 이 때, n은 1 내지 4를 포함하고, R은 H, N, NH, O, SO3CF3, CH2, C2H4, SiH2, SiH 및 Si로 구성된 군에서 선택된다. 공-반응물은 산소 함유 가스, 질소 함유 가스, 산소 및 질소를 모두 포함하는 가스, 또는 산소 및 질소를 포함하는 가스의 혼합물을 포함할 수 있다. 산소 함유 가스는 오존, 산소, 수증기, 과산화수소, 또는 이들의 혼합물을 포함할 수 있다. 질소 함유 가스는 암모니아, 질소, 히드라진, 또는 이들의 혼합물을 포함할 수 있다. 가스 혼합물은 암모니아 및 산소를 포함할 수 있다. 공-반응물은 산화 질소를 포함할 수 있다.
본 방법은 산소 또는 질소 라디칼을 포함하는 공-반응물을 발생시키는 단계를 추가적으로 포함할 수 있으며, 상기 공-반응물을 발생시키는 단계는 산소 또는 질소 라디칼을 발생하는데 적합한 조건 하에서 산소 함유 또는 질소 함유 화합물을 플라즈마에 노출하는 것을 포함한다. 일 실시태양에서, 플라즈마는 반응 챔버 내에서 발생된다. 대체 실시태양에서, 라디칼은 반응 챔버 내로 공급되거나, 반응 챔버 내에서 발생되거나, 또는 둘 다이다.
본 방법은 a, b, c, d, 또는 이들의 조합 단계 후에 불활성 가스로 반응 챔버를 퍼지하는 단계를 추가적으로 포함할 수 있으며, 상기 불활성 가스는 질소, 아르곤, 헬륨 또는 이들의 조합을 포함한다.
본 방법은 원하는 규소 함유 막 두께가 얻어질 때까지 b) 내지 d)의 단계를 반복하는 것을 추가적으로 포함할 수 있다. 본 방법은 b), c) 및/또는 d)의 단계를 수행하기에 앞서, 기판을 반응 챔버 내에 도입한 후 반응 챔버 내에서 기판을 추가적으로 가열할 수 있으며, 상기 기판은 반응 챔버 온도 이하의 온도로 가열된다.
상기 기판은 반도체 장치의 제조에 사용되는 규소 웨이퍼(또는 SOI), 그 위에 증착된 층, 액정 표시 장치의 제조에 사용되는 유리 기판, 또는 그 위에 증착된 층을 포함할 수 있다.
본 방법은 상기 b), c), 또는 두 단계 모두를 하나 이상의 화합물 및/또는 가스의 불연속적 주입에 의해 수행하는 것을 추가적으로 포함할 수 있다. 반응 챔버 내에서 펄스된 화학적 기상 증착 또는 원자층 증착이 수행될 수 있다.
일 실시태양에서, 반응 챔버 내에서 규소 함유 화합물 및 가스상 공-반응물의 동시 주입이 수행될 수 있다. 또 다른 실시태양에서, 반응 챔버 내에서 규소 함유 화합물 및 가스상 공-반응물의 교대 주입이 수행될 수 있다. 그리고 또 다른 실시태양에서, 규소 함유 화합물 또는 가스상 공-반응물이 또 다른 화합물 및/또는 하나 이상의 가스상 공-반응물의 주입 전에 기판의 표면 위에 흡착된다.
규소 함유 막은 1 Å/주기 이상의 증착 속도로 형성될 수 있으며, 반응 챔버 압력이 0.1 내지 1000 torr(13 내지 1330 kPa)일 수 있다.
일 실시태양에서, 가스상 공-반응물은 산소와 오존을 오존 대 산소의 비율이 20 용적% 미만이 되도록 포함한다. 대체 실시태양에서, 가스상 공-반응물은 암모니아와 히드라진을 히드라진 대 암모니아의 비율이 15 용적% 미만이 되도록 포함하는 가스 혼합물이다.
일 실시태양에서, 규소 함유 화합물은 트리실릴아민(TSA)(SiH3)3N; 디실록산(DSO)(SiH3)2; 디실릴메틸아민(DSMA) (SiH3)2NMe; 디실릴에틸아민(DSEA) (SiH3)2NEt; 디실릴이소프로필아민(DSIPA) (SiH3)2N(iPr); 디실릴tert부틸아민(DSTBA) (SiH3)2N(tBu); 디에틸아미노실란 SiH3NEt2; 디이소프로필아미노실란 SiH3N(iPr)2; 디tert부틸아미노실란 SiH3N(tBu)2; 실릴피페리딘 또는 피페리디노실란 SiH3(pip); 실릴피롤리딘 또는 피롤리디노실란 SiH3(pyr); 비스(디에틸아미노)실란(BDEAS) SiH2(NEt2)2; 비스(디메틸아미노)실란(BDMAS) SiH2(NMe2)2; 비스(tert-부틸아미노)실란(BTBAS) SiH2(NHtBu)2; 비스(트리메틸실릴아미노)실란(BITS) SiH2(NHS iMe3)2; 비스피페리디노실란 SiH2(pip)2; 비스피롤리디노실란 SiH2(pyr)2; 실릴 트리플레이트 SiH3(OTf); 디트리플라토실란 SiH2(OTf)2; 및 이들의 조합으로 이루어진 군에서 선택된다.
반응 챔버 내에 규소 웨이퍼를 도입하는 단계;
반응 챔버 내에 규소 함유 화합물을 도입하는 단계;
반응 챔버를 불활성 가스로 퍼지하는 단계; 및
규소 웨이퍼 위의 질화규소 막의 단분자층의 형성에 적합한 조건 하에서 질소 함유 가스상 공-반응물을 반응 챔버 내에 도입하는 단계를 포함하는 질화규소 막 제조 방법 또한 본원에 개시되었다.
반응 챔버 내에 규소 웨이퍼를 도입하는 단계;
반응 챔버 내에 규소 함유 화합물을 도입하는 단계;
반응 챔버를 불활성 가스로 퍼지하는 단계; 및
규소 웨이퍼 위의 산화규소 막의 단분자층의 형성에 적합한 조건 하에서 산소 함유 가스상 공-반응물을 반응 챔버 내에 도입하는 단계를 포함하는 산화규소 막 제조 방법 또한 본원에 개시되었다.
본 발명의 바람직한 실시태양의 상세한 설명을 위해 수반되는 도면이 참고될 것이다.
도 1은 막 형성 방법의 불활성 가스 퍼지 단계의 개시시에 사용되는 막 형성 장치의 개략도이다.
도 2는 규소 함유 화합물 가스 펄스 단계의 개시시의 도 1의 막 형성 장치의 개략도이다.
도 3은 공-반응물 혼합된 가스 펄스의 개시시의 도 1의 막 형성 장치의 개략도이다.
도 4는 규소 함유 막을 포함하는 금속 산화물 트랜지스터(MOS) 트랜지스터의 측면도이다.
특정 용어들이 다음의 명세서 및 청구항 전체에서 특정한 시스템 요소를 지칭하기 위해 사용된다. 이 명세서는 명칭은 상이하나 기능은 그렇지 않은 성분들을 구분하도록 의도하지 않았다.
다음의 논의 및 청구항에서, 용어 "포함" 및 "함유"는 제한이 없는 방식으로 사용되었고, 따라서 "포함하나, 여기에 제한되지 않는..."을 의미하는 것으로 해석되어야 한다.
본원에서 사용되는, 약어, "Me"는 메틸기를 의미; 약어, "Et"는 에틸기를 의미; 약어, "Pr"는 프로필기를 의미; 약어, "iPr"는 이소프로필기를 의미한다.
본원에 개시된 것은 기판 위에 규소 함유 막을 형성하는 방법이다. 일 실시태양에서, 반응 챔버 내에 기판을 제공하는 단계; 상기 반응 챔버 내에 하나 이상의 규소 함유 화합물을 주입하는 단계; 상기 반응 챔버 내에 하나 이상의 가스상 공-반응물을 주입하는 단계; 및 550 ℃ 이하의 온도에서 기판, 규소 함유 화합물, 및 가스상 공-반응물을 반응시켜 기판 위에 증착된 규소 함유 막을 획득하는 단계를 포함한다. 일 실시태양에서, 규소 함유 막은 산화규소, 다르게는 질화규소, 다르게는 산화규소 및 질화규소을 모두 포함한다. 본원에 개시된 방법은 규소 함유 화합물의 공 반응제 및 기판에 대한 반응성을 최대화하기 위하여 550 ℃ 이하의 온도에서 수행될 수 있다.
규소 함유 화합물은 아미노실란, 디실릴아민, 실란, 또는 이들의 조합물을 포함할 수 있다.
일 실시태양에서, 규소 함유 화합물은 화학식 (R1R2N)xSiH4 -X를 가지는 아미노실란을 포함하며, 상기 식에서 R1 및 R2는 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬, 또는 트리메틸실릴과 같은 실릴기이며, x는 1 또는 2이다. 다르게는, 규소 함유 화합물은 화학식 LxSiH4 -x를 가지는 는 아미노실란을 포함하며, 상기 식에서, L은 C3-C12 환형 아미노 리간드이며 x는 1 또는 2이다. 다르게는, 규소 함유 화합물은 화학식 (SiH3)2NR을 가지는 디실릴아민을 포함하며 상기 식에서, R 은 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬이다. 다르게는, 규소 함유 화합물은 화학식 (SiH3)nR을 가지는 실란을 포함하며 이 때 n은 1 내지 4를 포함하고, R은 H, N, NH, O, SO3CF3, CH2, C2H4, SiH2, SiH 및 Si로 구성된 군에서 선택된다. 본 명세서에서 사용되기에 적합한 규소 함유 화합물의 예는 트리실릴아민(TSA)(SiH3)3N; 디실록산(DSO)(SiH3)2; 디실릴메틸아민(DSMA) (SiH3)2NMe; 디실릴에틸아민(DSEA) (SiH3)2NEt; 디실릴이소프로필아민(DSIPA) (SiH3)2N(iPr); 디실릴tert부틸아민(DSTBA) (SiH3)2N(tBu); 디에틸아미노실란 SiH3NEt2; 디이소프로필아미노실란 SiH3N(iPr)2; 디tert부틸아미노실란 SiH3N(tBu)2; 실릴피페리딘 또는 피페리디노실란 SiH3(pip); 실릴피롤리딘 또는 피롤리디노실란 SiH3(pyr); 비스(디에틸아미노)실란(BDEAS) SiH2(NEt2)2; 비스(디메틸아미노)실란(BDMAS) SiH2(NMe2)2; 비스(tert-부틸아미노)실란(BTBAS) SiH2(NHtBu)2; 비스(트리메틸실릴아미노)실란(BITS) SiH2(NHS iMe3)2; 비스피페리디노실란 SiH2(pip)2; 비스피롤리디노실란 SiH2(pyr)2; 실릴 트리플레이트 SiH3(OTf); 디트리플라토실란 SiH2(OTf)2; 또는 이들의 조합을 포함하며 그러나 이에 한정되지 않는다.
공-반응물은 산소 함유 가스, 질소 함유 가스, 산소 및 질소를 모두 포함하는 가스; 또는 산소 함유 및 질소 함유 화합물을 모두 가지는 가스 혼합물과 같은 가스상 물질을 포함할 수 있다
일 실시태양에서, 공-반응물은 산소 함유 가스를 포함한다. 본 명세서에서 사용되기에 적합한 산소 함유 가스는 오존; 산소 분자; 증발된 물; 과산화수소, 또는 이들의 조합물을 포함하며 이에 한정되지 않는다. 일 실시태양에서, 공-반응물은 질소 함유 가스를 포함한다. 본 명세서에서 사용되기에 적합한 질소 함유 가스는 암모니아; 질소; 히드라진; 또는 이들의 조합물을 포함하며 이에 한정되지 않는다. 일 실시태양에서 공-반응물은 가스 또는 가스 혼합물을 포함하며, 가스 및/또는 가스 혼합물은 질소와 산소를 모두 포함한다. 본원에서 사용되기에 적합한 이러한 화합물의 예는 산화 질소 및 암모니아와 산소의 혼합물을 포함하며 이에 한정되지 않는다.
일 실시태양에서, 공-반응물은 오존과 산소의 혼합물을 포함한다. 그러한 실시태양에서, 오존:산소 비는 30 용적퍼센트(vol) 미만, 다르게는 5 용적% 내지 20 용적%이다. 몇몇 실시태양에서, 공-반응물은 예컨대, 질소와 같은 불활성 가스로 희석된 오존 및 산소의 혼합물을 포함한다. 일 실시태양에서, 가스상 공-반응물은 히드라진의 암모니아에 대한 비가 15 용적%, 다르게는 2 용적% 내지 15 용적%로 암모니아 및 히드라진을 포함하는 가스 혼합물이다. 몇몇 실시태양에서, 공-반응물은 이온화 가스(즉, 플라즈마)에 노출되는 경우 반응하여 라디칼을 형성할 수도 있는 가스상의 산소 함유 및/또는 질소-함유 화합물을 포함한다.
가스상 공-반응물은 규소 함유 화합물과 반응하여 기판 위에 증착되어 규소 함유 막을 형성하는 물질을 생성할 수 있다. 예컨대, 공-반응물은 오존 및 산소의 혼합물; 플라즈마 내 산소의 들뜸에서 형성된 산소 라디칼을 포함하는 가스; 오존, 산소 및 질소, 아르곤, 또는 헬륨과 같은 불활성 가스의 혼합물; 또는 이들의 조합물을 포함할 수 있다. 이러한 가스 혼합물의 오존 농도는 0.1 용적% 내지 20 용적%일 수 있다. 반응 챔버의 조건 하에서, 산소 함유 가스는 규소 함유 화합물을 산화시켜 기판 위에 막으로서 증착되는 산화규소으로 전환할 수 있다.
다르게는, 공-반응물은 질소 함유 가스를 포함하고, 질소 함유 가스는 규소 함유 화합물을 질화하여 질화규소으로 전환한다. 이 질소 함유 가스는 암모니아; 암모니아의 들뜸으로부터 형성된 질소 함유 라디칼을 포함하는 가스; 가스상 암모니아 및 질소, 아르곤, 헬륨과 같은 불활성 가스의 혼합물; 또는 이들의 조합물일 수 있다.
일 실시태양에서, 규소 함유 막 형성 방법은 기판을 반응 챔버 내에 제공하는 것을 포함한다. 반응 챔버는 증착 방법이 일어나는 장치 내의 임의의 폐쇄 용기 또는 챔버, 한정하지 않는 예로써, 저온벽 타입 반응기, 고온벽 타입 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 재료가 반응하여 막을 형성하기에 적합한 조건 하의 다른 타입의 증착 시스템일 수 있다. 당 분야에 공지된 임의의 적절한 기판이 이용될 수 있다. 예컨대, 기판은 반도체 장치의 제조에 사용되는 규소 웨이퍼(또는 규소-온-인슐레이터(SOI) 웨이퍼), 또는 그 위에 증착된 층, 또는 액정 표시 장치의 제조에 사용되는 유리 기판, 또는 그 위에 증착된 층일 수 있다. 일 실시태양에서, 게이트 전극이 그 위에 형성된 반도체 기판은 특히, 산화규소 막이 게이트 파괴 전압을 개선시키기 위한 목적으로 사용되는 경우에 기판으로 사용된다. 일 실시태양에서, 기판은 임의의 추가적인 물질의 도입 전에 반응 챔버 내에서 가열될 수 있다. 기판은 반응 챔버 온도 이하의 온도로 가열될 수 있다. 예컨대, 기판은 적어도 50 ℃ 및 최대 550 ℃, 다르게는 200 ℃ 내지 400 ℃, 다르게는 250 ℃ 내지 350 ℃의 온도로 가열될 수 있다.
본 방법은 반응 챔버 내에 하나 이상의 규소 함유 화합물을 도입하는 것을 추가적으로 포함할 수 있다. 규소 함유 화합물은 임의의 적절한 기술(예컨대, 주입)에 의해서 반응 챔버에 도입될 수 있으며 본원 상기에 개시된 타입일 수 있다.
일 실시태양에서 본 방법은 하나 이상의 공-반응물을 반응 챔버 내에 도입하는 것을 추가적으로 포함할 수 있으며 상기 공-반응물은 가스상 일 수 있고 본원 상기에 개시된 타입일 수 있다. 공-반응물은 반응 챔버에 임의의 적절한 방법, 예컨대, 주입을 이용하여 도입될 수 있다. 규소 함유 화합물 및/또는 가스상 공-반응물은 반응기 내에 펄스로 도입될 수 있다. 규소 함유 화합물은 예컨대, 상온에서 그것이 기체일 때 실린더에서 반응 챔버 내로 펄스될 수 있다. 규소 함유 화합물은 SiH2(NEt2)2의 경우와 같이 상온에서 액체일 때, 버블러(bubbler) 기술을 이용하여 챔버 내로 펄스될 수 있다. 구체적으로, 규소 함유 화합물 용액은 용기 내에 위치하며, 필요한 경우 가열되고, 용기 내에 위치한 불활성 가스 버블러 튜브를 사용하여 불활성 가스를 그를 통하여 버블링 함으로서 불활성 가스(예컨대, 질소, 아르곤, 헬륨)에 연행되고, 그리고 챔버 내로 도입된다. 액체 질량 흐름 조절기 및 기화기의 조합 또한 사용될 수 있다. 가스상 규소 함유 화합물의 펄스는 반응 챔버 내로, 예컨대, 분당 0.1 내지 100 표준 세제곱센티미터(sccm)의 유속으로 0.1 내지 10 초간 전달될 수 있다. 산소 함유 가스의 펄스는 반응 챔버 내로, 예컨대, 10 내지 1000 sccm의 유속으로 0.1 내지 10 초간 전달될 수 있다.
기판, 규소 함유 화합물, 및 공 반응제는 그 후 기판 위에 증착된 규소 함유 막을 형성하기 위해 반응 챔버 내에서 반응할 수 있다. 일 실시태양에서, 기판, 규소 함유 화합물 및 공-반응물의 반응은 550 ℃ 이하의 온도에서 기판 위에 규소 함유 막이 형성되도록 충분한 시간 기간 동안 일어난다. 규소 함유 막의 기판 위에의 증착은 증착 방법에 적절한 조건 하에서 수행된다. 한정하지는 않는 적절한 증착 방법의 예로, 기존 CVD, 저압 화학 기상 증착(LPCVD), 원자층 증착(ALD), 펄스 화학 기상 증착(P-CVD), 플라즈마 강화 원자층 증착(PE-ALD), 또는 이들의 조합을 들 수 있다. 일 실시태양에서, 규소 함유 화합물 및/또는 공-반응물은 불연속적으로, 예컨대, 불연속적 주입에 의해 반응 챔버 내에 도입된다. 대체 실시태양에서, 규소 함유 화합물 및 공-반응물은 동시에 반응 챔버 내에 도입된다. 또 다른 실시태양에서, 규소 함유 화합물 및/또는 공-반응물은 다른 규소 함유 화합물 및/또는 공-반응물이 반응 챔버 내에 도입되기 전에 기판의 표면 위에 존재한다.
일 실시태양에서, 본 방법은 규소 함유 화합물, 가스상 공-반응물, 또는 둘 모두의 도입 후에 반응 챔버 내로 불활성 가스를 도입하는 것을 추가적으로 포함한다. 불활성 가스는 당업자에게 공지되어 있으며, 예컨대, 질소, 헬륨, 아르곤, 및 이들의 조합을 포함한다. 불활성 가스는 반응 챔버의 퍼지에 충분한 시간 기간 동안 충분한 양으로 도입될 수 있다.
반응 챔버 내 조건은 당업자에 의해 본 명세서의 도움으로 공정의 필요에 부응하여 조절될 수 있다. 일 실시태양에서, 반응 챔버 내 압력은 0.1 내지 1000 torr(13 내지 1330 kPa), 그리고 다르게는 0.1 내지 10 torr(133 내지 1330 kPa)일 수 있다. 다르게는, 반응 챔버 내의 압력이 500 torr 미만, 100 torr 미만, 다르게는 2 torr 미만일 수 있다.
일 실시태양에서, 본원에 개시된 방법은 기판 위에 규소 함유 막의 형성을 가져온다. 막의 두께는 사용자가 원하는 막 두께가 얻어질 때까지 기판에 상기 개시한 방법을 반복적으로 적용함으로써 증가될 수 있다. 일 실시태양에서, 규소 함유 막의 증착 속도는 1 Å/주기 이상이다.
일 실시태양에서, 기판 위의 규소 함유 막 제조 방법은 기판을 반응 챔버 내에 도입하는 것을 포함한다. 기판이 반응 챔버 내에 도입된 후에, 챔버 내의 가스는 감압 하 및 50 내지 550 ℃의 기판 온도에서 먼저 불활성 가스(예컨대, 질소)를 반응 챔버 내에 도입함으로서 퍼지된다. 그 후, 동일한 온도 및 감압 하에서, 가스상 규소 함유 화합물의 펄스가 반응 챔버 내로 전달되고 이 규소 함유 화합물의 매우 얇은 층이 기판 위에 흡착에 의해서 형성된다. 그 후에 반응하지 않은(흡착되지 않은) 규소 함유 화합물을 그로부터 퍼지하기 위해서 반응 챔버 내로의 불활성 가스의 공급이 뒤따르게 되고, 그 후에 하나의 가스상 공-반응물의 펄스가 반응 챔버 내로 전달된다. 가스상 공-반응물은 반응하여 산화규소, 질화규소, 또는 둘 모두를 포함하는 규소 함유 막을 생성한다. 불활성 가스는 그 후 반응 챔버 내로 주입되어 반응하지 않은 생성물을 퍼지할 수 있다. 이 실시태양에서, 규소 함유 막은, 이 불활성 가스 퍼지, 가스상 규소 함유 화합물 펄스, 불활성 가스 퍼지, 및 공-반응물 펄스 순서를 반복함으로서 원하는 두께로 기판 위에 형성된다.
다르게는, 기판이 반응 챔버 내로 도입된 후에, 챔버 내의 가스는 먼저 불활성 가스를 감압 하 및 기판 온도 50 내지 550 ℃에서 반응 챔버 내로 공급함에 의해 퍼지된다. 암모니아로 구성될 수 있는 공-반응물은 그 후 연속적으로 도입될 수 있다. 규소 함유 화합물(예컨대, 실란)은 순차적으로 도입되고 기판의 표면 위에 화학 흡착된다. 과량의 실란을 배출하기에 충분한 시간 기간 동안 반응 챔버를 불활성 가스로 퍼지하고 난 후, 플라즈마는 활성화되어 라디칼과 같은 들뜬 종들의 생성을 야기한다. 규소 함유 화합물, 가스상 공-반응물, 및 기판은 본 명세서에서 상기에 개시한 타입의 규소 함유 막을 형성하기에 충분한 시간 기간 동안 플라즈마와 접촉될 수 있다. 플라즈마의 활성화 동안 형성된 들뜬 종들은 매우 짧은 수명을 가지고 결과적으로 플라즈마의 불활성화 후에 급격하게 사라질 것이다. 결과적으로, 플라즈마 불활성화 이후에 반응 챔버의 불활성 가스를 이용한 퍼지는 필요하지 않을 수 있다. 이 실시태양에서, 한 주기는 규소 함유 화합물의 한 번의 펄스, 퍼지 가스의 한 번의 펄스, 및 플라즈마가 활성화되는 하나의 단계로 구성된다.
본원에 따른 규소 함유 막 형성 방법은 하기에 상세하게 기재된다.
일 실시태양에서, 본 방법은 하나 이상의 가스상 공-반응물 및 일반식(R1R2N)xSiH4-x (여기서, x는 1 또는 2이며, 상기 R1 및 R2는 독립적으로 H 또는 C1-C6 선형, 분지형 또는 환형 탄소 사슬임)의 아미노실란의 사용을 포함하며, 반응기 내로 연속적으로 또는 ALD 공정을 통한 주입과 같이 펄스로 독립적으로 도입된다. 아미노실란은 알킬아미노실란, 예컨대, 비스(디에틸아미노)실란(BDEAS); 비스(디메틸아미노)실란(BDMAS); 또는 비스(트리메틸실릴아미노)실란(BITS)일 수 있다. 아미노실란은 기판의 표면 위에 흡착된다. 불활성 가스를 사용하여 아미노실란을 반응기로부터 배출하기에 충분한 퍼지 시간 후에, 산소/오존 가스 혼합물(통상적으로 산소 내 오존 5-20 용적%), 산소, 수분 및/또는 과산화수소(H2O2), 암모니아 또는 이들의 조합물로 구성될 수 있는, 가스상 공-반응물이 펄스로서 도입된다. 그렇다면 한 주기는 아미노실란의 한 펄스, 퍼지 가스의 한 펄스, 가스상 공 반응제의 한 펄스 및 퍼지 가스의 한 펄스로 구성된다. 주기는 목표하는 두께를 달성하는데 필요한 만큼 반복될 수 있다. 필요한 주기의 수는 목표 두께에 좌우되며, 주어진 실험 조건 하에서 얻어진 주기 당 증착 속도를 고려하여, 본 발명에 기초하여 당업자에 의해 결정될 수 있다. 이 실시태양에서, 작동 압력은 0.1 내지 100 Torr(13 내지 13300 Pa), 증착 온도는 실온 내지 500 ℃ 이하일 수 있다. 매우 낮은 탄소 및 수소 함량을 가지는, 고품질 막은 0.1~10 Torr(13 내지 1330 Pa) 압력에서 200 내지 550 ℃에서 증착될 수 있다.
다른 실시태양에서, 가스상 공-반응물(예컨대, 암모니아)는 연속적으로 도입된다. 아미노실란(예컨대, BDEAS)는 순차적으로 도입될 수 있으며 기판의 표면 위에 화학 흡착될 수 있다. 과량의 아미노실란을 반응 챔버로부터 불활성 가스를 사용하여 배출하기에 충분한 퍼지 시간이 경과한 후에, 플라즈마는 활성화되고, 라디칼과 같은 들뜬 종을 생성한다. 규소 함유 막을 형성하기에 충분한 시간 기간 후에, 플라즈마는 불활성화된다. 플라즈마 활성화 동안 형성된 들뜬 종들은 매우 짧은 수명을 가지고 따라서 플라즈마 불활성화 후에 급격히 사라질 것이다. 결과적으로, 플라즈마 불활성화에 이어 불활성 가스로 반응 챔버를 퍼지하는 것은 필요하지 않을 수 있다. 그렇다면 한 주기는 아미노실란의 한 펄스, 퍼지 가스의 한 펄스, 및 플라즈마가 활성화되는 한 단계로 구성된다.
일 실시태양에서, 기판 위의 규소 함유 막 형성 방법은 하나 이상의 가스상 공 반응제 및 일반식 LxSiH4 -x(여기서, L은 C3-C12 환형 아미노 리간드이며 x는 1 또는 2임)을 가지는 하나 이상의 아미노실란의 사용을 포함한다. 가스상 공-반응물 및 아미노실란은 반응기 내로 연속적으로 또는 펄스로, 예컨대, ALD 공정을 통한 주입으로, 독립적으로 도입된다. 일 실시태양에서, 아미노실란은 피페리디노실란 SiH3(pip), 디피롤리디노실란 SiH2(pyr)2, 디피페리디노실란 SiH2(pip)2, 피롤리디노실란 SiH3(pyr)이다. 아미노실란은 기판의 표면 위에 흡착된다. 후속적으로, 불활성 가스는 불활성 가스를 사용하여 아미노실란을 반응기로부터 배출하는데 충분한 시간 기간 동안 반응 챔버로 도입될 수 있다. 가스상 공-반응물은 그 후 반응 챔버 내로 펄스로 도입될 수 있다. 가스상 공-반응물은 산소/오존 가스 혼합물(통상적으로 산소 내 오존: 5-20 용적%), 산소, 수분 및/또는 과산화수소(H2O2), 암모니아 또는 이들의 조합물로 구성될 수 있다. 그렇다면 한 주기는 아미노실란의 한 펄스, 퍼지 가스의 한 펄스, 가스상 공-반응제의 한 펄스, 퍼지 가스의 한 펄스로 구성된다. 주기는 목표 두께를 달성하는데 필요한 만큼 반복될 수 있다. 필요한 주기의 수는 목표 두께에 따라 결정될 것이며, 주어진 실험 조건에서 얻어진 주기 당 증착 속도를 고려하여, 본 발명에 기초하여 당업자에 의해 결정될 수 있다. 0.1-100 Torr(13 내지 13300 Pa)의 작동 압력에서, 증착 온도는 실온 내지 500 ℃까지 일 수 있다. 매우 낮은 탄소 및 수소 함량을 가지는, 고품질 막은 0.1-10 Torr(13 내지 1330 Pa)의 압력에서 200 내지 550 ℃에서 증착될 수 있다.
다른 실시태양에서, 암모니아로 구성될 수 있는, 가스상 공-반응물은 연속적으로 도입된다. 아미노실란(예컨대, SiH3(pip))이 순차적으로 도입되어 기판의 표면 위에 화학 흡착된 후에 반응 챔버를 퍼지하기 위해 불활성 가스가 사용될 수도 있다. 불활성 가스는 반응기로부터 과량의 아미노실란을 배출하기에 충분한 시간 기간 동안 존재할 수 있다. 불활성 가스로 퍼지한 후에, 플라즈마는 라디칼과 같은 들뜬 종들을 생성하도록 활성화될 수 있다. 층을 형성하기에 충분한 시간 기간 후에, 플라즈마는 불활성화된다. 플라즈마 활성화 동안 형성된 들뜬 종들은 매우 짧은 수명을 가지고 따라서 플라즈마 불활성화 후에 급격히 사라질 것이다. 결과적으로, 플라즈마 불활성화에 이어 불활성 가스로 반응 챔버를 퍼지하는 것은 필요하지 않을 수 있다. 그렇다면 한 주기는 아미노실란의 한 펄스, 퍼지 가스의 한 펄스, 및 플라즈마가 활성화되는 한 단계로 구성된다.
일 실시태양에서, 기판 위의 규소 함유 막 형성 방법은 하나 이상의 가스상 공-반응물 및 일반식 (SiH3)2NR(여기서, 상기 R 는 독립적으로 H, C1-C6 선형, 분지형 또는 환형 탄소 사슬임)을 가지는, 하나 이상의 디실릴아민의 사용을 포함하며 반응기 내로, 연속적으로, 또는 펄스로서, 예컨대, ALD 공정을 통해서 독립적으로 도입된다. 일 실시태양에서, 디실릴아민은 디실릴에틸아민(SiH3)2NEt, 디실릴이소프로필아민(SiH3)2N(iPr), 또는 디실릴tert-부틸아민(SiH3)2NtBu이다. 디실릴아민은 기판의 표면 위에 흡착된다. 가스상 공-반응물은 그 후 반응 챔버 내로 펄스로 도입될 수 있다. 가스상 공-반응물은 산소/오존 가스 혼합물(통상적으로 산소 내 오존: 5-20 용적%), 산소, 수분 및/또는 과산화수소(H2O2), 암모니아 또는 이들의 조합물로 구성될 수 있다. 그렇다면 한 주기는 디실릴아민의 한 펄스, 퍼지 가스의 한 펄스, 가스상-공 반응제의 한 펄스, 퍼지 가스의 한 펄스로 구성된다. 주기는 목표 두께를 달성하는데 필요한 만큼 반복될 수 있다. 필요한 주기의 수는 목표 두께에 따라 결정될 것이며, 주어진 실험 조건에서 얻어진 주기 당 증착 속도를 고려하여, 본 발명에 기초하여 당업자에 의해 결정될 수 있다. 0.1-100 Torr(13 내지 13300 Pa)의 작동 압력에서, 증착 온도는 실온 내지 500 ℃까지 일 수 있다. 매우 낮은 탄소 및 수소 함량을 가지는, 고품질 막은 0.1-10 Torr(13 내지 1330 Pa)의 압력에서 200 내지 550 ℃에서 증착될 수 있다.
다른 실시태양에서, 가스상 공-반응물(예컨대, 암모니아)는 연속적으로 도입된다. 디실릴아민(예컨대, (SiH3)2NEt)이 순차적으로 도입되어 기판의 표면 위에 화학 흡착된 후에, 반응 챔버를 퍼지하기 위해 불활성 가스가 사용될 수도 있다. 불활성 가스는 반응기로부터 과량의 디실릴아민을 배출하기에 충분한 시간 기간 동안 존재할 수 있다. 불활성 가스로 퍼지한 후에, 플라즈마는 라디칼과 같은 들뜬 종들을 생성하도록 활성화될 수 있다. 규소 함유 막을 형성하기에 충분한 시간 주기 후에, 플라즈마는 불활성화된다. 플라즈마 활성화 동안 형성된 들뜬 종들은 매우 짧은 수명을 가지고 따라서 플라즈마 불활성화 후에 급격히 사라질 것이다. 결과적으로, 플라즈마 불활성화에 이어 불활성 가스로 반응 챔버를 퍼지하는 것은 필요하지 않을 수 있다. 그렇다면 한 주기는 디실릴아민의 한 펄스, 퍼지 가스의 한 펄스, 및 플라즈마가 활성화되는 한 단계로 구성된다.
일 실시태양에서, 기판 위의 규소 함유 막 형성 방법은 ALD 체제(regime) 내의 촉매의 가능한 사용과 함께, 하나 이상의 가스상으로 전달된 공-반응물 및 일반식 (SiH3)xR(여기서, x는 1 내지 4에서 변화할 수 있고, 상기 R은 H, N, O, SO3CF3, CH2, CH2-CH2, SiH2, SiH 및 Si로 구성된 군에서 선택됨)을 가지는 실란(실란, 디실란, 트리실란, 트리실릴아민)의 사용을 포함한다. 아미노실란은 기판의 표면 위에 흡착된다. 가스상 공-반응물은 그 후 반응 챔버 내로 펄스로 도입될 수 있다. 가스상 공-반응물은 산소/오존 가스 혼합물(통상적으로 산소 내 오존: 5-20 용적%), 산소, 수분 및/또는 과산화수소(H2O2), 암모니아 또는 이들의 조합물로 구성될 수 있다. 그렇다면 한 주기는 실란의 한 펄스, 퍼지 가스의 한 펄스, 가스상-공 반응제의 한 펄스, 퍼지 가스의 한 펄스로 구성된다. 주기는 목표 두께를 달성하는데 필요한만큼 반복될 수 있다. 필요한 주기의 수는 목표 두께에 따라 결정될 것이며, 주어진 실험 조건에서 얻어진 주기 당 증착속도를 고려하여, 본 발명에 기초하여 당업자에 의해 결정될 수 있다. 0.1-100 Torr(13 내지 13300 Pa)의 작동 압력에서, 증착 온도는 실온 내지 500 ℃ 이하일 수 있다. 매우 낮은 탄소 및 수소 함량을 가지는, 고품질 막은 0.1-10 Torr(13 내지 1330 Pa)의 압력에서 200 내지 550 ℃에서 바람직하게 증착된다.
다른 실시태양에서, 가스상 공-반응물은 연속적으로 도입된다. 실란이 순차적으로 도입되어 기판의 표면 위에 화학 흡착된 후에 반응 챔버를 퍼지하기 위해 불활성 가스가 사용될 수도 있다. 불활성 가스는 반응기로부터 과량의 실란을 배출하기에 충분한 시간 기간 동안 존재할 수 있다. 불활성 가스로 퍼지한 후에, 플라즈마는 라디칼과 같은 들뜬 종들을 생성하도록 활성화될 수 있다. 규소 함유 막을 형성하기에 충분한 시간 기간 후에, 플라즈마는 불활성화된다. 플라즈마 활성화 동안 형성된 들뜬 종들은 매우 짧은 수명을 가지고 따라서 플라즈마 불활성화 후에 급격히 사라질 것이다. 결과적으로, 플라즈마 불활성화에 이어 불활성 가스로 반응 챔버를 퍼지하는 것은 필요하지 않을 수 있다. 그렇다면 한 주기는 실란의 한 펄스, 퍼지 가스의 한 펄스, 및 플라즈마가 활성화되는 한 단계로 구성된다.
도 1에, 본원의 상기에 개시된 막 형성 방법에서 사용되는 막 형성 장치(10)의 개략도가 도시된다. 막 형성 장치(10)는 반응 챔버(11); 불활성 가스(예컨대, 질소 가스) 공급원인 불활성 가스 실린더(12); 가스상 규소 함유 화합물의 공급원인 규소 함유 화합물 가스 실린더(13); 및 공-반응물 실린더(14)를 포함한다. 일 실시태양에서, 막 형성 장치(10)은 단일-웨이퍼 장치로 사용될 수 있다. 이러한 실시태양에서, 서셉터는 반응 챔버(11) 내에 배치될 수 있고 일 반도체 기판, 예컨대, 규소 기판은 그 위에 장착될 수 있다. 히터는 반도체 기판을 특정 반응 온도로 가열하기 위하여 서셉터 내부에 마련될 수 있다. 다른 실시태양에서, 막 형성 장치(10)는 배치형 장치로 사용될 수 있다. 이러한 실시태양에서, 반응 챔버(11) 내부에 5 내지 200 개의 반도체 기판이 있을 수 있다. 배치형 장치 내의 히터는 단일 웨이퍼 장치 내의 히터와 상이한 구조를 가질 수 있다.
질소 가스 실린더(12)는 라인(L1)을 통해 반응 챔버(11)와 유체 소통한다. 라인(L1)에는 차단 밸브(V1)와 유량 제어기, 예컨대 질량 유동 제어기(MFC1)가 배치된다. 차단 밸브(V2) 또한 라인(L1) 내에 배치되며 반응 챔버(11)과 유체 소통한다.
반응 챔버는 배출 라인(L2)를 거쳐 진공 펌프(PMP)와 또한 유체 소통한다. 압력 게이지(PG1), 배압 제어용 버터플라이 밸브(BV), 및 차단 밸브(V3)는 라인(L2) 내에 배치된다. 진공 펌프(PMP)는 해독 장치(15)와 라인(L3)을 통해서 유체 소통한다. 해독 장치(15)는 가스 종과 그들의 농도에 따라서, 예컨대, 연소형 해독 장치 또는 건식형 해독 장치일 수 있다.
규소 함유 화합물 가스 실린더(13)는 라인(L4)를 통해서 라인(L1)과 유체소통하며, 상기 라인(L4)는 차단 밸브(V2)와 질량 유동 제어기(MFC1) 사이에서 라인(L1)을 연결한다. 차단 밸브(V4), 질량 유동 제어기(MFC2), 압력 게이지(PG2), 및 차단 밸브(V5)는 라인(L4) 내에 배치된다. 규소 함유 화합물 가스 실린더(13)는 또한 라인(L4)와 분기 라인(L4')을 통해서 라인(L2)과 유체소통한다. 분기 라인(L4')은 진공 펌프(PMP)와 차단 밸브(V3) 사이에서 라인(L2)을 연결한다. 차단 밸브(V5')는 분지 라인(L4') 내에 배치된다. 차단 밸브들(V5 및 V5')의 상태는 하나가 열려 있는 경우 다른 하나는 닫혀 있도록 동기화된다.
공-반응물 실린더(14)는 높은 반응성을 가지는 분자 발생기(16)과 라인(L5)를 통해 유체 소통한다. 차단 밸브(V6) 및 질량 유동 제어기(MFC3)은 라인(L5) 내에 배치한다. 발생기(16)는 라인(L6)를 통해서 라인(L1)과 유체 소통하며, 상기 라인(L6)은 차단 밸브(V2)와 질량 유동 제어기(MFC1) 사이에서 라인(L1)을 연결한다. 높은 반응성을 가지는 분자 농도 센서(OCS), 압력 게이지(PG3), 및 차단 밸브(V7)는 라인(L6) 내에 배치된다. 발생기(16)은 또한 라인(L2)과 라인(L6) 및 분기 라인(L6')을 통해서 유체 소통한다. 분기 라인(L6')는 진공 펌프(PMP)와 차단 밸브(V3) 사이에서 (L2)를 연결한다. 차단 밸브(V7')는 분기 라인(L6') 내에 배치된다. 차단 밸브들(V7 및 V7')의 상태는 하나가 열려 있는 경우 다른 하나는 닫혀 있도록 동기화된다.
발생기(16)은 라인(L6)으로 흐르는 공-반응물 및 높은 반응성을 가지는 분자가 혼합된 가스를 생산한다. 일정한 공-반응물 가스 공급 유속에서, 혼합 가스 내의 높은 반응성을 가지는 분자의 농도의 조절은 발생기(16)에 적용되는 압력 및 동력에 좌우된다. 높은 반응성을 가지는 분자의 농도는 따라서, 높은 반응성을 가지는 분자 농도 센서(OCS)로 높은 반응성을 가지는 분자의 농도를 측정하고, 이렇게 측정된 값에 기초하여 발생기(16)에 적용되는 동력 및 도관 압력을 조절함으로서 조절된다.
일 실시태양에서, 막 형성 장치(10)를 사용하는 규소 함유 막 형성 방법이 개시된다. 일반적으로, 본 방법은 다음의 단계, 즉 질소 가스 퍼지, 규소 함유 화합물 가스 펄스, 또 다른 질소 가스 퍼지, 및 공-반응물이 혼합된 가스 펄스를 포함한다.
일 실태양에서, 질소 가스 퍼지 단계는 반응 챔버(11) 내에서 처리 기판, 예컨대, 반도체 웨이퍼를 서셉터 위에 장착하고 서셉터에 포함된 온도 조절자를 사용하여 반도체 웨이퍼를 50 ℃ 내지 400 ℃의 온도로 가열함으로서 개시된다. 도 1은 질소 가스 퍼지 단계 동안의 막 형성 장치(10)의 구성을 나타낸다. 도 1에 나타난 바와 같이, 차단 밸브(V5 및 V7)는 닫히고 다른 차단 밸브들(V1 내지 V4, V6, V5' 및 V7')은 모두 열린다. 닫힌 조절 밸브는 도 1에 빗금으로 나타나 있으며, 열린 조절 밸브들은 백색으로 나타나 있다. 이하에, 하기의 설명에서 차단 밸브의 상태는 같은 방법으로 나타나 있다.
반응 챔버(11) 내부의 가스를 진공 펌프(PMP)의 작동에 의해 배기 라인(L2)를 통해서 배기하는 동안, 질소 가스는 질소 가스 실린더(12)로부터 라인(L1)을 통해서 반응 챔버(11)로 도입된다. 질소 가스의 공급 유속은 질량 유량 제어기(MFC1)에 의해 조절된다. 따라서 질소 가스 퍼지는 반응 챔버(11) 내부의 가스를 배기하고 반응 챔버(11) 내부로 질소 가스를 공급함으로서 원하는 진공(예컨대, 0.1 내지 1000 torr)에서 수행되어, 반응 챔버(11) 내부는 질소 가스로 대체된다.
질소 가스 퍼지 단계 동안, 규소 함유 화합물 가스는 질량 유량 제어기(MFC2)에 의해 조절된 공급 유속으로 규소 함유 화합물 가스 실린더(13)로부터 라인(L4)으로 연속적으로 공급된다. 차단 밸브(V5)는 닫히고, 다른 차단 밸브(V5')는 열려서, Si 함유 화합물 가스가 반응 챔버(11)로 공급되지 않고 오히려 라인들(L4 및 L4')을 거쳐서 배기 라인(L2)로 공급되어 배기되게 한다.
추가적으로, 질소 가스 퍼지 단계 동안, 가스상으로 전달된 하나 이상의 공-반응물은 실린더(14)로부터 라인(L5)를 거쳐서 발생기(16)으로 연속적으로 공급되어, 질량 유량 제어기(MFC3)에 의해 조절된 공급 유속 하에서 불안정한 분자들(예컨대, 오존, 히드라진)을 발생한다. 원하는 동력 수준이 발생기(16)에 적용되고, 불안정한 분자를 원하는 농도로 함유하는 가스상으로 전달된 하나 이상의 공-반응물(혼합 가스)는 발생기(16)으로부터 라인(L6)로 공급된다. 불안정한 분자 농도는, 불안정한 분자(들)와 가스상으로 전달된 하나 이상의 공-반응물의 혼합 가스가 이를 통해 흐르는 라인(L6) 내에서 제공되는 농도 센서(OCS)로 측정된다. 일 실시태양에서, 반응 챔버는 반응 챔버 내에 불안정한 분자들(예컨대, 라디칼)의 형성을 위한 장비를 포함한다. 예컨대, 반응 챔버는, 활성화된 경우 반응 챔버 내부에 플라즈마를 발생하는 하나 이상의 플라즈마원을 포함할 수 있다. 더욱이, 플라즈마원은 사용자 및/또는 공정이 원하는 값으로 플라즈마 동력이 조절될 수 있도록 조절 가능한 전원을 가질 수 있다. 이러한 플라즈마 원 및 전원은 당업자에게 공지되어 있다. 적용된 동력 및 발생기(16)의 도관 압력의 피드백 조절은 나타난 측정 값에 기초하여 수행된다. 차단 밸브(V7)는 닫히고, 다른 차단 밸브(V7')는 열려서, 혼합 가스가 반응 챔버(11)로 공급되지 않고 오히려 라인들(L6 및 L6')을 거쳐서 배기 라인(L2)로 공급되어 배기되게 한다.
도 2는 Si 함유 화합물 가스 펄스 단계의 시작 시 막 형성 장치(10)의 구성을 나타낸다. 차단 밸브(V5')는 닫히고, 이 공정과 동기화로, 차단 밸브(V5)는 열린다. 원하는 기간의 시간 후에, 이 차단 밸브(V5 및 V5') 각각의 상태는 반대로 된다. 차단 밸브(V5)가 열리는 사이에, 규소 함유 화합물 가스 실린더(13)로부터의 규소 함유 화합물 가스는 유속 조절 하에서 라인(L4)로부터 라인(L1)으로 공급되고, 질소 가스와 함께 반응 챔버(11) 내로 펄스된다. 이러한 펄스는 반응 챔버(11) 내의 서셉터 위에 장착된 반도체 웨이퍼의 가열된 표면 위에 규소 함유 화합물의 대략적인 단분자층 흡착을 야기한다.
규소 함유 화합물 가스 펄스가 전달된 후에, 질소 가스 퍼지는, 도 1에 도시된 바와 같이, 차단 밸브(V5)를 닫고 차단 밸브(V5')를 열어서 수행된다. 질소 가스 퍼지 후에, 반응 챔버(11)에 남아있는 반응하지 않은 규소 함유 화합물은 질소 가스를 사용하여 배기되고 반응 챔버(11)의 내부는 다시 질소 가스로 대체된다.
도 3은 공-반응물이 혼합된 가스 펄스의 시작시의 막 형성 장치(10)의 구성을 나타낸다. 차단 밸브(V7')는 닫히고, 이 공정과 동기화로, 차단 밸브(V7)는 열린다. 원하는 기간의 시간 후에, 이 차단 밸브(V7 및 V7') 각각의 상태는 반대로 된다. 차단 밸브(V7)가 열리는 사이에, 불안정한 분자(들) 및 가스상으로 전달된 하나 이상의 공-반응물의 가스는 라인(L6)로부터 라인(L1)으로 공급되고, 질소 가스와 함께 반응 챔버(11) 내로 펄스된다. 이러한 펄스의 결과로서, 반응 챔버(11) 내의 서셉터 위에 장착된 반도체 웨이퍼의 가열된 표면 위에 흡착된 규소 함유 화합물이 불안정한 분자(들) 및 가스상으로 전달된 하나 이상의 공-반응물의 혼합 가스와 반응한다. 규소 함유 화합물과 불안정한 분자(들) 및 가스상으로 전달된 하나 이상의 공-반응물의 혼합 가스의 반응은 반도체 웨이퍼 표면 위의 규소 함유 화합물 막의 대략적인 단분자층 형태의 형성을 야기한다.
원하는 두께의 규소 함유 막은 1) 질소 가스 퍼지 단계, 2) 규소 함유 화합물 가스 펄스 단계, 3) 질소 가스 퍼지 단계 및 4) 공-반응물이 혼합된 가스 펄스의 단계를 포함하는 주기를 반복하여 반도체 웨이퍼의 표면 위에 형성된다. 공-반응물이 혼합된 가스 펄스의 전달 후에, 질소 가스 퍼지는, 도 1에 도시된 바와 같이, 차단 밸브(V7)를 닫고 차단 밸브(V7')를 열어서 수행된다. 질소 가스가 퍼지된 후에, 반응 챔버(11)에 남아있는 반응 부생성물과 불안정한 분자(들) 및 가스상으로 전달된 하나 이상의 공-반응물의 혼합 가스는 질소 가스를 사용하여 배기되고 반응 챔버(11)의 내부는 다시 질소 가스로 대체된다.
상기에 개시된 바와 같이, 상온에서 가스상인 규소 함유 화합물은 도 1 내지 3에 나타난 막 형성 장치를 사용한 형성의 예로 사용된다. 다른 실시태양에서, 상온에서 액상인 규소 함유 화합물, 예컨대, BDEAS가 사용될 수도 있다. 이러한 실시태양에서, 가스상 규소 함유 화합물은 버블러 과정을 사용하여 반응 챔버(11) 내로 여전히 도입될 수 있다. 예컨대, 버블러는 도 1 내지 3에 나타난 규소 함유 화합물 가스 실린더(13) 대신에 제공될 수 있다. 버블러는 질소 가스 운반 라인(L1) 내의 밸브(V1)의 상류에서 분지된 분기 라인에 연결될 수 있으며, 가스 실린더(12)로부터의 질소는 규소 함유 화합물 액체를 통해서 버블링되어 반응 챔버(11)로 공급될 수 있어, 본원의 상기에 개시된 방법이 수행될 수 있다.
일 실시태양에서, 하나의 반응제는 연속적으로 도입될 수 있으며 다른 반응제는 펄스(펄스-CVD 체제)로 도입될 수 있다. 이러한 실시태양에서, 대략 단분자층 형상의 규소 함유 막, 예컨대, 산화규소 막의 형성은 먼저 규소 함유 화합물의 흡착을 유도함으로서 발생한다. 이는 본원에서 상기에 기술한 바와 같이 가열된 처리 기판의 표면 위에 규소 함유 화합물 가스의 펄스를 전달하는 것에 의하여 달성되었다. 불활성 가스(예컨대, 질소 가스)는 그 후 공-반응물 혼합 가스(예컨대, 오존 + 산소 혼합 가스)의 펄스를 전달하는 것에 앞서 반응 챔버를 퍼지하는데에 사용된다. 혼합 가스 내 오존의 강력한 산화 작용에 의해 처리 기판의 표면 위에 흡착된 규소 함유 화합물의 철저한 산화는 대략적으로 단분자층 형상의 규소 함유 막 (예컨대, 산화규소 막)의 형성을 가능케 한다. 추가적으로, 산화 반응 후의 불활성 가스 퍼지(예컨대, 질소 가스 퍼지)가 형성된 산화규소 막에 의해 반응 챔버 내의 수분의 흡착을 예방할 수 있다.
도 4는 본원에 개시된 타입의 규소 함유 층(예컨대, SiO2 층)을 포함하는 금속 산화물 반도체(MOS) 트랜지스터(100)의 측면도이다. MOS 트랜지스터(100)은 웨이퍼(107), 드레인(105), 소스(106), 게이트(101), 금속 전극(102) 및 규소 함유 막(103)을 포함한다. 웨이퍼(107) 위에, 게이트(101)가 드레인(105) 및 소스(106) 사이의 위에 위치한다. 금속 전극(102)는 게이트(101) 위에 증착된다. SiO2 막과 같은 규소 함유 막(103)은 게이트(101) 및 금속 게이트 전극(102)의 측단에 측 방향으로 위치한다. 규소 함유 막(103)은 또한 소스(106) 및 드레인(105)의 상단 위에 증착된다.
일 실시태양에서, 본원에 개시된 방법은 특히 각 주입 사이에 질소 퍼지를 하는 ALD 공정을 사용하여 증착된 경우에, 높은 일치성(즉, 홈(trench)의 상단 및 하단에 균일한 막을 증착하는 능력)을 가지는 규소 함유 막을 생산한다. 이러한 막, 즉, 표면 위의 공간을 모두 메우고 균일한 Si 함유 층을 제공하는 막은 갭 필 적용 또는 다이나믹 랜덤 엑세스 메모리(DRAM)의 축전기 전극에 유용할 수 있다.
본 발명의 다양한 추가적인 실시태양들의 상세한 설명을 위해, 하기의 실시예들이 제공된다.
<실시예>
도 1 내지 3에 나타난 막 형성 장치(10)가 하기의 실시예 1A-F에서 사용되었다.
실시예1A
규소 웨이퍼를 반응 챔버(11)의 서셉터 위에 위치하였고 웨이퍼를 500 ℃까지 가열하였다. 산화규소 막을 후술하는 조건을 이용하여 본원에 개시된 바에 따라 1) 질소 가스 퍼지 단계, 2) 규소 함유 화합물 가스 펄스 단계, 3) 질소 가스 퍼지 단계, 및 4)오존 + 산소 혼합 가스 펄스 단계를 포함하는 주기를 반복하여 형성하였다:
1) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
2) 규소 함유 화합물 가스 펄스
·반응 챔버 내의 압력: 3 torr
·Si 화합물 가스: 비스(디에틸아미노)실란(BDEAS) 가스
·BDEAS 가스 공급 유속: 2 sccm
·BDEAS 펄스 시간: 1 초
3) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
4) 오존 + 산소 혼합 가스 펄스
·반응 챔버 내의 압력: 3 torr
·오존 + 산소 혼합 가스(5 %오존 농도)의 공급 유속: 20 sccm
·혼합 가스 펄스 시간: 2 초
실시예1B
규소 웨이퍼를 반응 챔버(11)의 서셉터 위에 위치하였고 웨이퍼를 550 ℃까지 가열하였다. 질화규소 막을 후술하는 조건을 이용하여 본원에 개시된 바에 따라 1) 질소 가스 퍼지 단계, 2) 규소 함유 화합물 가스 펄스 단계, 3) 질소 가스 퍼지 단계, 및 4) 히드라진 + 암모니아 혼합 가스 펄스 단계를 포함하는 주기를 반복하여 형성하였다:
1) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
2) 규소 함유 화합물 가스 펄스
·반응 챔버 내의 압력: 3 torr
·규소 함유 화합물 가스: 비스(디에틸아미노)실란(BDEAS) 가스
·BDEAS 가스 공급 유속: 2 sccm
·BDEAS 펄스 시간: 1 초
3) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
4) 히드라진 + 암모니아 혼합 가스 펄스
·반응 챔버 내의 압력: 3 torr
·히드라진 + 암모니아 혼합 가스(3 %오존 농도)의 공급 유속: 20 sccm
·혼합 가스 펄스 시간: 2 초
실시예1C
규소 웨이퍼를 반응 챔버(11)의 서셉터 위에 위치하였고 웨이퍼를 500 ℃까지 가열하였다. 산화규소 막을 후술하는 조건을 이용하여 본원에 개시된 바에 따라 1) 질소 가스 퍼지 단계, 2) 규소 함유 화합물 가스 펄스 단계, 3) 질소 가스 퍼지 단계, 및 4) 플라즈마를 작동하면서 산소 펄스하는 단계를 포함하는 주기를 반복하여 형성하였다:
1) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
2) 규소 함유 화합물 가스 펄스
·반응 챔버 내의 압력: 3 torr
·Si 화합물 가스: 비스(디에틸아미노)실란(BDEAS) 가스
·BDEAS 가스 공급 유속: 2 sccm
·BDEAS 펄스 시간: 1 초
3) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
4) 산소 펄스
·반응 챔버 내의 압력: 3 torr
·산소 혼합 가스의 공급 유속: 20 sccm
·산소 펄스 시간: 2 초
·플라즈마 동력: 100 W
실시예1D
규소 웨이퍼를 반응 챔버(11)의 서셉터 위에 위치하였고 웨이퍼를 500 ℃까지 가열하였다. 질화규소 막을 후술하는 조건을 이용하여 본원에 개시된 바에 따라 1) 질소 가스 퍼지 단계, 2) 규소 함유 화합물 가스 펄스 단계, 3) 질소 가스 퍼지 단계, 4) 플라즈마를 작동하면서 암모니아 펄스하는 단계를 포함하는 주기를 반복하여 형성하였다:
1) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
2) 규소 함유 화합물 가스 펄스
·반응 챔버 내의 압력: 3 torr
·규소 함유 화합물 가스: 비스(디에틸아미노)실란(BDEAS) 가스
·BDEAS 가스 공급 유속: 2 sccm
·BDEAS 펄스 시간: 1 초
3) 질소 가스 퍼지
·반응 챔버 내의 압력: 3 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
4) 암모니아 펄스
·반응 챔버 내의 압력: 3 torr
·암모니아의 공급 유속: 20 sccm
·혼합 가스 펄스 시간: 2 초
·플라즈마 동력: 350 W
실시예1E
규소 웨이퍼를 반응 챔버(11)의 서셉터 위에 위치하였고 웨이퍼를 150 ℃까지 가열하였다. 산화규소 막을 산소가 반응 챔버(11) 내에 연속적으로 흐르게 하고 후술하는 조건을 이용하여 본원에 개시된 바에 따라 1) 규소 함유 화합물 가스 펄스 단계, 2) 질소 가스 퍼지 단계, 및 3) 플라즈마 작동 단계를 포함하는 주기를 반복하여 형성하였다.
1) 규소 함유 화합물 가스 펄스
·반응 챔버 내의 압력: 1 torr
·규소 함유 화합물 가스: 비스(디에틸아미노)실란(BDEAS) 가스
·BDEAS 가스 공급 유속: 2 sccm
·BDEAS 펄스 시간: 1 초
2) 질소 가스 퍼지
·반응 챔버 내의 압력: 1 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
3) 플라즈마 작동
·반응 챔버 내의 압력: 1 torr
·플라즈마 작동 시간: 2 초
·플라즈마 동력: 100 W
실시예1F
규소 웨이퍼를 반응 챔버(11)의 서셉터 위에 위치하였고 웨이퍼를 500 ℃까지 가열하였다. 질화규소 막을 암모니아가 반응 챔버(11) 내에서 유속 20 sccm으로 연속적으로 흐르게 하고 후술하는 조건을 이용하여 본원에 개시된 바에 따라 1) 규소 함유 화합물 가스 펄스 단계, 2) 질소 가스 퍼지 단계, 및 3) 플라즈마 작동 단계를 포함하는 주기를 반복하여 형성하였다.
1) 규소 함유 화합물 가스 펄스
·반응 챔버 내의 압력: 1 torr
·규소 함유 화합물 가스: 비스(디에틸아미노)실란(BDEAS) 가스
·BDEAS 가스 공급 유속: 2 sccm
·BDEAS 펄스 시간: 1 초
2) 질소 가스 퍼지
·반응 챔버 내의 압력: 1 torr
·질소 가스 공급 유속: 130 sccm
·질소 가스 퍼지 시간: 6 초
3) 플라즈마 작동
·반응 챔버 내의 압력: 1 torr
·플라즈마 작동 시간: 2 초
·플라즈마 동력: 350 W
실시예2 A-F
400 ℃로 가열된 반응 챔버(11)내의 서셉터 상에 규소 웨이퍼를 위치시켜 규소 웨이퍼를 가열한 것을 제외하고는 실시예 1A-F에 기재된 바와 유사한 방법을 사용하여 규소 함유 막을 형성하였다.
실시예3 A-F
300 ℃로 가열된 반응 챔버(11)내의 서셉터 상에 규소 웨이퍼를 위치시켜 규소 웨이퍼를 가열한 것을 제외하고는 실시예 1A-F에 기재된 바와 유사한 방법을 사용하여 규소 함유 막을 형성하였다.
규소 함유 막의 두께를 실시예 1 내지 3의 각 주기(실시예 1에서는 50 주기를 수행하였다)에서 측정하였다. 규소 함유 막은 실시예 1 내지 3에서, 0.8-1.5 Å/주기의 속도로 잠복기 없이 두께를 양호하게 제어하면서 형성할 수 있었다.
또한, 실시예 3에서 생성된 규소 함유 막에 대해 200 주기(웨이퍼 온도: 300 ℃) 후에 FT-IR 분석을 수행하였다.
BDEAS와 오존을 이용한 SiO2 막의 ALD 증착을 연구하였다. 도 1-3의 막 형성 장치를 사용하여 BDEAS 및 오존/산소의 혼합물을 이용하여 ALD에 의해 규소 및 이리듐 상에 막을 성공적으로 증착하였다.
챔버는 종래의 히터에 의해 가열되는 고온벽 반응기였다. 오존 발생기가 오존을 생성하였고 그 농도는 -0.01 MPaG에서 대략 150 g/m3이었다. 액체 아미노실란으로의 불활성 가스(질소)의 버블링에 의해 반응 챔버(11)로 BDEAS(비스(디에틸아미노)실란, SiH2(NEt2)2)를 도입하였다. 실험 조건은 다음과 같다:
·7.0 sccm O3
·93 sccm O2
·BDEAS: 1 sccm (1 내지 7 sccm의 범위)
·N2: 50 sccm
·200 내지 400 ℃ 범위의 온도
·작동 압력: 1 torr (0.1 내지 5 torr의 범위)
·퍼지 및 펄스 시간은 통상 각각 5초로 설정하였다.
·주기의 수는 통상 600 주기로 설정하였다.
증착 속도, 증착 온도, 막 품질 및 막 조성 등의 막 특징을 결정하기 위하여 실험을 수행하였다.
Si 웨이퍼 상에 SiO2 막을 200 ℃, 250 ℃, 300 ℃, 350 ℃, 및 400 ℃에서 증착하였다. 증착된 막은 오제(Auger) 심층 분석법에 따라 질소나 탄소를 포함하지 않았다.
SiO2 막의 증착 주기의 수를 다양하게 변경하고(예컨대, 350, 600, 및 900 주기 증착 테스트) 잠복기가 거의 무시가능할 정도로 있도록 증착된 SiO2 막을 검사하였다. 금속 전극의 가능한 산화를 관찰하기 위하여 이리듐 상에 증착을 수행하였다. 오제 프로파일은 ALD SiO2와 이리듐 기판 사이의 날카로운 계면을 보여주며, 이에 따라 금속 산화는 관찰되지 않았다.
실릴피롤리딘 및 오존을 사용한 SiO2 막의 ALD 증착을 실시예 4에 개시된 것과 유사한 조건을 사용하여 조사하였다. 300 ℃ 내지 350 ℃, 1 Torr에서 1.6 Å/주기의 증착 속도로 고품질 막을 획득하였다.
디에틸아미노실란 및 오존을 사용한 SiO2 막의 ALD 증착을 실시예 4에 개시된 것과 유사한 조건을 사용하여 조사하였다. 250 ℃ 내지 350 ℃, 1 Torr에서 1.4 Å/주기의 증착 속도로 고품질 막을 획득하였다.
실릴피롤리딘 및 히드라진을 사용한 SiN 막의 ALD 증착을 조사하였다. 실릴피롤리딘, N2, 및 히드라진/암모니아 혼합물을 번갈아 도입함으로써 ALD를 사용하여 규소 웨이퍼 상에 막들을 성공적으로 증착하였다.
챔버는 종래의 히터에 의해 가열되는 고온벽 관형 반응기였다. 액체 아미노실란으로의 불활성 가스(질소)의 버블링에 의해 가열로로 실릴피롤리딘을 도입하였다. 실험 조건은 다음과 같다:
·3.2 sccm 히드라진
·96.8 sccm 암모니아
·실릴피롤리딘: 1 sccm
·N2: 50 sccm
·300 내지 550 ℃ 범위의 온도
·작동 압력: 1 torr (0.1 내지 5 torr의 범위)
·퍼지 및 펄스 시간은 통상 각각 5초로 설정하였다.
·주기의 수는 통상 600 주기로 설정하였다.
생성된 SiN 막을 규소 웨이퍼 상에서 획득하였고, 오제 심층 분석법에 따라 질소나 탄소를 포함하지 않았다.
BDEAS와 암모니아를 이용한 SiN 막의 플라즈마 강화 ALD(PEALD) 증착을 조사하였다. 암모니아를 연속적으로 흐르게 하고, BDEAS를 번갈아 도입하고, N2를 퍼지하고, 및 플라즈마 전원을 작동시킴으로서 ALD를 사용하여 규소 상에 막을 성공적으로 증착하였다. 플라즈마의 소멸 후, 암모니아 유도 종들은 매우 짧은 수명을 가지는 바, 플라즈마를 끈 이후의 퍼지는 필요하지 않으며, 그럼으로서 주기 시간을 줄이고 따라서 처리량을 개선할 수 있다.
챔버는 시판되는 6" PEALD 반응기였다. 액체 아미노실란으로의 불활성 가스(질소)의 버블링에 의해 가열로로 BDEAS를 도입하였다. 실험 조건은 다음과 같다:
·100 sccm 암모니아
·BDEAS: 1 sccm
·N2: 50 sccm
·300 내지 550 ℃ 범위의 온도
·작동 압력: 1 Torr
·플라즈마 동력: 350 W
·퍼지 및 펄스 시간은 통상 각각 5초로 설정하였다.
·주기의 수는 통상 400 주기로 설정하였다.
생성된 SiN 막을 규소 웨이퍼 상에서 획득하였고, 오제 심층 분석법에 따라 질소나 탄소를 포함하지 않았다.
BDEAS와 산소를 이용한 SiO2 막의 PEALD 증착을 조사하였다. 산소를 연속적으로 흐르게 하고, BDEAS를 번갈아 도입하고, N2를 퍼지하고, 및 플라즈마 전원을 작동시킴으로서 ALD를 사용하여 규소 상에 막을 성공적으로 증착하였다. 플라즈마의 소멸 후, 산소 유래 종들은 매우 짧은 수명을 가지는 바, 플라즈마를 끈 이후의 퍼지는 필요하지 않아, 주기 시간을 줄이고 따라서 처리량을 개선할 수 있다.
챔버는 시파되는 6" PEALD 반응기였다. 액체 아미노실란으로의 불활성 가스(질소)의 버블링에 의해 가열로로 BDEAS를 도입하였다. 실험 조건은 다음과 같다:
·O2: 100 sccm
·BDEAS: 1 sccm
·N2: 50 sccm
·100 내지 400 ℃ 범위의 온도
·작동 압력: 1 Torr
·플라즈마 동력: 100 W
·퍼지 및 펄스 시간은 통상 각각 5초로 설정하였다.
·주기의 수는 통상 400 주기로 설정하였다.
SiO2 막을 규소 웨이퍼 상에서 획득하였고, 오제 심층 분석법에 따라 질소나 탄소를 포함하지 않았다.
BDEAS와 질소를 이용한 SiN 막의 PEALD 증착을 조사하였다. 질소를 연속적으로 흐르게 하고, BDEAS를 번갈아 도입하고, N2를 퍼지하고, 및 플라즈마 전원을 작동시킴으로써 ALD를 사용하여 규소 상에 막을 성공적으로 증착하였다. 플라즈마의 소멸 후, 질소 유래 종들은 매우 짧은 수명을 가지는 바, 플라즈마를 끈 이후의 퍼지는 필요하지 않으며, 그럼으로서 주기 시간을 줄이고 따라서 처리량을 개선할 수 있다.
챔버는 시판되는 6" PEALD 반응기였다. 액체 아미노실란으로의 불활성 가스(질소)의 버블링에 의해 가열로로 BDEAS를 도입하였다. 실험 조건은 다음과 같다:
·BDEAS: 1 sccm
·N2: 150 sccm
·300 내지 550 ℃ 범위의 온도
·작동 압력: 1 Torr
·플라즈마 동력: 450 W
·퍼지 및 펄스 시간은 통상 각각 5초로 설정하였다.
·주기의 수는 통상 500 주기로 설정하였다.
생성된 SiN 막을 규소 웨이퍼 상에서 획득하였고, 오제 심층 분석법에 따라 질소나 탄소를 포함하지 않았다.
실릴피롤리딘과 H2O2를 이용한 SiO2 막의 CVD 증착을 조사하였다. 하기의 실험 조건을 사용하여 실릴피롤리딘 및 H2O2를 연속적으로 흐르게 함으로써 CVD를 사용하여 규소 상에 막을 성공적으로 증착하였다:
·실릴피롤리딘: 1 sccm
·H2O2: 10 sccm
·N2: 20 sccm
·100 내지 500 ℃ 범위의 온도
·작동 압력: 300 Torr
SiO2 막을 규소 웨이퍼 상에서 획득하였고, 오제 심층 분석법에 따라 질소나 탄소를 포함하지 않았다.
본 발명의 실시태양이 도시되고 설명되었지만, 본 발명의 핵심과 교시를 벗어나지 않으면서 당업자에 의해 그 변형이 있을 수 있다. 개시된 실시태양과 본원에서 제공된 실시예들은 단지 예시적인 것으로 한계를 설정하는 것이 아니다. 본원에 개시된 본 발명의 많은 변경과 변형이 본 발명의 범위 안에서 가능하다. 따라서, 보호 범위는 상기에 제시된 설명에 의해 제한되지 않으며, 그 범위가 청구항의 대상물의 모든 동등물을 포함하는, 하기의 청구항에 의해서만 제한된다.

Claims (33)

  1. a) 반응 챔버와 그 내부에 배치되는 하나 이상의 기판을 제공하는 단계;
    b) 상기 반응 챔버 내에 하나 이상의 규소 함유 전구체를 주입하는 단계 - 상기 규소 함유 전구체는 실릴피페리딘[피페리디노실란, SiH3(pip)]; 실릴피롤리딘[피롤리디노실란, SiH3(pyr)]; 비스피페리디노실란[SiH2(pip)2]; 및 비스피롤리디노실란[SiH2(pyr)2]으로 이루어진 군으로부터 선택되는 하나 이상의 구성요소를 포함함 -;
    c) 상기 반응 챔버 내에 하나 이상의 공-반응물을 주입하는 단계;
    d) 550 ℃ 이하의 온도에서 상기 기판, 규소 함유 전구체, 및 공-반응물을 반응시켜, 상기 기판 위에 증착된 규소 함유 막을 획득하는 단계 - 상기 막은 원자층 증착 (ALD) 또는 플라즈마 강화 원자층 증착 (PECVD) 타입의 공정을 통해 증착됨 -;
    를 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  2. 제1항에 있어서, 상기 공-반응물이 산소 함유 가스, 질소 함유 가스, 산소 및 질소를 모두 포함하는 가스, 또는 산소 및 질소를 모두 포함하는 가스 혼합물 중의 하나를 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  3. 제2항에 있어서, 상기 산소 함유 가스가 오존, 산소, 수증기, 과산화수소, 및 이들의 조합물 중의 하나를 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  4. 제2항에 있어서, 상기 질소 함유 가스가 암모니아, 질소, 히드라진, 및 이들의 조합물 중의 하나를 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  5. 제2항에 있어서, 상기 가스 혼합물이 암모니아 및 산소를 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  6. 제2항에 있어서, 상기 공-반응물이 산화 질소인, 기판 위에 규소 함유 막을 형성하는 방법.
  7. 제1항에 있어서, 산소 또는 질소 라디칼을 포함하는 공-반응물을 발생시키는 단계를 추가적으로 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  8. 제7항에 있어서, 상기 공-반응물을 발생시키는 단계가 산소 함유 또는 질소 함유 화합물을 플라즈마에 노출시켜 산소 또는 질소 라디칼을 발생시키는 것을 포함하는, 기판 위에 규소 함유 막을 형성하는 방법.
  9. 제1항에 있어서, 상기 규소 함유 막이 1 Å/주기 이상의 증착 속도로 형성되는, 기판 위에 규소 함유 막을 형성하는 방법.
  10. 제1항에 있어서, 상기 반응 챔버의 압력이 0.1 내지 1000 torr (13 내지 1330 kPa)인, 기판 위에 규소 함유 막을 형성하는 방법.
  11. 제1항에 있어서, 상기 공-반응물이 산소와 오존을 오존 대 산소의 비율이 20 부피% 미만이 되도록 포함하는 가스 혼합물인, 기판 위에 규소 함유 막을 형성하는 방법.
  12. 제1항에 있어서, 상기 공-반응물이 암모니아와 히드라진을 히드라진 대 암모니아의 비율이 15 부피% 미만이 되도록 포함하는 가스 혼합물인, 기판 위에 규소 함유 막을 형성하는 방법.
  13. 제1항에 있어서, 상기 규소 함유 막이 산화규소 막인, 기판 위에 규소 함유 막을 형성하는 방법.
  14. 제1항에 있어서, 상기 규소 함유 막이 질화규소 막인, 기판 위에 규소 함유 막을 형성하는 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
KR1020107008189A 2007-09-18 2008-09-18 규소 함유 막의 형성 방법 KR101542267B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US97321007P 2007-09-18 2007-09-18
US60/973,210 2007-09-18

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR20157006436A Division KR20150036815A (ko) 2007-09-18 2008-09-18 규소 함유 막의 형성 방법

Publications (2)

Publication Number Publication Date
KR20100061733A KR20100061733A (ko) 2010-06-08
KR101542267B1 true KR101542267B1 (ko) 2015-08-06

Family

ID=40010952

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107008189A KR101542267B1 (ko) 2007-09-18 2008-09-18 규소 함유 막의 형성 방법
KR20157006436A KR20150036815A (ko) 2007-09-18 2008-09-18 규소 함유 막의 형성 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR20157006436A KR20150036815A (ko) 2007-09-18 2008-09-18 규소 함유 막의 형성 방법

Country Status (7)

Country Link
US (1) US20090075490A1 (ko)
EP (1) EP2193541A1 (ko)
JP (1) JP2010539730A (ko)
KR (2) KR101542267B1 (ko)
CN (1) CN101889331A (ko)
TW (1) TWI489547B (ko)
WO (1) WO2009039251A1 (ko)

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP5705751B2 (ja) * 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8629067B2 (en) * 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
WO2012067455A1 (ko) * 2010-11-17 2012-05-24 주식회사 유피케미칼 실리콘 전구체 화합물을 이용한 박막 증착 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101659463B1 (ko) 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发***公司 等离子体活化保形电介质膜沉积的方法和装置
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
KR101842901B1 (ko) * 2011-10-18 2018-03-29 삼성전자주식회사 반도체 장치의 형성방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101925580B1 (ko) * 2011-11-15 2019-02-28 주식회사 원익아이피에스 기판처리장치 및 그 동작 방법
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
JP5920242B2 (ja) * 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201443274A (zh) * 2013-03-14 2014-11-16 Applied Materials Inc 使用二矽氧烷先質之膜的沉積
CN103450801A (zh) * 2013-09-09 2013-12-18 南京工业大学 一种制备微纳米硅基超疏水涂层的方法及其用途
WO2015047914A1 (en) 2013-09-27 2015-04-02 Antonio Sanchez Amine substituted trisilylamine and tridisilylamine compounds
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP5852147B2 (ja) 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
CN105899711B (zh) * 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) * 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6761807B2 (ja) 2015-02-23 2020-09-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN113403604B (zh) 2015-07-31 2024-06-14 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
CN105185693A (zh) * 2015-08-20 2015-12-23 上海华力微电子有限公司 半导体衬底上二氧化硅介质层的形成方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10312432B2 (en) * 2016-04-06 2019-06-04 Varian Semiconductor Equipment Associates, Inc. Magnetic memory device and techniques for forming
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20180110612A (ko) * 2017-03-29 2018-10-10 (주)디엔에프 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10361112B2 (en) * 2017-06-29 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102126466B1 (ko) * 2018-09-27 2020-06-24 크린팩토메이션 주식회사 이에프이엠
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113166178A (zh) * 2018-11-15 2021-07-23 Up化学株式会社 硅前体化合物、制备方法及使用其来形成含硅膜的方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI776109B (zh) * 2018-12-21 2022-09-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 在550°C或更高的溫度下使用ALD沈積含Si膜之先質及製程
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220057617A (ko) * 2019-09-10 2022-05-09 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름의 비등각 증착을 위한 조성물 및 이를 이용하는 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP2021188094A (ja) * 2020-05-29 2021-12-13 大陽日酸株式会社 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114622183A (zh) * 2020-12-11 2022-06-14 湖南红太阳光电科技有限公司 一种制备氧化硅薄膜的方法
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11862468B2 (en) * 2021-01-29 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN117425745A (zh) * 2021-04-21 2024-01-19 恩特格里斯公司 硅前体化合物和形成含硅膜的方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
WO2006097525A2 (en) * 2005-03-17 2006-09-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
WO2007002040A2 (en) * 2005-06-21 2007-01-04 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4181751A (en) * 1978-05-24 1980-01-01 Hughes Aircraft Company Process for the preparation of low temperature silicon nitride films by photochemical vapor deposition
JPH01143221A (ja) * 1987-11-27 1989-06-05 Nec Corp 絶縁薄膜の製造方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5728602A (en) * 1996-06-03 1998-03-17 Vlsi Technology, Inc. Semiconductor wafer manufacturing process with high-flow-rate low-pressure purge cycles
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
AU2003259950A1 (en) * 2002-08-18 2004-03-03 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080173917A1 (en) * 2007-01-19 2008-07-24 Matthias Patz Selective deposition method
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
WO2006097525A2 (en) * 2005-03-17 2006-09-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
WO2007002040A2 (en) * 2005-06-21 2007-01-04 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process

Also Published As

Publication number Publication date
CN101889331A (zh) 2010-11-17
EP2193541A1 (en) 2010-06-09
KR20150036815A (ko) 2015-04-07
US20090075490A1 (en) 2009-03-19
WO2009039251A1 (en) 2009-03-26
KR20100061733A (ko) 2010-06-08
TWI489547B (zh) 2015-06-21
JP2010539730A (ja) 2010-12-16
TW200931520A (en) 2009-07-16

Similar Documents

Publication Publication Date Title
KR101542267B1 (ko) 규소 함유 막의 형성 방법
KR101248358B1 (ko) 산화규소 함유 필름의 형성 방법
US8946092B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
KR101811531B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101858345B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101862923B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20160087776A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180719

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190718

Year of fee payment: 5