TWI457987B - Transport chamber and particle attachment prevention method - Google Patents

Transport chamber and particle attachment prevention method Download PDF

Info

Publication number
TWI457987B
TWI457987B TW099103838A TW99103838A TWI457987B TW I457987 B TWI457987 B TW I457987B TW 099103838 A TW099103838 A TW 099103838A TW 99103838 A TW99103838 A TW 99103838A TW I457987 B TWI457987 B TW I457987B
Authority
TW
Taiwan
Prior art keywords
transfer chamber
substrate
pressure
processed
gas
Prior art date
Application number
TW099103838A
Other languages
English (en)
Other versions
TW201044447A (en
Inventor
Jun Yamawaku
Junji Oikawa
Hiroyuki Nakayama
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201044447A publication Critical patent/TW201044447A/zh
Application granted granted Critical
Publication of TWI457987B publication Critical patent/TWI457987B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

搬送腔室及粒子附著防止方法
本發明是有關在減壓環境中處理被處理基板的減壓處理部與在大氣壓環境中保持被處理基板的大氣系保持部之間搬送被處理基板的搬送腔室、及用以防止粒子附著至該搬送腔室內的被處理基板之粒子附著防止方法。
例如,在乾蝕刻半導體晶圓的電漿蝕刻裝置中,實際蝕刻處理半導體晶圓時的處理腔室的內部是經常被保持於真空環境。另一方面,供蝕刻處理的半導體晶圓是例如在大氣環境中,被收容於前開式晶圓盒(FOUP;Front Opining Unified Pod)的狀態下搬入至電漿蝕刻裝置。
電漿蝕刻裝置是具備在大氣環境中載置前開式晶圓盒的載置部,為了從前開式晶圓盒取出半導體晶圓來搬入處理腔室,相反的,從處理腔室取出半導體晶圓來搬入前開式晶圓盒,而於真空環境與大氣壓環境之間可環境調節的搬送腔室會被配設於載置部與處理腔室之間(例如參照專利文獻1)。
在載置部與搬送腔室之間及搬送腔室與處理腔室之間分別設有第1閘閥及第2閘閥。使搬送腔室內成為大氣壓環境,在保持關閉第2閘閥下開啟第1閘閥,使半導體晶圓從前開式晶圓盒搬入搬送腔室,然後關閉第1閘閥。其次,將搬送腔室減壓至與處理腔室大致同一壓力,在保持關閉第1閘閥下開啟第2閘閥,使半導體晶圓從搬送腔室搬入處理腔室。關閉第2閘閥,在處理腔室中進行蝕刻處理後,以和先前使半導體晶圓從前開式晶圓盒搬送至處理腔室時的程序相反的程序來進行半導體晶圓的搬送。
在如此一連串的處理中,搬送腔室內之往半導體晶圓的粒子附著會隨著從半導體晶圓所製造的半導體裝置的微細圖案化進展而成大問題。於是,在專利文獻1為了除去附著於搬送腔室(在專利文獻1是「氣鎖室」)的內壁之粒子,而於搬送腔室內配置使離子流產生的除電器。
在此,除電器是對搬送腔室放出離子流,利用離子流中所含的離子來將藉由靜電力(庫倫力)而附著於搬送腔室的內壁之粒子除電(靜電除去),使從該內壁離脫。然後,藉由吸引手段來將搬送腔室內的氣體排出至外部,藉此從搬送腔室排出、除去粒子。
並且,專利文獻1是在如此排出、除去附著於搬送腔室的內壁之粒子後,將半導體晶圓搬入搬送腔室,對設於半導體晶圓的上方之電極施加考量半導體晶圓的帶電狀態之電壓,使附著於半導體晶圓之帶電的粒子吸附於電極。
[先行技術文獻] [專利文獻]
[專利文獻1]特開2002-353086號公報
在專利文獻1中雖未記載有關配設於搬送腔室內的除電器的具體離子產生方法,但就離子產生方法而言,可想像利用電暈放電,作為使正離子與負離子均衡產生的方法,或利用紫外線(UV)或X線的離子產生方法。
然而,在利用電暈放電的離子產生方法時,會因放電而產生粒子,所產生的粒子會殘留於搬送腔室內,而恐有附著於搬入搬送腔室內的半導體晶圓之虞。
在專利文獻1中,為了除去附著於半導體晶圓的粒子,而另外在半導體晶圓的上空設有用以靜電吸附粒子的電極。此情況,需要用以對電極施加高的電壓之電源,裝置的構成及控制會變複雜。
不過,揭示於專利文獻1的搬送腔室,由上述構成可知,並非是將半導體晶圓本身予以除電者。例如,半導體晶圓會藉電漿蝕刻等的處理而帶電,所以一旦帶電的半導體晶圓回到減壓環境的搬送腔室,則會因其靜電力而成為容易產生粒子附著的狀態。並且,在將處於大氣壓環境的半導體晶圓搬入大氣壓環境的搬送腔室時,半導體晶圓也會有帶電的可能性。
在此,有關往半導體晶圓的粒子附著方面,是粒子的粒徑越小,靜電力所造成的附著越會成為支配性。因此,為了應付今後半導體裝置的更微細圖案化,需要將半導體晶圓除電,更理想是將粒子除電,而來防止半導體裝置的生產上以往未成大問題之尺寸微小粒子附著至半導體晶圓。
但,就揭示於專利文獻1的技術而言,即使假設將藉由除電器所產生的離子利用於半導體晶圓的除電,在利用電暈放電的離子產生方法時,還是會有因放電而產生的粒子附著於半導體晶圓,或在低壓狀態中放電控制困難的問題,且在利用紫外線(UV)或X線的離子產生方法時,恐有紫外線等照射於半導體晶圓而半導體晶圓受損之虞。
本發明的目的是在於提供一種不會有使被處理基板受損的情形來將被處理基板除電而防止粒子附著至被處理基板的搬送腔室及粒子附著防止方法。
為了達成上述目的,請求項1記載的搬送腔室,係設於減壓處理部與大氣系保持部之間,在前述減壓處理部與前述大氣系保持部之間搬送前述被處理基板之搬送腔室,該減壓處理部係於減壓環境中對被處理基板實施所定的處理,該大氣系保持部係於大氣壓環境中保持被處理基板,其特徵係具備:腔室本體,其係收容前述被處理基板;排氣裝置,其係為了使前述腔室本體的內部成為前述減壓環境,而進行來自前述腔室本體的排氣;氣體供給裝置,其係為了使前述腔室本體的內部成為前述大氣壓環境,而將所定的氣體供給至前述腔室本體;及離子化氣體供給裝置,其係於前述腔室本體的外部具備使前述所定的氣體離子化的離子化裝置,將在前述離子化裝置中產生的離子化氣體供給至前述腔室本體。
請求項2記載的搬送腔室,係於請求項1記載的搬送腔室中,前述離子化裝置係安裝於前述氣體供給裝置,藉由前述氣體供給裝置來供給至前述腔室本體的氣體係藉由前述離子化裝置來離子化而供給至前述腔室本體。
又,為了達成上述目的,請求項3記載的粒子附著防止方法,係利用搬送腔室在減壓處理部與大氣系保持部之間搬送前述被處理基板時,防止粒子附著至前述被處理基板的方法,該搬送腔室係具備:設於減壓處理部與大氣系保持部之間,內部可切換於減壓環境與大氣壓環境的腔室本體,用以在前述減壓處理部與前述大氣系保持部之間搬送被處理基板,該減壓處理部係於減壓環境中對被處理基板實施所定的處理,該大氣系保持部係於大氣壓環境中保持被處理基板,其特徵係具有:在前述腔室本體收容被處理基板之收容步驟;及將在前述腔室本體的外部產生的離子化氣體供給至前述腔室本體,而使前述被處理基板除電之步驟。
請求項4記載的粒子附著防止方法,係於請求項3記載的粒子附著防止方法中,前述收容步驟,係藉由前述被處理基板從前述減壓處理部往前述腔室本體搬入來進行,前述除電步驟,係藉由進行隨著來自前述腔室本體的排氣而往前述腔室本體供給前述離子化氣體,使前述腔室本體從前述減壓環境移往前述大氣壓環境。
請求項5記載的粒子附著防止方法,係於請求項3記載的粒子附著防止方法中,前述收容步驟,係藉由前述被處理基板從前述大氣系保持部往前述腔室本體搬入來進行,前述除電步驟,係藉由一邊對前述腔室本體供給前述離子化氣體,一邊進行來自前述腔室本體的排氣,使前述腔室本體的內部從前述大氣壓環境移往前述減壓環境。
請求項6記載的粒子附著防止方法,係於請求項5記載的粒子附著防止方法中,在除電步驟中,藉由重複減壓與昇壓,使前述腔室本體的內部從前述大氣壓環境移往前述減壓環境。
請求項7記載的粒子附著防止方法,係於請求項3~6的其中任一項所記載的粒子附著防止方法中,前述除電步驟,係為了調整前述腔室本體的壓力,而使用令供給至前述腔室本體的淨化氣體離子化的氣體,作為前述離子化氣體。
若根據請求項1記載的搬送腔室及請求項3記載的粒子附著防止方法,則可藉由離子化氣體來將被處理基板及腔室本體的內部所存在的粒子予以除電,因此可防止靜電力造成粒子附著至被處理基板。
若根據請求項2記載的搬送腔室,則可利用氣體供給裝置來使產生離子化氣體,因此可抑制裝置構成變得複雜,且藉由在既存的氣體供給裝置安裝離子化裝置,可簡單地構築離子化氣體供給裝置。
若根據請求項4記載的粒子附著防止方法,則藉由在減壓處理部的處理終了的被處理基板除電,可在往其次工程的搬送過程中,防止靜電力造成粒子附著至被處理基板。
若根據請求項5記載的粒子附著防止方法,則因可防止靜電力造成粒子附著至被搬入減壓處理部的被處理基板,所以可縮小在減壓處理部的處理之粒子的影響。
若根據請求項6記載的粒子附著防止方法,則藉由在從大氣壓環境移往減壓環境的期間進行暫時性的昇壓,可使離子化氣體擴散於腔室本體的內部全體,而進行腔室本體的內部所存在之粒子的除電。如此被除電的粒子可在其次的減壓時從腔室本體排出,所以可提高腔室本體的內部的清淨度。
若根據請求項7記載的粒子附著防止方法,則淨化氣體的供給系以外不必設置離子化氣體的供給系,因此可使用具有簡單的構成之裝置來將被處理基板除電,防止靜電力造成粒子附著至被處理基板。
以下,一邊參照圖面一邊詳細說明有關本發明的實施形態。
圖1是表示具備本發明的實施形態的搬送腔室之基板處理系統的概略構成的垂直剖面圖。
基板處理系統1是構成一片一片地電漿蝕刻處理(以下稱為「蝕刻處理」)作為被處理基板的半導體晶圓(以下稱為「晶圓」)W之所謂單片處理型的蝕刻處理裝置。
如圖1所示,此基板處理系統1是具備:對晶圓W實施蝕刻處理的基板處理部2、及用以對收納所定片數的晶圓W的容器之前開式晶圓盒40搬出入晶圓W的大氣系搬送部3、及配置於基板處理部2與大氣系搬送部3之間,在基板處理部2與大氣系搬送部3之間搬送晶圓W的搬送腔室4。
大氣系搬送部3是具有:載置所定數的前開式晶圓盒40的載置台41、及對載置於載置台41的前開式晶圓盒40進行晶圓W的搬出入的載入器模組(loader module)42。
前開式晶圓盒40是將其開閉門(未圖示)朝向載入器模組42側而載置於載置台41。在載入器模組42中,在與載置於載置台41的前開式晶圓盒40對面的壁面上設有窗部(未圖示),其係藉由可與前開式晶圓盒40的開閉門(未圖示)卡合的遮門(shutter)(未圖示)來開閉。使前開式晶圓盒40的開閉門與上述遮門一體移動來開啟上述窗部,藉此連通前開式晶圓盒40的內部與載入器模組42的內部。
前開式晶圓盒40一般是形成以水平姿勢等間隔保持25片的晶圓W之構造。載入器模組42是直方體狀的箱狀物,為了在其內部搬送晶圓W,而於載入器模組42的內部設有可對前開式晶圓盒40的內部進行存取的梯型式的搬送裝置43。
搬送裝置43為了進行確認在前開式晶圓盒40內的晶圓W收容狀態(正常被收容的晶圓W的位置、晶圓W的階差或突出等的異常)及片數之映射(mapping),而具備構成可伸縮的多關節腕狀的映射臂46。在映射臂46的前端具備例如利用半導體雷射等的非接觸式感測器(未圖示),作為進行如此的映射之感測器。
映射臂46的基端是被連結至昇降台49,該昇降台49是沿著從搬送裝置43的基部47立設的支柱48而昇降者,在使上述非接觸感測器位於離開前開式晶圓盒40所定距離的狀態下,驅動昇降台49來使映射臂46上昇或下降,藉此來進行映射。
搬送裝置43是具備搬送臂44,其係基端被連結至昇降台49,具有構成可伸縮的多關節構造。搬送臂44是形成可用設於其前端的拾取器45來保持晶圓W的構造,按照映射的結果,在前開式晶圓盒40內的所定高度進行存取,搬出前開式晶圓盒40內的晶圓W,或將所保持的晶圓W搬入前開式晶圓盒40。
支柱48可旋轉可能,藉此搬送臂44的拾取器45並非僅圖1所示的前開式晶圓盒40側,連搬送腔室4側也可存取,藉此可在大氣系搬送部3與搬送腔室4之間交接晶圓W。
有關搬送腔室4的構成會在往後詳細說明,在此簡單說明有關基板處理部2。
在基板處理部2配置有處理腔室10,其係構成蝕刻處理晶圓W的處理室。在處理腔室10的內部設有基座11,其係作為載置晶圓W的平台,且作為使電漿發生的電極之機能,並且,對載置於基座11的晶圓W放出處理氣體的淋浴頭33會被配置於處理腔室10內部的頂部附近。
在處理腔室10的底壁形成有排氣口12,可利用安裝於此排氣口12的真空泵(未圖示)等的減壓手段來將處理腔室10維持於減壓環境。
高頻電源18會經由整合器(未圖示)來連接至基座11,高頻電源18會將所定的高頻電力施加於基座11。如此,基座11具有作為下部電極的機能。
並且,在基座11的內部上方埋設有由導電膜所構成的電極板13,其係用以藉由靜電吸附力來吸附晶圓W,在電極板13電性連接直流電源(未圖示)。利用藉由對電極板13施加直流電壓所產生的庫倫力或Johnsen-Rahbek力來將晶圓W吸附保持於基座11的上面。
另外,基座11具備:聚焦環、冷卻機構、往晶圓W的背面之氣體供給機構、用以對基座11搬出入晶圓W的晶圓昇降機構等,但省略有關該等的說明。
淋浴頭33是被接地,以淋浴頭33及基座11來構成一對的電極,淋浴頭33具有作為接地電極的機能。經由氣體供給管38來供給處理氣體或N2 氣體等的氣體至淋浴頭33,從形成於淋浴頭33下面的多數個氣體通氣孔(未圖示)來朝載置於基座11的晶圓W放出上述氣體。來自淋浴頭33的氣體放出量是藉由設於氣體供給管38的MFC(Mass Flow Controller)39來調節。
一邊從淋浴頭33將一定量的處理氣體朝晶圓W放出,一邊把處理腔室10維持於所定的減壓環境,同時對基座11施加所定的電壓,藉此使處理氣體的電漿產生於基座11與淋浴頭33之間。電漿中的離子是藉由基座11與淋浴頭33之間的電場來引誘至晶圓W,對晶圓W實施蝕刻處理。
其次,詳細說明有關搬送腔室4的構成。
搬送腔室4是具有腔室本體51,其係構成可將內部切換於大氣壓環境及減壓環境。另外,所謂「搬送腔室4」是包含腔室本體51及附隨配設的各種裝置等。
在腔室本體51與處理腔室10之間設有閘閥5,在腔室本體51與載入器模組42之間設有閘閥6。閘閥6是在腔室本體51成為大氣壓環境的狀態下被開啟,此時,可在大氣系搬送部3與搬送腔室4之間(載入器模組42與腔室本體51之間)進行晶圓W的搬送。另一方面,在關閉閘閥6的狀態下,可一邊將載入器模組42維持於大氣壓環境,一邊將腔室本體51維持於減壓環境。
為了處理腔室10經常維持於一定的減壓環境,閘閥5是在腔室本體51成為減壓環境的狀態下被開啟,此時,可在基板處理部2與搬送腔室4之間(處理腔室10與腔室本體51之間)進行晶圓W的搬送。在基板處理部2與搬送腔室4之間的晶圓W搬送時以外,閘閥5是被維持於關閉的狀態。
在腔室本體51的內部配設有構成伸縮及旋轉自如的移載臂50(搬送裝置)。可使用由複數的腕部所構成的梯型式的搬送臂來作為移載臂50,安裝於其前端的拾取器54會載置保持晶圓W。在開啟閘閥6的狀態下,拾取器54會進入載入器模組42,在拾取器45與拾取器54之間進行晶圓W的交接。並且,在開啟閘閥5的狀態下,拾取器54會進入處理腔室10,在基座11與拾取器54之間進行晶圓W的交接。另外,移載臂50亦可為蛙腳型或雙臂型者。
在腔室本體51的底壁設有排氣口59,用以將腔室本體51設為減壓環境的排氣裝置53會被安裝於排氣口59。排氣裝置53是具備設於排氣管的真空泵57及控制閥58,該排氣管是被安裝至排氣口59。排氣裝置53的排氣量是在不超過真空泵57的最大排氣能力的限度中,藉由控制控制閥58的縮放來使連續性且任意地變化。另外,在圖1中,排氣口59是只顯示1處,但排氣口59可設於複數處。
在腔室本體51設有給氣系統52,其係具有作為氣體供給裝置的機能,亦即將用以使腔室本體51成為大氣壓環境的氣體(淨化氣體)供給至腔室本體51,且具有作為離子化氣體供給裝置的機能,亦即如以下說明般,使淨化氣體離子化而生成離子化氣體,將所生成的離子化氣體供給至腔室本體51。
給氣系統52是具備:氣體供給路線55,其係從乾空氣或N2 氣體、Ar氣體、O2 氣體等的氣體來選擇1種或複數種的氣體,藉由控制閥56來調節各種氣體的流量而供給至腔室本體51;離子化裝置60,其係配置於腔室本體51的外側,使經由氣體供給路線55來供給的氣體離子化,而產生離子化氣體;及中斷過濾器61,其係用以使從離子化裝置60經由氣體供給路線55來送出的離子化氣體放出於腔室本體51的內部。
藉由適當地控制:用以管理往腔室本體51的氣體供給量的調節之控制閥56、及用以管理來自腔室本體51的排氣量的調節之控制閥58,可自由自在地進行腔室本體51的內部壓力的調節(減壓速度調節、昇壓速度調節、壓力維持調節)。
離子化裝置60是藉由電暈放電或UV照射、X線照射等的各種方法來使由氣體供給路線55所供給的氣體離子化,使產生離子化氣體。所謂「離子化氣體」是指氣體的分子的一部分被離子化的狀態下含於氣體全體中的氣體。離子化裝置60可構成具備複數的離子化方法的實行手段,或按照氣體種類來適當選擇離子化方法。離子化裝置60為了使生成的離子效率佳地到達晶圓W,較理想是在腔室本體51的外側接近腔室本體51來配置。
中斷過濾器61是例如長度為200mm的網狀金屬製過濾器,可擴大氣體放出面積,因此可使放出之氣體的流動減速。藉此可在廣範圍均一地放出氣體,可防止腔室本體51的內部之粒子的揚起。並且,藉由使用中斷過濾器61,在使腔室本體51昇壓時,可使壓力均一地上昇。
如圖1所示,將中斷過濾器61設於晶圓W的上空(腔室本體51的內部頂棚附近),在腔室本體51的底壁設置排氣口59,藉此如後述般,在一邊使氣體從中斷過濾器61放出,一邊進行來自排氣口59的排氣時,可使從中斷過濾器61放出的離子化氣體在接觸於晶圓W之後流往排氣口59,形成離子化氣體的流動。藉此,可有效率地供給離子化氣體至晶圓W,促進晶圓W的除電。
另外,在腔室本體51的內部之氣體放出並非是一定要使用中斷過濾器61,亦可像使用於基板處理部2的淋浴頭33那樣,使用朝晶圓W的上面放出氣體的構造者。像中斷過濾器61那樣為了在腔室本體51的內部放出離子化氣體而使用的構件是由不易對離子化氣體中所含的離子的中性分子產生變化的材質所構成,且具有維持離子壽命長的構造者為適合。
如上述般構成的基板處理系統1是藉由控制部(未圖示)來電腦控制,藉由實行用以對晶圓W實施按照所定方法的處理的程式(軟體)來使構成基板處理系統1的各種可動部運轉,而處理晶圓W。
其次,說明有關在搬送腔室4的晶圓W的搬送過程中,將晶圓W除電,防止粒子附著至晶圓W的方法。
最初,說明有關從大氣系搬送部3搬送晶圓W至基板處理部2時之往晶圓W的粒子附著防止方法。
圖2是表示搬送腔室的第1壓力調整模式的模式圖。在圖2中是顯示腔室本體51的壓力變化、及給氣系統52與排氣裝置53的各控制閥56,58的開啟(ON)/關閉(OFF)的時序。
控制閥56是可使氣體流量連續性且任意地變化,但在本實施形態則是設成只進行以一定流量來流動氣體的「開啟狀態」與不流動氣體的「關閉狀態」之間的切換。同樣的,控制閥58是在「開啟狀態」時進行排氣,在「關閉狀態」不進行排氣。並且,有關以下說明的所有壓力調整模式(圖2~圖5),離子化裝置60是在控制閥56為關閉狀態時不動作(關閉狀態),在控制閥56為開啟狀態時動作,而使產生離子化氣體者(開啟狀態)。
最初,藉由給氣系統52來例如供給N2 氣體作為淨化氣體至腔室本體51,藉此,腔室本體51成為被維持於大氣壓環境者。在此狀態下開啟閘閥6,使晶圓W從拾取器45往拾取器54移載,然後,關閉閘閥6。到閘閥6被關閉的時間t0 為止的期間,排氣裝置53的真空泵57會動作,但控制閥58是成為關閉狀態,因此腔室本體51不會被減壓。
離子化裝置60是到時間t0 為止的期間,成為開啟狀態。此情況,若腔室本體51的內部壓力比載入器模組42的內部壓力更成為陽壓若干,則可防止因空氣從載入器模組42往腔室本體51流入所引起的粒子進入。又,離子化氣體會通過閘閥6來從腔室本體51流入至載入器模組42,更流入前開式晶圓盒40內,藉此可期待被保持於拾取器45的晶圓W或前開式晶圓盒40內的晶圓W會被除電的效果。但,此效果是仰賴離子化氣體的離子壽命(從離子到形成中性的分子為止的時間)。
被保持於拾取器54的晶圓W有帶電的可能性。於是,為了在腔室本體51的內部將晶圓W除電,防止因靜電力造成粒子附著至晶圓W,而進行以下的處理。
一旦閘閥6被關閉,則迅速地移載臂50會開始從大氣系搬送部3側往基板處理部2側之晶圓W的搬送(從載入器模組42往處理腔室10之晶圓W的搬送)。晶圓W的搬送速度是配合腔室本體51的壓力下降到可開啟閘閥5的目標壓力PV 為止的時間t3 來設定。晶圓W的搬送,可用一定速度來連續地進行,或在腔室本體51的中央部等設置一時停止的狀態來進行。
又,一旦閘閥6被關閉,則控制閥58會迅速地成為開啟狀態而開始排氣,腔室本體51的減壓開始。控制閥56是被維持於開啟狀態,往腔室本體51之離子化氣體的供給是繼續進行。當然,排氣裝置53的排氣量要比給氣系統52的給氣量更大。
腔室本體51的減壓中,在腔室本體51的內部,離子化氣體會容易從配設有中斷過濾器61的頂棚側朝向設有排氣口59的底壁流動。因此,可使離子化氣體有效率地接觸於晶圓W,藉由晶圓W的表面電荷與離子的電荷的結合,進行晶圓W的除電。如此一來,可防止靜電力造成粒子附著至晶圓W。
並且,存在於腔室本體51的內部之帶電的粒子會藉由含於離子化氣體的離子來除電。如此被除電的粒子是對於晶圓W或腔室本體51的內部構件難以附著,且容易被排氣。如此一來,可防止粒子附著至晶圓W。
接著,在腔室本體51到達預定的壓力P1 的時間t1 ,將控制閥58形成關閉狀態。另一方面,控制閥56是被維持於ON狀態,往腔室本體51之離子化氣體的供給是持續著。因此,腔室本體51的壓力會開始上昇。
此時,離子化氣體會在腔室本體51的內部均一地擴散,可將在腔室本體51的內部浮游的粒子或在腔室本體51的內壁因靜電力而附著的粒子予以除電。如此被除電的粒子是在其次將腔室本體51減壓時,容易從排氣口59排出。並且,可藉由離子化氣體來將晶圓W或腔室本體51的內部構件予以除電,可防止往該等的粒子附著。
在腔室本體51到達預定的壓力P2 的時間t2 ,控制閥58會再成為開啟狀態,腔室本體51的減壓會再開始。若降低設定壓力P2 ,則生產能力的降低會被抑制,若提高設定,則被供給至腔室本體51的離子化氣體量會變多,上述粒子的除電效果等會變大。壓力P2 是考量晶圓W的帶電狀態來設定成適當、適切的值。
時間t2 以後是如圖2所示般交替設置腔室本體51的減壓及昇壓,而使晶圓W的除電進展,在到達最終的目標壓力PV 的時間t3 ,將控制閥56,58及離子化裝置60設為關閉狀態,維持腔室本體51的壓力。在時間t3 ,晶圓W是被搬送至接近閘閥5的位置,若開啟閘閥5,則移載臂50是成為可迅速地搬入拾取器54所保持的晶圓W至處理腔室10的狀態為理想。藉此,可提高搬送腔室4的搬送處理的生產能力。
時間t3 後,閘閥5會迅速地被開啟,移載臂50會存取於處理腔室10的內部,將被保持於拾取器54的晶圓W載置於基座11,在閘閥5被關閉後,開始在處理腔室10之晶圓W的蝕刻處理。
其次,參照圖3所示的模式圖來說明有關搬送腔室4的第2壓力調整模式。此第2壓力調整模式也被利用於從大氣系搬送部3往基板處理部2搬送晶圓W時。圖3是表示腔室本體51的壓力變化、及給氣系統52與排氣裝置53的各控制閥56,58的開啟/關閉的時序。
若對比圖2與圖3則可明確,圖2所示的第1壓力調整模式與圖3所示的第2壓力調整模式,在給氣系統52的控制閥56的開啟狀態/關閉狀態的切換有不同點。亦即,第1壓力調整模式是經常對腔室本體51供給離子化氣體,但第2壓力調整模式是在腔室本體51的減壓時停止往腔室本體51之離子化氣體的供給。
藉此,第2壓力調整模式的減壓時的減壓速度是比第1壓力調整模式的減壓時的減壓速度更快。由圖2,3可明確,第2壓力調整模式是將暫時停止減壓的壓力(例如壓力P1 )及中止昇壓的壓力(例如壓力P2 )設為與第1壓力調整模式時相同。因此,往第2壓力調整模式的目標壓力PV 的到達時間t13 是形成比第1壓力調整模式時的到達時間t3 更快,生產能力會提升。
此第2壓力調整模式也是在從大氣壓往目標壓力PV 的減壓過程設置昇壓期間(例如時間t11 ~t12 間),因此可使離子化氣體擴散於腔室本體51的內部,進行晶圓W的除電。另外,此第2壓力調整模式可將減壓速度變快所產生的時間縮短部分充當用以增加離子化氣體的供給量的時間。
接著,說明有關從基板處理部2搬送晶圓W至大氣系搬送部3時之往晶圓W的粒子附著防止方法。
圖4是表示搬送腔室的第3壓力調整模式的模式圖。在圖4中顯示腔室本體51的壓力變化、及給氣系統52與排氣裝置53的各控制閥56,58的開啟(ON)/關閉(OFF)的時序。
最初,腔室本體51是成為被維持於可開啟閘閥5的壓力PV 的減壓環境。閘閥5會被開啟,移載臂50會存取於基座11而從基座11接受晶圓W,一旦晶圓W被搬入腔室本體51,則閘閥5會關閉。
到閘閥5被關閉的時間T0 為止的期間,控制閥56,58皆成為關閉狀態。但,控制閥58亦可例如間歇性地成為開啟狀態,而使腔室本體51能夠維持於一定的減壓狀態。
移載臂50的拾取器54所保持的晶圓W因在基板處理部2的蝕刻處理而帶電的可能性高。於是,為了在腔室本體51的內部將晶圓W除電,防止靜電力造成粒子附著至晶圓W,而進行以下的處理。
一旦閘閥5被關閉,則移載臂50會迅速地開始從基板處理部2側往大氣系搬送部3側之晶圓W的搬送。在此的晶圓W的搬送方法可設為與先前說明之從大氣系搬送部3側往基板處理部2側之晶圓W的搬送方法同樣。
在時間T0 ,控制閥56(及離子化裝置60)會成為開啟狀態,開始往腔室本體51之離子化氣體的供給。並且,時間T0 以後,控制閥58是被維持於關閉狀態。藉此,腔室本體51的壓力會上昇。擴散於腔室本體51的內部之離子化氣體中所含的離子的電荷與晶圓W的表面電荷會結合,藉此晶圓W會被除電,可防止靜電力造成粒子附著至晶圓W。
在腔室本體51成為大氣壓的時間T1 ,晶圓W是被搬送至接近閘閥6的位置,若開啟閘閥6,則移載臂50是成為可將拾取器54所保持的晶圓W迅速地搬入至載入器模組42的狀態為理想。藉此,可提高搬送腔室4的搬送處理的生產能力。
時間T1 後,迅速地開啟閘閥6,移載臂50會存取於載入器模組42的內部。被保持於拾取器54的晶圓W在交接至搬送臂44的拾取器45之後,收容於前開式晶圓盒40內的所定位置。
其次,參照圖5所示的模式圖來說明有關搬送腔室4的第4壓力調整模式。此第4壓力調整模式也是使用於從基板處理部2搬送晶圓W至大氣系搬送部3時。在圖5中顯示腔室本體51的壓力變化、及給氣系統52與排氣裝置53的各控制閥56,58的開啟/關閉的時序。
一旦藉由移載臂50來從處理腔室10取出晶圓W,而閘閥5被關閉,則開始移載臂50之晶圓W的搬送。在閘閥5被關閉的時間T0 ,控制閥56(及離子化裝置60)會成為開啟狀態,開始往腔室本體51之離子化氣體的供給。如此藉由擴散於腔室本體51的內部之離子化氣體,開始晶圓W的除電。
如圖5所示,在腔室本體51到達預定的壓力P11 的時間T11 ,將控制閥58設為開啟狀態(真空泵57是經常運轉中),使腔室本體51的壓力變化從昇壓轉至減壓。藉此,離子化氣體是在腔室本體51的內部從頂棚朝向底壁側流動,含於離子化氣體的離子會容易接觸於晶圓W。如此一來,可有效地增進晶圓W的除電。
接著,在腔室本體51下降至預定的壓力P12 的時間T12 ,將控制閥58設為關閉狀態,使腔室本體51的昇壓再開始。若提高設定壓力P12 (但P11 >P12 ),則生產能力會提升,若降低設定壓力P12 ,則離子化氣體所產生的除電效果會變大。壓力P12 是考量晶圓W的帶電狀態來設定成適當、適切的值。
時間T12 以後,如圖5所示般交替設置腔室本體51的昇壓及減壓,最終成為大氣壓。到達大氣壓的時間T13 以後,控制閥58是維持於關閉狀態,控制閥56與離子化裝置60是維持於開啟狀態。
在時間T13 後開啟閘閥6,移載臂50的拾取器54所保持的晶圓W是在被搬入載入器模組42而交接至搬送臂44的拾取器45之後,收容於前開式晶圓盒40內的所定位置。
如上述般,若根據本發明,則因為可藉由離子化氣體來將晶圓W及存在於腔室本體51內部的粒子予以除電,所以可防止因靜電力造成粒子附著至晶圓W。在將給氣系統52設為利用供給至腔室本體51的淨化氣體來使產生離子化氣體的構成之下,裝置構成會變簡單。並且,藉由在既存的基板處理系統之淨化氣體的供給裝置安裝離子化裝置,可容易構築給氣系統52。而且,利用腔室本體51的壓力變動,從腔室本體51排出被除電的粒子,可提高腔室本體51內部的清淨度,進而能夠更有效地防止因靜電力造成粒子附著至晶圓W。
藉由將本發明利用於在基板處理部2的處理終了之晶圓W的除電,對於被收容於前開式晶圓盒40而往其次工程搬送後的晶圓W而言,不易因靜電力造成粒子附著。另一方面,藉由將搬入至基板處理部2的晶圓W予以除電,而來防止因靜電力造成粒子附著至晶圓W,可壓低在處理腔室的處理之粒子的影響。
而且,在使腔室本體51從大氣壓環境移往減壓環境的期間,若進行暫時性的昇壓,則離子化氣體會擴散於腔室本體51的內部全體,藉此可進行存在於腔室本體51的內部之粒子的除電。如此被除電的粒子是在其次的減壓時可從腔室本體51排出,因此可提高腔室本體51內部的清淨度。此效果也可在從減壓環境移往大氣壓環境時,藉由進行暫時性的減壓來取得。
以上,說明有關本發明的實施形態,但本發明並非限於上述實施形態。例如,雖是對供應淨化氣體給腔室本體51的給氣系統52賦予作為除電系統的機能,而來構成基板處理系統1,但亦可為淨化氣體的供給系與離子化氣體的供給系完全分開的構成。
又,上述說明是舉交替進行減壓及昇壓的模式,作為用以將腔室本體51從大氣壓環境移往減壓環境的壓力調整模式,但即使是一邊將離子化氣體供給至腔室本體51,一邊不進行昇壓而連續性地減壓的模式,也可將晶圓W適當地除電來防止靜電力造成粒子附著至晶圓W,且可提高生產能力。
另一方面,雖是舉一邊連續供給離子化氣體,一邊交替組合昇壓與減壓的模式,作為用以使腔室本體51從減壓環境移往大氣壓環境的壓力調整模式,但亦可在減壓時停止供給離子化氣體至腔室本體51。
又,上述說明中,基板處理部2是對晶圓W實施蝕刻處理,但基板處理部亦可為對晶圓W進行成膜處理或擴散處理者。又,上述說明中,雖舉半導體晶圓作為被處理基板(除電對象物),但被處理基板並非限定於此,亦可為LCD(Liquid Crystal Display)等的FPD(Flat Panel Display)用基板或光罩、CD基板、印刷基板等的各種基板。
又,本發明的目的也可藉由將記錄實現上述各實施形態的機能的軟體的程式碼(program code)之記憶媒體供應給電腦(例如控制部),電腦的CPU讀出儲存於記憶媒體的程式碼來實行而達成。
此情況,從記憶媒體讀出的程式碼本身會實現上述各實施形態的機能,程式碼及記憶該程式碼的記憶媒體是構成本發明。
用以供給程式碼的記憶媒體是例如RAM、NV-RAM、軟碟(註冊商標)、硬碟、光磁碟、CD-ROM、CD-R、CD-RW、DVD(DVD-ROM、DVD-RAM、DVD-RW、DVD+RW)等的光碟、磁帶、非揮發性的記憶卡、其他ROM等可記憶上述程式碼者即可。或,上述程式碼亦可從連接至網際網路、商用網路、或區域網路等的其他電腦(未圖示)或資料庫等下載,藉此供應給電腦。
並且,藉由實行電腦讀出的程式碼,不僅上述各實施形態的機能會被實現,且還包含根據該程式碼的指示,在CPU上運轉的OS(操作系統)等會進行實際的處理的一部分或全部,藉由該處理來實現上述各實施形態的機能時。
而且,還包含從記憶媒體讀出的程式碼被寫入記憶體(被***電腦的機能擴充板或被連接至電腦的機能擴充單元所具備者)之後,根據該程式碼的指示,該機能擴充板或機能擴充單元所具備的CPU等會進行實際的處理的一部分或全部,藉由該處理來實現上述各實施形態的機能時。
上述程式碼的形態,可由目標代碼(object code)、藉由翻譯機(Interpreter)來實行的程式碼(program code))、被供給至OS的文稿編排程式資料(Script data)等的形態所構成。
1‧‧‧基板處理系統
2‧‧‧基板處理部(減壓處理部)
3‧‧‧大氣系搬送部(大氣系保持部)
4‧‧‧搬送腔室
5,6‧‧‧閘閥
10‧‧‧處理腔室
11‧‧‧基座
40‧‧‧前開式晶圓盒
42‧‧‧載入器模組
50‧‧‧移載臂
51‧‧‧腔室本體
52‧‧‧給氣系統
53‧‧‧排氣裝置
56‧‧‧控制閥
57‧‧‧真空泵
58‧‧‧控制閥
59‧‧‧排氣口
60‧‧‧離子化裝置
61‧‧‧中斷過濾器
W‧‧‧(半導體)晶圓
圖1是表示具備本發明的實施形態的搬送腔室之基板處理系統的概略構成的垂直剖面圖。
圖2是模式性地顯示搬送腔室的第1壓力調整模式的圖。
圖3是模式性地顯示搬送腔室的第2壓力調整模式的圖。
圖4是模式性地顯示搬送腔室的第3壓力調整模式的圖。
圖5是模式性地顯示搬送腔室的第4壓力調整模式的 圖。
1...基板處理系統
2...基板處理部(減壓處理部)
3...大氣系搬送部(大氣系保持部)
4...搬送腔室
5,6...閘閥
10...處理腔室
11...基座
12...排氣口
13...電極板
18...高頻電源
33...淋浴頭
38...氣體供給管
39...MFC
40...前開式晶圓盒
41...載置台
42...載入器模組
43...搬送裝置
44...搬送臂
45...拾取器
46...映射臂
47...基部
48...支柱
49...昇降台
50...移載臂
51...腔室本體
52...給氣系統
53...排氣裝置
54...拾取器
55...氣體供給路線
56...控制閥
57...真空泵
58...控制閥
59...排氣口
60...離子化裝置
61...中斷過濾器

Claims (12)

  1. 一種粒子附著防止方法,係於基板處理裝置中,在減壓處理部及大氣系保持部的至少一方與搬送腔室之間搬送被處理基板時防止往被處理基板的粒子附著之方法,該基板處理裝置係具備:搬送腔室,其係為了對於在減壓環境中對被處理基板實施所定的處理的減壓處理部及在大氣壓環境中保持被處理基板的大氣系保持部的至少一方搬出入前述被處理基板,而內部可切換於減壓環境及大氣壓環境;及離子化裝置,其係設於前述搬送腔室之外,產生供給至前述搬送腔室內的離子化氣體,其特徵係具有:收容步驟,其係於前述搬送腔室內收容前述被處理基板;昇壓步驟,其係一面停止前述搬送腔室內的排氣,一面將藉由前述離子化裝置來使產生的離子化氣體供給至前述搬送腔室內,而從目標壓力昇壓至第1壓力;減壓步驟,其係一面將前述離子化氣體供給至前述搬送腔室內,一面將前述搬送腔室內排氣,從前述第1壓力減壓至比前述目標壓力更低的第2壓力;及除電步驟,其係藉由前述離子化氣體來將前述被處理基板、前述搬送腔室內構件及前述搬送腔室內的粒子的至少1個帶電的電荷予以除電。
  2. 如申請專利範圍第1項之粒子附著防止方法,其 中,在前述除電步驟中,一邊對前述搬送腔室內供給前述離子化氣體,一邊進行來自前述搬送腔室內的排氣,藉此使前述搬送腔室內從前述大氣壓環境移往前述減壓環境。
  3. 如申請專利範圍第2項之粒子附著防止方法,其中,在前述除電步驟中,藉由重複前述減壓及前述昇壓來使前述搬送腔室內從前述大氣壓環境移往前述減壓環境。
  4. 如申請專利範圍第1~3項中的任一項所記載之粒子附著防止方法,其中,在前述除電步驟中,作為前述離子化氣體,係使用為了調整前述搬送腔室內的壓力,而使被供給至前述搬送腔室內的淨化氣體離子化的氣體。
  5. 一種被處理基板的搬送方法,係於基板處理裝置中,在減壓處理部及大氣系保持部的至少一方與搬送腔室之間搬送被處理基板,該基板處理裝置係具備:搬送腔室,其係為了對於在減壓環境中對被處理基板實施所定的處理的減壓處理部及在大氣壓環境中保持被處理基板的大氣系保持部的至少一方搬出入前述被處理基板,而內部可切換於減壓環境及大氣壓環境;及離子化裝置,其係設於前述搬送腔室之外,產生供給至前述搬送腔室內的離子化氣體,其特徵係具有:在前述搬送腔室內收容或送出前述被處理基板之步驟;及防止往前述被處理基板的粒子附著之步驟, 防止前述粒子附著之步驟係具有:排氣停止步驟,其係停止前述搬送腔室內的排氣;昇壓步驟,其係將在前述搬送腔室的外部產生的離子化氣體供給至前述搬送腔室內,而從目標壓力昇壓至第1壓力;減壓步驟,其係一面將前述離子化氣體供給至前述搬送腔室內,一面將前述搬送腔室內排氣,從前述第1壓力減壓至比前述目標壓力更低的第2壓力;及除電步驟,其係藉由前述離子化氣體來將前述被處理基板、前述搬送腔室內構件及前述搬送腔室內的粒子的至少1個帶電的電荷予以除電。
  6. 如申請專利範圍第5項之被處理基板的搬送方法,其中,在前述除電步驟中,作為前述離子化氣體,係使用為了調整前述腔室內的壓力,而使被供給至前述腔室內的淨化氣體離子化的氣體。
  7. 如申請專利範圍第5或6項之被處理基板的搬送方法,其中,交替重複前述昇壓步驟及前述減壓步驟。
  8. 如申請專利範圍第5或6項之被處理基板的搬送方法,其中,在前述搬送腔室內配置有中斷過濾器,前述離子化氣體係經由中斷過濾器來往前述搬送腔室內供給。
  9. 如申請專利範圍第7項之被處理基板的搬送方法,其中,在前述搬送腔室內配置有中斷過濾器,前述離子化氣體係經由中斷過濾器來往前述搬送腔室內供給。
  10. 一種粒子附著防止方法,係於基板處理裝置中, 在減壓處理部及大氣系保持部的至少一方與搬送腔室之間搬送被處理基板時防止往前述被處理基板的粒子附著之方法,該基板處理裝置係具備:搬送腔室,其係為了對於在減壓環境中對被處理基板實施所定的處理的減壓處理部及在大氣壓環境中保持被處理基板的大氣系保持部的至少一方搬出入前述被處理基板,而內部可切換於減壓環境及大氣壓環境;及離子化裝置,其係設於前述搬送腔室之外,產生供給至前述搬送腔室內的離子化氣體,其特徵為:在前述減壓處理部及前述大氣系保持部的至少一方與前述搬送腔室之間進行前述被處理基板的搬送之搬送係具有:在前述搬送腔室內收容前述被處理基板的收容步驟;一面停止前述搬送腔室內的排氣,一面將在前述搬送腔室的外部產生的離子化氣體供給至前述搬送腔室內,而從目標壓力昇壓至第1壓力的步驟;一面將前述離子氣體供給至前述搬送腔室內,一面將前述搬送腔室內排氣,從前述第1壓力減壓至第2壓力的步驟;及藉由前述離子化氣體,將前述被處理基板,前述搬送腔室內構件及前述搬送腔室內的粒子的至少1個除電的步驟。
  11. 如申請專利範圍第10項之粒子附著防止方法,其中,交替重複前述昇壓步驟及前述減壓步驟。
  12. 一種被處理基板的搬送方法,係於基板處理裝置中,在減壓處理部及大氣系保持部的至少一方與搬送腔室之間搬送前述被處理基板,該基板處理裝置係具備:搬送腔室,其係為了對於在減壓環境中對被處理基板實施所定的處理的減壓處理部及在大氣壓環境中保持被處理基板的大氣系保持部的至少一方搬出入前述被處理基板,而內部可切換於減壓環境及大氣壓環境;及離子化裝置,其係設於前述搬送腔室之外,產生供給至前述搬送腔室內的離子化氣體,其特徵為:在前述減壓處理部及前述大氣系保持部的至少一方與前述搬送腔室之間進行前述被處理基板的搬送之搬送係具備:在前述搬送腔室內收容或送出前述被處理基板的工程;及防止往前述被處理基板的粒子附著的工程;防止前述粒子附著的工程係具有:停止前述搬送腔室內的排氣的工程;將在前述搬送腔室的外部產生的離子化氣體供給至前述搬送腔室內,從目標壓力昇壓至第1壓力的工程;一面將前述離子氣體供給至前述搬送腔室內,一面將 前述搬送腔室內排氣,從前述第1壓力減壓至第2壓力的步驟;及藉由前述離子化氣體,將前述被處理基板,前述搬送腔室內構件及前述搬送腔室內的粒子的至少1個除電的步驟。
TW099103838A 2009-02-09 2010-02-08 Transport chamber and particle attachment prevention method TWI457987B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009027369A JP5295808B2 (ja) 2009-02-09 2009-02-09 パーティクル付着防止方法及び被処理基板の搬送方法

Publications (2)

Publication Number Publication Date
TW201044447A TW201044447A (en) 2010-12-16
TWI457987B true TWI457987B (zh) 2014-10-21

Family

ID=42540240

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099103838A TWI457987B (zh) 2009-02-09 2010-02-08 Transport chamber and particle attachment prevention method

Country Status (5)

Country Link
US (2) US9385015B2 (zh)
JP (1) JP5295808B2 (zh)
KR (1) KR101327671B1 (zh)
CN (1) CN101800187B (zh)
TW (1) TWI457987B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6120621B2 (ja) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ 真空処理装置及びその運転方法
JP6257075B2 (ja) * 2013-12-19 2018-01-10 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
CN105849859B (zh) * 2013-12-26 2019-11-01 柯尼卡美能达株式会社 电子器件的印刷制造***
CN105789088B (zh) * 2014-12-26 2018-12-07 中微半导体设备(上海)有限公司 一种提高晶片处理良率的蚀刻装置及其蚀刻方法
TWI739745B (zh) * 2015-06-17 2021-09-21 美商恩特葛瑞斯股份有限公司 用於一設備前端模組之流動修改配件
KR20170003026A (ko) * 2015-06-30 2017-01-09 세메스 주식회사 기판 처리 장치 및 방법
CN104947036A (zh) * 2015-07-10 2015-09-30 武汉华星光电技术有限公司 一种降低成膜腔室中微粒杂质的方法及装置
US10840070B2 (en) 2015-08-20 2020-11-17 Hitachi High-Tech Corporation Ion beam device and cleaning method for gas field ion source
JP6867818B2 (ja) 2017-01-31 2021-05-12 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP7115879B2 (ja) 2018-03-23 2022-08-09 株式会社日立ハイテク 真空処理装置の運転方法
JP7208733B2 (ja) * 2018-07-31 2023-01-19 日本電産サンキョー株式会社 搬送システム
KR102202467B1 (ko) * 2018-09-14 2021-01-13 세메스 주식회사 기판 처리 장치 및 방법
US11380564B2 (en) * 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
KR102172073B1 (ko) * 2018-09-28 2020-10-30 세메스 주식회사 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치
KR102205134B1 (ko) * 2019-07-04 2021-01-19 세메스 주식회사 기판처리챔버 내 이오나이저의 장착구조
CN110400749A (zh) * 2019-07-17 2019-11-01 上海华力微电子有限公司 一种改善晶圆表面微颗粒残留的方法
CN112750715B (zh) * 2019-10-29 2022-04-08 长鑫存储技术有限公司 半导体工艺方法
KR102636466B1 (ko) * 2019-11-26 2024-02-15 삼성전자주식회사 반도체 기판 처리 시스템
US11647577B2 (en) 2020-02-13 2023-05-09 Applied Materials, Inc. Ionized gas vent to reduce on wafer static charge and particles
KR102515863B1 (ko) 2020-03-24 2023-03-31 주식회사 히타치하이테크 진공 처리 장치
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2023175689A1 (ja) * 2022-03-14 2023-09-21 株式会社日立ハイテク 真空処理装置及び異物排出方法
CN115910869B (zh) * 2022-12-30 2024-02-02 江苏微导纳米科技股份有限公司 装载腔体及其清洗方法、及半导体设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423176B1 (en) * 1998-04-13 2002-07-23 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2002353086A (ja) * 2001-05-30 2002-12-06 Sony Corp 半導体製造装置及び半導体製造方法
CN1736830A (zh) * 2004-04-28 2006-02-22 东京毅力科创株式会社 基板输送装置及其清洗方法和基板处理***及其清洗方法
TW200624360A (en) * 2004-11-01 2006-07-16 Tokyo Electron Ltd Substrate treatment method, substrate treatment system, and substrate treatment program
TW200727351A (en) * 2005-08-16 2007-07-16 Taiwan Semiconductor Mfg Co Ltd A system and method for removing particles in semiconductor manufacturing
TW200903689A (en) * 2007-07-12 2009-01-16 Kondoh Ind Ltd Device for charging dry air or nitrogen gas into semiconductor wafer storage container and wafer static charge removing apparatus utilizing the device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW424001B (en) * 1996-02-02 2001-03-01 Applied Materials Inc An apparatus for the electrostatic collection of contaminant particles from a substrate in semiconductor substrate processing equipment and a method for removing contaminant particles from the surface of a substrate
JP2000325774A (ja) * 1999-05-19 2000-11-28 Sony Corp 真空ベント方法、及び真空ベント装置
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
KR100563102B1 (ko) * 2002-09-12 2006-03-27 에이에스엠엘 네델란즈 비.브이. 표면들로부터 입자들을 제거함으로써 세정하는 방법,세정장치 및 리소그래피투영장치
KR100471970B1 (ko) * 2002-11-20 2005-03-11 삼성에스디아이 주식회사 리튬 이차 전지용 전해질 및 이를 포함하는 리튬 이차 전지
US8608422B2 (en) * 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
TWI232492B (en) * 2004-06-04 2005-05-11 Au Optronics Corp A process chamber equipped with a cleaning function
US20060090703A1 (en) * 2004-11-01 2006-05-04 Tokyo Electron Limited Substrate processing method, system and program
US20070157402A1 (en) * 2006-01-12 2007-07-12 Nrd Llc Ionized air blower
JP5037058B2 (ja) * 2006-08-01 2012-09-26 東京エレクトロン株式会社 中間搬送室、基板処理システム、及び当該中間搬送室の排気方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423176B1 (en) * 1998-04-13 2002-07-23 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2002353086A (ja) * 2001-05-30 2002-12-06 Sony Corp 半導体製造装置及び半導体製造方法
CN1736830A (zh) * 2004-04-28 2006-02-22 东京毅力科创株式会社 基板输送装置及其清洗方法和基板处理***及其清洗方法
TW200624360A (en) * 2004-11-01 2006-07-16 Tokyo Electron Ltd Substrate treatment method, substrate treatment system, and substrate treatment program
TW200727351A (en) * 2005-08-16 2007-07-16 Taiwan Semiconductor Mfg Co Ltd A system and method for removing particles in semiconductor manufacturing
TW200903689A (en) * 2007-07-12 2009-01-16 Kondoh Ind Ltd Device for charging dry air or nitrogen gas into semiconductor wafer storage container and wafer static charge removing apparatus utilizing the device

Also Published As

Publication number Publication date
TW201044447A (en) 2010-12-16
JP5295808B2 (ja) 2013-09-18
JP2010183005A (ja) 2010-08-19
KR20100091128A (ko) 2010-08-18
US9385015B2 (en) 2016-07-05
CN101800187A (zh) 2010-08-11
KR101327671B1 (ko) 2013-11-08
US20100202093A1 (en) 2010-08-12
US20160315001A1 (en) 2016-10-27
US10115614B2 (en) 2018-10-30
CN101800187B (zh) 2013-02-06

Similar Documents

Publication Publication Date Title
TWI457987B (zh) Transport chamber and particle attachment prevention method
KR100735935B1 (ko) 기판 처리 방법, 기판 처리 시스템 및 기판 처리 프로그램
US8475623B2 (en) Substrate processing method, system and program
US20100214712A1 (en) Method for charge-neutralizing target substrate and substrate processing apparatus
KR101720670B1 (ko) 기판 처리 장치 및 그 클리닝 방법 및 프로그램을 기록한 기록매체
US7782591B2 (en) Methods of and apparatus for reducing amounts of particles on a wafer during wafer de-chucking
JP2009152345A (ja) プラズマ処理装置およびプラズマ処理方法
US8409328B2 (en) Substrate transfer device and substrate transfer method
JP2010040822A (ja) 静電吸着装置の除電処理方法、基板処理装置、及び記憶媒体
JP2007242869A (ja) 基板処理システム
KR20190039874A (ko) 파티클 발생 억제 방법 및 진공 장치
US8398745B2 (en) Substrate processing apparatus and exhaust method therefor
JP4060941B2 (ja) プラズマ処理方法
JP2015095580A (ja) 基板処理装置及び基板離脱方法
JP4372443B2 (ja) 処理装置および処理方法
CN107924834B (zh) 基板处理方法及基板处理装置
JP2002353086A (ja) 半導体製造装置及び半導体製造方法
CN107851571B (zh) 基板处理方法及基板处理装置
JP5497091B2 (ja) 基板処理方法
JP2005317783A (ja) 基板搬送装置及びその洗浄方法、並びに基板処理システム及びその洗浄方法
JP5059320B2 (ja) 基板処理装置のクリーニング方法,基板処理装置,プログラム,プログラムを記録した記録媒体
JP2009259918A (ja) 雰囲気清浄化装置
JP4877980B2 (ja) 縦型熱処理装置およびパーティクル付着防止方法
JP7450512B2 (ja) 基板処理方法及び基板処理装置
JP2022012610A (ja) 基板処理方法、記憶媒体及び基板処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent