KR101860508B1 - 2 단자 저항성 스위칭 디바이스 구조 및 제조 방법 - Google Patents

2 단자 저항성 스위칭 디바이스 구조 및 제조 방법 Download PDF

Info

Publication number
KR101860508B1
KR101860508B1 KR1020110069311A KR20110069311A KR101860508B1 KR 101860508 B1 KR101860508 B1 KR 101860508B1 KR 1020110069311 A KR1020110069311 A KR 1020110069311A KR 20110069311 A KR20110069311 A KR 20110069311A KR 101860508 B1 KR101860508 B1 KR 101860508B1
Authority
KR
South Korea
Prior art keywords
switching
switching element
angstroms
switching device
lower wiring
Prior art date
Application number
KR1020110069311A
Other languages
English (en)
Other versions
KR20120006942A (ko
Inventor
조성현
스캇 브래드 허너
Original Assignee
크로스바, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 크로스바, 인크. filed Critical 크로스바, 인크.
Publication of KR20120006942A publication Critical patent/KR20120006942A/ko
Application granted granted Critical
Publication of KR101860508B1 publication Critical patent/KR101860508B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8416Electrodes adapted for supplying ionic species
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

방법은 2 단자 디바이스를 형성한다. 상기 방법은 가판의 표면 영역을 덮는 제 1 유전체 재료를 형성하는 단계를 포함한다. 하부 배선 재료는 상기 제 1 유전체를 덮어서 형성되고 스위칭 재료는 상기 하부 배선 재료를 덮어서 증착된다. 상기 하부 배선 재료 및 상기 스위칭 재료는 상부 표면 영역 및 측면 영역을 갖추는 제 1 구조를 형성하기 위하여 제 1 패터닝 및 에칭 공정을 받게 한다. 상기 제 1 구조는 적어도 하부 배선 구조 및 제 1 측면 영역을 갖추는 스위칭 요소, 및 상기 스위칭 요소의 노출된 영역을 포함하는 상부 표면 영역을 포함한다. 제 2 유전체 재료는 상기 스위칭 요소의 노출된 영역을 포함하는, 적어도 제 1 구조를 덮어서 형성된다. 상기 방법은 상기 스위칭 요소의 상기 상부 표면 영역의 일부를 노출시키기 위해 상기 제 2 유전체 층의 일부에 개방 영역을 형성한다. 전도성 재료를 포함하는 상부 배선 재료는 전도성 재료가 스위칭 요소에 직접 접촉되도록 적어도 개방 영역을 덮어서 형성된다. 제 2 에칭 공정은 적어도 상부 배선 구조를 형성하기 위해서 실행된다. 특정 실시예에서, 상기 스위칭 요소의 제 1 측면 영역을 포함하는 상기 제 1 구조의 상기 측면 영역은 상기 제 2 에칭 공정으로 인한 오염 전도성 재료가 없다.

Description

2 단자 저항성 스위칭 디바이스 구조 및 제조 방법 {TWO TERMINAL RESISTIVE SWITCHING DEVICE STRUCTURE AND METHOD OF FABRICATING}
본 발명은 일반적으로 2 단자 디바이스들에 관한 것이다. 특히, 본 발명의 실시예들은 2 단자 스위칭 디바이스를 위한 방법 및 구조를 제공한다. 상기 2 단자 스위칭 디바이스는 랜덤 액세스 및 고속 스위칭 특성들을 갖는 비휘발성 저항성 스위칭 메모리로서 사용할 수 있다.
반도체 디바이스들의 성공은 주로 집약적 트랜지스터 축소 가공 처리에 의해 주로 추진되었다. 그러나, 전계 효과 트랜지스터(field effect transistor : FET)들은 100 nm 미만의 크기들에 가까이 감에 따라, 단 채널 효과(short channel effect)와 같은 문제점들이 적절한 디바이스 동작을 방해하기 시작하고 있다. 더욱이, 그와 같은 100nm 미만의 디바이스 크기로 인하여 임계값 미만의 경사 비-스케일링(slope non-scaling)이 생길 수 있으며 또한 전력 소실도 증가한다. 플래시로서 공지된 메모리들과 같은 트랜지스터 기반의 메모리들은 10년 내에 그 크기의 단계적 축소가 종점에 도달할 것이라고 일반적으로 생각한다. 플래시 메모리는 일종의 비휘발성 메모리 디바이스이다.
그 중에서도 특히, 강유전체 RAM(ferroelectric RAM: Fe RAM)과 같은 다른 비휘발성 랜덤 액세스 메모리(random access memory: RAM), 자기-저항 RAM(magneto-resistive RAM: MRAM), 유기 RAM(organic RAM: ORAM), 및 상 변화 RAM(phase change RAM: PCRAM)이 차세대 메모리 디바이스들로서 탐구되어 왔다. 이 디바이스들은 메모리 셀을 형성하기 위하여 흔히 실리콘-계 디바이스들과 결합하는 새로운 재료들 및 디바이스 구조들이 흔히 필요한 데, 이 디바이스들은 하나 이상의 중요한 속성들이 부족하다. 예를 들어, Fe-RAM 및 MRAM 디바이스들은 고속 스위칭 특성들 및 양호한 프로그래밍 내구성(programming endurance)를 가지지만, 이들의 제조는 CMOS 호환되지 않고 크기가 통상적으로 크다. PCRAM 디바이스를 위한 스위칭은 본질적으로 높은 전력 소비를 갖는 줄 가열(Joules heating)을 사용한다. 유기 RAM 또는 ORAM은 부피가 큰 실리콘-계 제조와 호환되지 않으므로 통상적으로 디바이스 신뢰도가 양호하지 않다.
상기와 같은 배경에서, 개량된 반도체 메모리 디바이스 및 기술들이, 그러므로, 바람직하다.
본 발명은 일반적으로 2 단자 디바이스들에 관한 것이다. 특히, 본 발명의 실시예들은 2 단자 스위칭 디바이스를 위한 방법 및 구조를 제공한다. 2 단자 스위칭 디바이스는 비-휘발성 저항성 스위칭 메모리 디바이스들에 응용되어 왔다. 그러나 본 발명은 더 광범위한 응용성을 가질 수 있다는 것이 인정되어야 한다.
특정 실시예에서, 2 단자 스위칭 디바이스를 형성하는 방법이 제공된다. 상기 방법은 기판을 제공하는 단계 및 상기 기판의 표면 영역을 덮는 제 1 유전체 재료를 형성하는 단계를 포함한다. 하부 배선 재료는 상기 유전체 재료를 덮어서 증착된다. 상기 방법은 상기 하부 배선 재료를 덮는 접촉 재료를 증착하는 단계 및 접촉 재료를 포함하여 상기 하부 배선 재료를 덮는 스위칭 재료를 증착하는 단계를 포함한다. 어떤 실시예에서, 접촉 재료는 선택사양이다. 상기 방법은 스위칭 재료를 덮는 마스킹 층(masking layer)을 형성한다. 특정 실시예에서, 상기 방법은 제 1 구조를 형성하기 위하여 상기 하부 배선 재료, 상기 접촉 재료, 및 상기 스위칭 재료를 상기 마스킹 층을 사용하는 제 1 에칭 공정을 받게 한다. 상기 제 1 구조는 하부 배선 구조 및 스위칭 요소를 포함한다. 상기 제 1 구조는 상부 표면 영역 및 측면 영역을 갖춘다. 특정 실시예에서, 상기 상부 표면 영역은 스위칭 요소의 상부 영역을 포함한다. 상기 방법은 상기 스위칭 요소의 상기 노출된 상부 영역 및 제 1 유전체 재료의 노출된 부분을 포함하는 적어도 상기 제 1 구조를 덮는 제 2 유전체 재료를 증착하는 단계를 포함한다. 상기 방법은 상기 제 1 구조를 덮는 상기 제 2 유전체 재료의 일부를 유지하면서 적어도 제 1 구조를 덮는 상기 제 2 유전체 표면을 평탄화하는 단계를 포함한다. 개방 영역은 상기 제 1 구조의 상기 상부 표면 영역의 일부를 노출시키기 위하여 상기 제 2 유전체 층의 일부에 형성된다. 그런 다음, 상기 방법은 개방 영역을 덮는 전도성 재료를 증착한다. 전도성 재료는 특정 실시예에서 스위칭 요소와 직접 접촉된다. 상부 배선 재료는 적어도 전도성 재료를 덮어서 형성되고, 적어도 상부 배선 구조를 형성하기 위하여 제 2 에칭 공정이 사용된다. 특정 실시예에서, 상기 스위칭 요소의 제 1 측면 영역을 포함하는 상기 제 1 구조의 측면 영역은 제 2 에칭 공정으로 인한 오염 전도성 재료가 없다.
대안의 실시예에서, 2 단자 스위칭 디바이스를 형성하는 방법이 제공된다. 상기 방법은 기판을 제공하는 단계 및 상기 기판의 표면 영역을 덮는 제 1 유전체 재료를 형성하는 단계를 포함한다. 하부 배선 재료는 상기 유전체 재료를 덮어서 증착된다. 상기 방법은 상기 하부 배선 재료를 덮는 접촉 재료를 증착하는 단계 및 접촉 재료를 포함하여 상기 하부 배선 재료를 덮는 스위칭 재료를 증착하는 단계를 포함한다. 특정 실시예에서, 접촉 재료는 선택사양이다. 상기 방법은 스위칭 재료를 덮는 마스킹 층(masking layer)을 형성한다. 특정 실시예에서, 상기 방법은 제 1 구조를 형성하기 위하여 상기 하부 배선 재료, 상기 접촉 재료, 및 상기 스위칭 재료를 상기 마스킹 층을 사용하는 제 1 에칭 공정을 받게 한다. 상기 제 1 구조는 하부 배선 구조 및 스위칭 요소를 포함한다. 상기 제 1 구조는 상부 표면 영역 및 측면 영역을 갖춘다. 특정 실시예에서, 상기 상부 표면 영역은 스위칭 요소의 상부 영역을 포함한다. 상기 방법은 상기 스위칭 요소의 상기 노출된 상부 영역 및 제 1 유전체 재료의 노출된 부분을 포함하는 적어도 상기 제 1 구조를 덮는 제 2 유전체 재료를 증착하는 단계를 포함한다. 상기 방법은 상기 제 1 구조를 덮는 상기 제 2 유전체 재료의 일부를 유지하면서 적어도 제 1 구조를 덮는 상기 제 2 유전체 표면을 평탄화하는 단계를 포함한다. 개방 영역은 상기 제 1 구조의 상기 상부 표면 영역의 일부를 노출시키기 위하여 상기 제 2 유전체 층의 일부에 형성된다. 상기 방법은 특정 실시예에서 스위칭 요소와 직접 접촉하는 개방 영역을 포함하는 제 2 유전체 재료를 덮는 전도성 재료를 증착한다. 상기 방법은 상기 전도성 재료를 덮는 제 1 접착 층을 증착하는 단계 및 상기 제 1 접착 층 및 상기 전도성 재료를 제 2 패턴 및 에칭 공정을 받게 하는 단계를 포함한다. 제 2 패턴 및 에칭 공정에 의하여 적어도 상기 개방 영역에서 적어도 상기 전도성 재료 및 상기 접착층을 유지하면서 상기 제 2 유전체 재료의 표면 영역을 노출시키기 위하여 상기 전도성 재료의 일부 및 상기 제 1 접착 층의 일부를 제거한다. 상부 배선 재료는 상기 제 1 접착 층 및 제 2 유전체 층의 상기 노출된 부분을 덮어서 형성된다. 특정 실시예에서, 상기 상부 배선 재료는 상부 배선 구조를 형성하기 위하여 제 2 패터닝 및 에칭 공정을 받게 된다. 특정 실시예에서, 상기 스위칭 요소의 상기 제 1 측면 영역을 포함하는 상기 제 1 구조의 상기 측면 영역은 적어도 상기 제 2 패턴 및 에칭 공정으로 인한 오염 전도성 재료가 없어 상기 상부 배선 구조와 상기 하부 배선 구조 사이에 어떠한 단락도 발생하지 않는다.
특정 실시예에 있어서, 2 단자 스위칭 디바이스를 형성하는 방법에 있어서: 기판을 제공하는 단계; 상기 기판의 표면 영역을 덮는 제 1 유전체 재료를 형성하는 단계; 상기 유전체 재료를 덮는 하부 배선 재료를 증착하는 단계; 상기 하부 배선 재료를 덮는 접촉 재료를 증착하는 단계; 상기 접촉 재료를 포함하여 상기 하부 배선 재료를 덮는 스위칭 재료를 증착하는 단계; 상기 스위칭 재료를 덮는 마스킹 층(masking layer)을 형성하는 단계; 상기 하부 배선 재료, 상기 접촉 재료 및 상기 스위칭 재료를 상기 마스킹 층을 이용한 제 1 에칭 공정으로 상부 표면 영역 및 측면 영역을 갖는 제 1 구조로 형성하는 단계로서, 상기 제 1 구조는 적어도 하부 배선 구조와 스위칭 요소를 구비하고, 상기 스위칭 요소는 제 1 측면 영역을 가지며, 상기 제 1 구조의 상기 상부 표면 영역은 상기 스위칭 요소의 노출된 영역을 구비하는 단계; 상기 스위칭 요소의 상기 노출된 영역 및 상기 제 1 유전체 재료의 노출된 부분을 포함하는 적어도 상기 제 1 구조를 덮는 제 2 유전체 재료를 증착하는 단계로서, 상기 제 2 유전체 재료는 상기 스위칭 요소의 상기 노출된 영역과 직접 접촉하는 단계; 상기 스위칭 요소의 노출된 영역의 적어도 일 부분을 노출시키는 적어도 상기 제 1 구조를 덮는 평탄화된 제 2 유전체 재료 표면을 형성하는 단계; 상기 제 2 유전체 재료 및 상기 스위칭 요소의 상기 노출된 영역을 덮는 전도성 재료를 증착하는 단계로서, 상기 전도성 재료는 상기 스위칭 요소와 직접 접촉하는 단계; 적어도 상기 전도성 재료를 덮는 상부 배선 재료를 증착하는 단계; 상기 상부 배선 재료를 제 2 에칭 공정으로 상부 배선 구조를 형성하는 단계를 포함하며, 상기 스위칭 요소의 상기 제 1 측면 영역을 포함하는 상기 제 1 구조의 상기 측면 영역은 적어도 제 2 에칭 공정으로 인한 오염 전도성 재료가 없는 2 단자 스위칭 디바이스를 형성하는 방법이 제공된다.
특정 실시예에 있어서, 상기 상부 배선 구조 및 상기 하부 배선 구조는 공간적으로 크로스바 구성으로 배치된다.
특정 실시예에 있어서, 상기 상부 배선 재료는 제 1 접착 재료 또는 제 1 베리어 재료를 추가로 포함하고, 상기 제 1 접착 재료 또는 상기 제 1 베리어 재료는 티타늄, 질화 티타늄, 탄탈, 질화 탄탈, 또는 질화 텅스텐을 포함한다.
특정 실시예에 있어서, 상기 하부 배선 재료는 제 2 접착 재료 또는 제 2 베리어 재료를 더 포함하고, 상기 제 2 접착 재료 또는 상기 제 2 베리어 재료는 티타늄, 질화 티타늄, 탄탈, 질화 탄탈, 또는 질화 텅스텐을 포함한다.
특정 실시예에 있어서, 상기 기판은 그 위에 제조된 하나 이상의 CMOS 디바이스들을 포함하고, 상기 2 단자 스위칭 디바이스는 상기 하나 이상의 CMOS 디바이스들에 동작 가능하게 연결된다.
특정 실시예에 있어서, 상기 접촉 재료는 폴리실리콘 재료를 포함한다.
특정 실시예에 있어서, 상기 폴리실리콘 재료는 10E17 내지 10E21cm-3의 범위의 농도를 갖는 보론을 사용하여 p+ 도핑된다.
특정 실시예에 있어서, 상기 폴리실리콘 재료는 50 옹스트롬 내지 2000 옹스트롬의 범위의 두께를 갖는다.
특정 실시예에 있어서, 상기 폴리실리콘 재료는 100 옹스트롬 내지 500 옹스트롬의 범위의 두께를 갖는다.
특정 실시예에 있어서, 상기 스위칭 재료는 비정질 실리콘 재료이다.
특정 실시예에 있어서, 상기 전도성 재료는 금, 백금, 은, 팔라듐, 니켈, 구리 및 이들의 화합물로 구성된 그룹으로부터 선택된다.
특정 실시예에 있어서, 상기 전도성 재료는 50 옹스트롬 내지 2000 옹스트롬의 범위의 두께를 갖는 은을 포함한다.
특정 실시예에 있어서, 상기 전도성 재료는 100 옹스트롬 내지 500 옹스트롬의 범위의 두께를 갖는 은을 포함한다.
본 발명을 통해 많은 이점들이 달성될 수 있다. 단지 예로서, 본 발명은 금속을 상부 전극으로 사용하는 스위칭 디바이스를 제조하는 방법 및 구조를 제공한다. 상부 전극의 에칭 동안 스위칭 재료의 측벽을 노출시키지 않음으로써, 본 방법은 상부 전극과 하부 전극 사이의 단락과 같은 결함들이 없음으로써 디바이스 성능을 개선하고 디바이스 수율을 개선하는 디바이스 구조들을 제공한다.
도 1은 크로스바 구성으로 배열되는 2 단자 스위칭 디바이스를 도시한 개략도.
도 2는 스위칭 디바이스의 전극들 사이의 단락들을 도시한 개략도.
도 3 내지 도 16은 본 발명의 실시예에 따라 2 단자 스위칭 디바이스를 형성하는 방법을 도시한 개략도들.
도 17 내지 도 19는 본 발명의 실시예에 따라 2 단자 스위칭 디바이스를 형성하는 대안의 방법을 도시한 개략도들.
도 20 내지 도 21은 본 발명의 실시예에 따라 2 단자 스위칭 디바이스를 형성하는 또 다른 대안의 방법을 도시한 개략도들.
도 22 내지 도 23은 본 발명의 실시예에 따라 2 단자 스위칭 디바이스를 형성하는 방법을 도시한 개략도들.
본 발명은 일반적으로 2 단자 디바이스들에 관한 것이다. 특히, 본 발명의 실시예들은 2 단자 스위칭 디바이스를 위한 방법 및 구조를 제공한다. 상기 2 단자 스위칭 디바이스는 랜덤 액세스, 고속 스위칭을 제공하는 비휘발성 저항성 스위칭 메모리 디바이스들에서 사용할 수 있고, 매우 작은 크기들로 축소시킬 수 있다. 그러나, 본 발명은 훨씬 더 광범위한 응용성을 가질 수 있다는 것이 인정되어야 한다.
저항성 스위칭을 사용하는 스위칭 디바이스들에 있어서, 선택된 재료들은 전극들 또는 배선 구조들 각각에 사용된다. 예를 들어, 백금과 같은 귀금속은 디바이스에 옴 접촉을 제공하고 스위칭 재료와의 화학적 반응을 방지하기 위하여 산화 니켈-계 저항성 스위칭 디바이스에 사용된다. 특정한 고체 전해질-계 (예를 들어, GeSe) 스위칭 디바이스들 또는 비정질 실리콘-계 스위칭 디바이스들은 향상된 스위칭 성능을 위해 은을 전극 재료들 또는 접촉 재료 중 적어도 하나로 사용한다. 이 금속 재료들은 현재의 CMOS 제조에는 통상적으로 사용되지 않는다. 특히, 그 금속들의 비활성 특성으로 인해, 이 재료들의 화학적 에칭은 특히 까다롭거나 불가능하여, 나노-급 디바이스 제조를 어렵게 한다.
도 1은 크로스바 구성인 저항성 스위칭 디바이스의 개략도이지만, 다른 공간 배열들도 또한 가능하다. 저항성 스위칭 디바이스(100)는 상부 배선 구조(102), 하부 배선 구조(104), 및 상기 상부 배선 구조 및 상기 하부 배선 구조 사이에 샌드위치 모양으로 삽입된 교차 영역에 구성된 스위칭 층(106)을 포함한다. 예를 들어, 상기 상부 배선 구조는 적어도 은, 금, 백금, 팔라듐 또는 다른 금속 재료들을 포함하고, 스위칭 층은 실시예에 따라 산화 금속 재료와 같은 칼코게나이드 재료 또는 비정질 실리콘 재료로 구성될 수 있다.
도 2는 부분적으로 형성된 디바이스(202)를 도시한다. 부분적으로 형성된 디바이스는 하부 배선 구조(208), 스위칭 요소(210) 및 상부 금속 배선 구조(204)를 포함한다. 부분적으로 형성된 디바이스는 상기 상부 배선 재료(204) 및 스위칭 층(210)의 동시 에칭에 의해 형성된다. 상기 상부 배선 구조가 특정한 스위칭 디바이스를 위한 비활성 금속으로 제조되므로, 스퍼터 에칭과 같은 물리적 에칭이 실행된다. 이 에칭 단계는 도시된 바와 같이 상기 스위칭 층의 측벽에 증착된 오염 전도성 재료들(206)의 형성으로 귀착될 수 있다. 오염 전도성 재료는 상기 상부 배선 구조 또는 상기 하부 배선 구조 또는 이 둘 모두에서의 에칭된 재료에서 비롯될 수 있고 상기 상부 배선 구조(204)와 하부 배선 구조(208) 사이에서 전기적 단락을 일으켜, 디바이스 성능 및 수율을 저하시킨다.
따라서, 본 발명은 스위칭 디바이스, 특히 귀금속을 배선 구조들 중 하나로 또는 배선 구조들 둘 모두로 사용하는 저항성 스위칭 디바이스를 형성하는 방법 및 구조를 제공한다. 그러나, 본 발명에 따른 실시예들이 다른 디바이스들에 응용할 수 있다는 것이 인정되어야 한다.
도 3 내지 도 16은 본 발명의 실시예에 따른 스위칭 디바이스를 제조하는 방법을 도시한다. 상기 방법은 표면 영역(304)을 포함하는 기판(302)을 제공하는 단계를 포함한다. 상기 기판은 실리콘 웨이퍼 등과 같은 반도체 기판이 될 수 있다. 특정 실시예들에서, 상기 기판은 그 위에 형성되는 하나 이상의 디바이스들을 포함할 수 있다. 하나 이상의 디바이스들은 실시예에 따라, CMOS 디바이스들 등등을 포함할 수 있다. 도 4에서 도시된 바와 같이, 상기 방법은 상기 기판의 표면 영역을 덮는 제 1 유전체 재료(402)를 형성하는 단계를 포함한다. 상기 제 1 유전체 재료는 산화 실리콘 또는 질화 실리콘 또는 상이한 유전체 막들의 조합물들을 포함하는 적절한 유전체 막 적층이 될 수 있다. 상기 제 1 유전체 재료는 플라즈마 화학 기상 증착(plasma enhanced chemical vapor deposition), 스핀 온 코팅(spin on coating), 이 기술들의 조합 등등을 포함하는 화학 증착과 같은 기술들을 사용하여 형성될 수 있다.
도 5를 참조하면, 상기 방법은 제 1 유전체 재료를 덮는 제 1 접착 층(502)을 증착한다. 상기 제 1 접착 층은 질화 텅스텐, 티타늄, 질화 티타늄, 탄탈 또는 질화 탄탈, 또는 이들 막들의 조합물들 등등이 될 수 있다. 상기 제 1 접착 층은 화학 기상 증착 또는 원자 층 증착 등과 같은 화학 증착을 사용하여 형성될 수 있다. 다른 응용들에서, 스퍼터링(sputtering)과 같은 물리적 기상 증착이 상기 응용에 따라 사용할 수 있다. 도 6에 도시된 바와 같이, 하부 배선 재료(602)는 상기 제 1 접착 층을 덮어서 형성된다. 상기 하부 배선 구조 재료는 실시예에 따라 구리, 텅스텐, 알루미늄 또는 다른 적절한 금속 재료들이 될 수 있다. 상기 하부 배선 재료는 물리적 기상 증착 공정, 예를 들어 스퍼터링 또는 증발과 같은 기술들을 사용하여 증착할 수 있다. 상기 하부 배선 재료는 또한 화학 기상 증착, 또는 액체 매질을 사용한 전기 도금 또는 무전극 증착과 같은 전기화학 방법들, 또는 조합을 포함하는 다른 적절한 증착 기술들을 사용하여 증착할 수도 있다. 상기 제 1 접착 층은 특정 실시예에서 상기 제 1 배선 재료 및 상기 제 1 유전체 재료를 위한 글루 층을 제공한다.
도 7에 도시된 바와 같이, 스위칭 디바이스를 형성하는 방법은 상기 하부 배선 구조 재료를 덮는 제 2 접착 층(702)을 증착하는 단계를 포함한다. 상기 제 2 접착 층은 또한, 하부 배선 구조 재료와, 예를 들어, 나중에 형성된 스위칭 층 재료 또는 접촉 재료와의 화학 반응을 방지하기 위한 베리어 층 또는 차단 층이 될 수도 있다. 상기 제 2 접착 층은 실시예에 따라, 티타늄, 질화 티타늄, 탄탈, 질화 탄탈, 텅스텐, 질화 텅스텐 등등이 될 수 있다.
도 8을 참조하면, 상기 방법은 특정 실시예에서 상기 제 2 접착 층을 덮는 접촉 재료(802)를 형성하는 단계를 포함한다. 상기 접촉 재료는 특정 실시예에서 이하 폴리실리콘으로 지칭된, 도핑된 다결정 실리콘과 같은 도핑된 반도체 재료가 될 수 있다. 상기 폴리실리콘 재료는 특정 실시예에서 상기 하부 배선 재료와 비정질 실리콘 스위칭 재료 사이의 접촉 층으로서 사용된다. 바람직한 실시예에서, 도핑된 폴리실리콘 재료는 보론 등과 같은 불순물을 사용하여 p+ 도핑된다. 특정 실시예에서, 보론은 약 10E18 내지 10E21cm-3의 범위의 농도를 갖는다. 특정 실시예들에서, 폴리실리콘 재료는 스위칭 디바이스의 성능을 향상시키기 위해 추가로 가공처리할 수 있다. 예를 들어, 결함들, 또는 나노 금속 재료가 상기 스위칭 재료의 성능을 향상시키기 위하여 도핑된 폴리실리콘 재료의 표면 영역에 형성될 수 있다. 특정 실시예에서, 상기 폴리실리콘 재료는 상기 비정질 실리콘 재료의 스위칭 특성들을 제어하고 개선하는 것을 가능하게 한다. 산화 금속 등등과 같은 다른 스위칭 재료들에 있어서, 다른 접촉 재료가 사용될 수 있거나, 또는 상기 접촉 층이 필요하지 않을 수 있다. 물론, 당업자는 다른 변형들, 수정들, 및 대안들을 인정할 것이다.
특정 실시예에서, 상기 방법은 도 9에 도시된 바와 같이 상기 접촉 재료를 덮는 스위칭 재료(902)를 형성한다. 상기 스위칭 재료는 도핑되지 않은 비정질 실리콘 재료가 될 수 있다. 상기 도핑되지 않은 비정질 실리콘 재료는 실시예에 따라 화학 기상 증착법 또는 물리적 기상 증착법을 사용하여 증착할 수 있다. 화학 증착법은 실레인, 디실레인, 적절한 클로로실레인, 또는 가스를 전구체로서 포함하는 적절한 실리콘을 사용하는 화학 기상 증착 공정을 포함할 수 있다. 특정 실시예에서, 도핑되지 않은 비정질 실리콘 재료는 플라즈마 화학 기상 증착(PECVD) 강공 처리 또는 저압 화학 기상 증착(low pressure chemical vapor deposition: LPCVD) 가공 처리를 사용하여 증착할 수 있다. 상기 비정질 실리콘 재료에 대한 증착 온도는 약 섭씨 200도 내지 약 섭씨 450도가 될 수 있고 바람직하게는 약 섭씨 350도 내지 약 섭씨 400도가 될 수 있다. 실시예에 따라, 상기 비정질 실리콘 재료는 약 50 옹스트롬 내지 약 1000 옹스트롬의 범위의 두께로 제공될 수 있다. 바람직한 실시예에서, 상기 비정질 실리콘 재료는 약 100 옹스트롬 내지 약 500 옹스트롬의 범위의 두께로 제공된다.
도 10을 참조하면, 상기 방법은 상기 스위칭 재료를 덮는 마스킹 층(1002)을 형성하는 단계를 포함한다. 상기 마스킹 층은 실시예에 따라, 적절한 유기 포토레지스트 재료 또는, 무기 하드 마스크, 또는 이 둘의 조합이 될 수 있다. 하드 마스크는 응용에 따라 산화 규소 또는 질화 규소와 같은 유전체 재료, 또는 다른 재료로부터 형성될 수 있다. 하드 마스크는 또한 실시예에 따라 금속 하드 마스크일 수 있다.
특정 실시예에서, 상기 방법은 도 11에 도시된 바와 같은 제 1 구조(1102)를 형성하기 위하여 상기 스위칭 재료, 상기 접촉 재료 및 상기 하부 배선 구조 재료를 상기 마스킹 재료를 마스크로 사용하는 제 1 에칭 공정을 받게 한다. 상기 제 1 에칭 공정에 의하여 상기 제 1 유전체 재료의 상부 표면 영역(1108)을 노출시키는 상기 제 1 유전체 재료의 일부를 선택적으로 제거한다. 상기 제 1 구조는 특정 실시예에서 적어도 하부 배선 구조(1104) 및 스위칭 요소(1106)를 포함한다. 상기 스위칭 요소는 적어도 제 1 측면 영역(1110)을 포함한다. 사용된 하드 마스크에 따라, 에칭 후의 하드 마스크의 잔여 부분이 제거될 수 있다. 대안적으로, 산화 실리콘을 사용하는 하드 마스크 및 산화 실리콘 재료를 사용하는 제 2 유전체 층에 있어서, 상기 하드 마스크는 특정 실시예에서 에칭 후에 본래 그대로 남아 있을 수 있다.
도 12를 참조하면, 상기 방법은 상기 제 1 구조 및 상기 제 1 유전체 층의 노출된 부분을 덮는 제 2 유전체 층을 증착하는 단계를 포함한다. 상기 제 2 유전체 층은 실시예에 따라 산화 실리콘 재료 또는 질화 실리콘 재료 또는 그 조합물을 포함할 수 있다. 특정 실시예에서, 상기 제 2 유전체 층은 TEOS(tetraethyloxysilicate: 테트라에틸옥시실리케이트)를 전구체로 사용하는 플라즈마 화학 기상 증착 공정을 사용하여 증착된 산화 실리콘이 될 수 있다. 상기 산화 실리콘 재료는 또한 적절한 경화 공정이 뒤따르는 스핀 온 글라스(spin on glass : SOG) 기술을 사용하여 형성할 수도 있다. 또는 스핀 온 글라스와 화학 기상 증착의 조합이 또한 응용에 따라 사용될 수도 있다.
특정 실시예에서, 상기 방법은 도 13에 도시된 바와 같이 평탄화 유전체 표면(1302)을 형성하기 위하여 평탄화 공정을 사용한다. 이는 특정 실시예에서 상기 제 2 유전체 재료의 화학 기계적 연마, 또는 비 등방성 화학적 에칭 또는 전면 에칭에 의해 달성될 수 있다. 도시된 바와 같이, 상기 제 2 유전체 재료의 일부(1304)는 특정 실시예에서 상기 스위칭 재료의 상부 영역을 덮어서 유지된다. 특정 실시예에서, 상기 방법은 도 14에 도시된 바와 같이 상기 스위칭 요소의 상기 상부 영역의 일부를 노출시키기 위하여 상기 제 2 유전체 재료의 일부에서 개방 영역(1402)을 형성하는 단계를 포함한다. 상기 개방 영역은 특정 실시예에서 제 2 패터닝 및 에칭 공정을 사용하여 형성한다. 예를 들어 상기 유전체 재료로서의 이산화실리톤에 있어서, 상기 에칭 공정은 에칭 가스로서 CF4, SF6, 또는 NF3를 사용하는 불소-계 에칭과 같은 건식 에칭이 될 수 있다. HF-계 에칭과 같은 적절한 습식 에칭 기술도 또한 실시예에 따라 사용할 수 있다.
특정 실시예에서, 상기 방법은 상기 스위칭 요소의 상기 노출된 상부 영역을 포함하는 상기 개방 영역을 덮는 전도성 재료(1502)를 증착한다. 도시된 바와 같이, 전도성 재료는 특정 실시예에서 상기 개방 영역과 실질적으로 공형으로 그리고 상기 스위칭 요소에 접촉되어 형성된다. 특정 실시예에서, 비정질 실리콘 스위칭 재료에 있어서, 상기 전도성 재료는 은 재료를 포함할 수 있다. 상기 은 재료는 스퍼터링 또는 증발과 같은 물리적 기상 증착 공정을 사용하여 증착할 수 있다. 상기은 재료는 또한 응용에 따라 화학 기상 증착, 전기 도금, 또는 무전극 증착과 같은 전기 화학적 방법, 또는 그 조합을 사용하여 형성할 수 있다. 상기 방법은 도 15에 도시된 바와 같이 상기 전도성 재료를 덮는 제 3 접착 층(1504)을 증착한다. 상기 제 3 접착 층은 특정 실시예에서 상기 전도성 재료, 예를 들어, 상기 은 재료를 산화로부터 보호하기 위한 베리어 층으로 기능할 수 있다. 제 3 접착 층(1504)은 전도성 재료(1502) 및 나중의 층들 사이의 확산 베리어 층 역할을 할 수 있고 전도성 재료와 나중의 층들 사이에 전기 접촉을 형성한다. 제 3 접착 층(1504)은 실시예에 따라 티타늄, 질화 티타늄, 탄탈 또는 질화 탄탈, 텅스텐 또는 질화 텅스텐이 될 수 있다. 제 3 접착 층(1504)은, 응용에 따라 원자 층 증착, 화학 기상 증착 등등과 같은 화학 증착 또는 스퍼터링과 같은 물리적 증착을 사용하여 형성할 수 있다.
도 16을 참조하면, 상기 방법은 상기 베리어 층을 덮는 상부 배선 재료(1602)를 형성한다. 상기 상부 배선 재료는 실시예에 따라, 텅스텐, 알루미늄, 구리 등등이 될 수 있다. 상기 상부 배선 구조 재료는 스퍼터링과 같은 물리적 기상 증착 공정, 증발 등등과 같은 기술들을 사용하여 증착할 수 있다. 상기 상부 배선 구조 재료는 또한 실시예에 따라 전기 도금 및 무전극 증착을 전기 기계적으로 포함하는 화학 기상 증착과 같은 화학 증착을 사용하여 증착할 수도 있다.
특정 실시예에서, 상기 방법은 스위칭 디바이스를 위한 상부 배선 구조를 형성하기 위하여 상기 베리어 층과 함께 한 상기 상부 배선 재료 및 상기 전도성 재료를 제 2 패턴 및 에칭 공정을 받게 하는 단계를 포함한다. 특정 실시예에서, 상기 상부 배선 구조 및 상기 하부 배선 구조는 공간적으로 각을 두고 배열된다. 특정 실시예에서, 상기 제 1 배선 구조 및 상기 제 2 배선 구조는 공간적으로 직교 방식으로 배열된다. 상기 스위칭 요소 및 상기 하부 배선 구조를 포함하는 상기 제 1 구조가 상기 상부 배선 재료를 에칭하는 중에 유전체 재료에 내포되므로, 특정 실시예에서 상기 제 1 구조의 상기 측면 영역은 적어도 상기 상부 배선 재료 및 상기 전도성 재료의 에칭에 기인하는 오염 전도성 재료와 같은 증착된 재료로부터 보호된다. 그러므로 상기 상부 배선 구조와 상기 하부 배선 구조 사이의 단락이 방지된다.
특정 실시예에서, 상기 전도성 재료는, 특정 실시예에서 상기 스위칭 재료의 저항 특성을 변경하기 위하여 적절한 전압이 상기 상부 배선 구조 또는 상기 하부 배선 구조에 인가될 때 상기 스위칭 재료에서 필라멘트 구조를 포함하는 복수의 전도성 재료 입자들을 형성한다. 은 재료를 상기 전도성 재료로서, 비정질 실리콘을 상기 스위칭 재료로서 예를 들면, 양의 전압을 상기 상부 배선 구조에 인가하는 즉시,복수의 은 입자들이 상기 비정질 실리콘 재료의 결함 영역들에 형성된다. 상기 복수의 은 입자들은 길이를 갖는 은 필라멘트 구조를 포함할 수 있다. 상기 은 필라멘트 구조의 길이는 적절한 전압을 인가함으로써 디바이스의 저항 스위칭을 가능하게 하는 상기 비정질 실리콘 재료의 저항을 변경하여 변경할 수 있다. 그러한 디바이스 구조는 2007년 10월 19일에 출원되고, 일반적으로 양도되고 그 전부를 본원에 참조함으로써 통합된 미국 출원 번호 11/875,541에 기술되어 있다.
실시예에 따라, 도 17a, 17b, 18, 및 19에 도시된 바와 같이 다른 변형들이 있을 수 있다. 예를 들어, 도 15에서와 같이 전도성 재료(1502) 및 상기 제 3 접착 층(1504)을 증착한 후에, 상기 방법은 도 17a 및 도 17b에 도시된 바와 같이 상기 제 2 유전체 재료의 표면 영역(1702)를 노출시키기 위하여 전도성 재료(1502)의 제 1 부분 및 제 3 베리어 층(1504)의 제 1 부분을 제거하는 패턴 및 에칭 공정을 실행할 수 있다. 도 17a 및 도 17b에 도시된 바와 같이, 상기 전도성 재료의 제 2 부분 및 상기 제 3 베리어 층의 제 2 부분은 적어도 상기 개방 영역에서 유지된다. 상기 전도성 재료의 상기 제 2 부분은 상기 스위칭 요소와 계속 접촉된 상태에 있다. 그런 다음, 도 18에 도시된 바와 같이 상기 방법은 상기 제 2 유전체 재료의 상기 노출된 표면 영역 및 상기 개방 영역에서 상기 제 3 접착 층을 덮는 제 4 베리어 층(1802)을 증착한다.
도 19를 참조하면, 대안의 방법은 상기 제 4 접착 층을 덮는 상부 배선 재료(1902)를 증착하고 상부 배선 구조를 형성하기 위하여 패턴 및 에칭 공정을 실행한다. 특정 실시예에서, 상기 상부 배선 구조 및 상기 하부 배선 구조는 공간적으로 각을 두고 배열되고 크로스바 구성을 형성한다.
실시예에 따라, 도 20 내지 도 21에 도시된 바와 같이 다른 변형들도 있을 수 있다. 도 12에서 중간에 형성된 구조를 예를 들면, 도 20에 도시된 바와 같이 제 2 유전체 층(1202)은 상기 스위칭 요소의 표면 영역(2004)을 노출시키고 실질적으로 평탄화된 제 2 유전체 표면(2002)을 형성하기 위하여 평탄화한다. 도 21에 도시된 바와 같이 전도성 재료(2102)는 상기 스위칭 요소 및 상기 평탄화된 제 2 유전체 표면을 덮어서 증착된다. 도시된 바와 같이, 상기 전도성 재료는 상기 스위칭 요소와 접촉한 상태에 있다. 제 3 접착 층(2104)은 전도성 재료를 덮어서 형성되고 상부 배선 재료(2106)는 접착 층(2104)을 덮어서 증착된다. 상기 방법은 상기 상부 배선 구조를 형성하기 위하여 상기 전도성 재료, 상기 제 3 접착 층, 및 상기 상부 배선 재료를 패턴 및 에칭 공정을 받게 한다. 특정 실시예에서, 상부 배선 구조는 공간적으로 하부 배선 구조와 각을 주고 배열된다. 특정 실시예에서, 비정질 실리콘 재료를 사용하는 상기 스위칭 요소에 있어서, 은 재료는 상기 전도성 재료로서 사용할 수 있다. 상기 제 3 접착 층은 티타늄, 질화 티타늄, 탄탈, 질화 탄탈, 질화 텅스텐 등이 될 수 있다.
다시, 응용에 따라, 도 22 및 도 23에 도시된 바와 같이 다른 변형들이 있을 수 있다. 예를 들어, 도 22에 도시된 바와 같이 도 14의 개방 영역(1402)은 플러그-형 구조를 형성하기 위하여 전도성 재료(2202)로 채울 수 있다. 도시된 바와 같이, 전도성 재료(2202)는 상기 스위칭 요소와 접촉한 상태에 있다. 도 23에 도시된 바와 같이 접착 층(2302)은 전도성 재료를 덮어서 형성되고 상부 배선 재료(2304)는 상기 접착 층을 덮어서 형성된다. 그런 다음 상기 방법은 특정 실시예에서 상기 하부 배선 구조와 각을 두는 상부 배선 구조를 형성하기 위하여 패턴 및 에칭 공정을 실행한다. 특정 실시예에서, 상기 상부 배선 구조는 공간적으로 상기 하부 배선 구조와 직교하여 배열된다.
따라서, 본 발명에 따른 실시예들은 상기 상부 배선 구조와 상기 하부 배선 구조 사이에 단락들리 없는 스위칭 디바이스를 형성하는 방법을 제공한다. 본 발명은 상기 상부 배선 재료 및 상기 하부 배선 재료로서 Ag/비정질 실리콘/p+ 폴리실리콘 구성 및 텅스텐 재료를 갖추는 디바이스 구조에 응용되었다. 본 발명은 비활성 금속 또는 귀금속을 사용하는 디바이스의 제조에 응용할 수 있다는 것이 인정되어야 한다. 그러한 디바이스들의 예는 산화 금속을 상기 스위칭 재료로서 사용하는 스위칭 디바이스를 포함하고, 상기 상부 배선 재료 또는 상기 하부 배선 재료 중 적어도 하나는 산화 금속 스위칭 재료와 화학적으로 반응하지 않기 위하여 비활성이다. 상기 상부 비활성 배선 재료의 에칭은 물리적 에칭을 사용하여 실행할 수 있다. 상기 상부 배선 재료들 또는 상기 하부 배선 재료들 등등으로부터 에칭된 도체 재료들을 상기 스위칭 요소의 측면 영역에 재증착하면 상기 상부 전극과 상기 하부 전극 사이에 단락들을 형성할 수 있으므로, 디바이스 성능 및 수율에 영향을 미칠 수 있다.
본원에 기술된 예들 및 실시예들은 단지 설명의 목적들을 위한 것이고 그것들의 관점에서 다양한 수정들 또는 대안들이 당업자에게 제안될 것이고 본 출원의 정신 및 범위 내에 그리고 첨부된 청구항들의 범위 내에 포함될 것이라는 것도 또한 양해된다.
100 : 저항성 스위칭 디바이스 102 : 상부 배선 구조
104 : 하부 배선 구조 106 : 스위칭 층

Claims (13)

  1. 2 단자 스위칭 디바이스를 형성하는 방법에 있어서:
    기판을 제공하는 단계;
    상기 기판의 표면 영역을 덮는 제 1 유전체 재료를 형성하는 단계;
    상기 유전체 재료를 덮는 하부 배선 재료를 증착하는 단계;
    상기 하부 배선 재료를 덮는 접촉 재료를 증착하는 단계;
    상기 접촉 재료를 포함하여 상기 하부 배선 재료를 덮는 스위칭 재료를 증착하는 단계;
    상기 스위칭 재료를 덮는 마스킹 층(masking layer)을 형성하는 단계;
    상기 하부 배선 재료, 상기 접촉 재료 및 상기 스위칭 재료를 상기 마스킹 층을 이용한 제 1 에칭 공정으로 상부 표면 영역 및 측면 영역을 갖는 제 1 구조로 형성하는 단계로서, 상기 제 1 구조는 적어도 하부 배선 구조와 스위칭 요소를 구비하고, 상기 스위칭 요소는 제 1 측면 영역을 가지며, 상기 제 1 구조의 상기 상부 표면 영역은 상기 스위칭 요소의 노출된 영역을 구비하는 단계;
    상기 스위칭 요소의 상기 노출된 영역 및 상기 제 1 유전체 재료의 노출된 부분을 포함하는 적어도 상기 제 1 구조를 덮는 제 2 유전체 재료를 증착하는 단계로서, 상기 제 2 유전체 재료는 상기 스위칭 요소의 상기 노출된 영역과 직접 접촉하는 단계;
    상기 스위칭 요소의 노출된 영역의 적어도 일 부분을 노출시키는 적어도 상기 제 1 구조를 덮는 평탄화된 제 2 유전체 재료 표면을 형성하는 단계;
    상기 제 2 유전체 재료 및 상기 스위칭 요소의 상기 노출된 영역을 덮는 전도성 재료를 증착하는 단계로서, 상기 전도성 재료는 상기 스위칭 요소와 직접 접촉하는 단계;
    적어도 상기 전도성 재료를 덮는 상부 배선 재료를 증착하는 단계;
    상기 상부 배선 재료를 제 2 에칭 공정으로 상부 배선 구조를 형성하는 단계를 포함하며,
    상기 스위칭 요소의 상기 제 1 측면 영역을 포함하는 상기 제 1 구조의 상기 측면 영역은 적어도 제 2 에칭 공정으로 인한 오염 전도성 재료가 없는 2 단자 스위칭 디바이스를 형성하는 방법.
  2. 청구항 1에 있어서, 상기 상부 배선 구조 및 상기 하부 배선 구조는 공간적으로 크로스바 구성으로 배치되는 2 단자 스위칭 디바이스를 형성하는 방법.
  3. 청구항 1에 있어서, 상기 상부 배선 재료는 제 1 접착 재료 또는 제 1 베리어 재료를 추가로 포함하고, 상기 제 1 접착 재료 또는 상기 제 1 베리어 재료는 티타늄, 질화 티타늄, 탄탈, 질화 탄탈, 또는 질화 텅스텐을 포함하는, 2 단자 스위칭 디바이스를 형성하는 방법.
  4. 청구항 1에 있어서, 상기 하부 배선 재료는 제 2 접착 재료 또는 제 2 베리어 재료를 더 포함하고, 상기 제 2 접착 재료 또는 상기 제 2 베리어 재료는 티타늄, 질화 티타늄, 탄탈, 질화 탄탈, 또는 질화 텅스텐을 포함하는 2 단자 스위칭 디바이스를 형성하는 방법.
  5. 청구항 1에 있어서, 상기 기판은 그 위에 제조된 하나 이상의 CMOS 디바이스들을 포함하고, 상기 2 단자 스위칭 디바이스는 상기 하나 이상의 CMOS 디바이스들에 동작 가능하게 연결된 2 단자 스위칭 디바이스를 형성하는 방법.
  6. 청구항 1에 있어서, 상기 접촉 재료는 폴리실리콘 재료를 포함하는 2 단자 스위칭 디바이스를 형성하는 방법.
  7. 청구항 6에 있어서, 상기 폴리실리콘 재료는 10E17 내지 10E21cm-3의 범위의 농도를 갖는 보론을 사용하여 p+ 도핑된 2 단자 스위칭 디바이스를 형성하는 방법.
  8. 청구항 6에 있어서, 상기 폴리실리콘 재료는 50 옹스트롬 내지 2000 옹스트롬의 범위의 두께를 갖는 2 단자 스위칭 디바이스를 형성하는 방법.
  9. 청구항 6에 있어서, 상기 폴리실리콘 재료는 100 옹스트롬 내지 500 옹스트롬의 범위의 두께를 갖는 2 단자 스위칭 디바이스를 형성하기 위한 방법.
  10. 청구항 1에 있어서, 상기 스위칭 재료는 비정질 실리콘 재료인 2 단자 스위칭 디바이스를 형성하는 방법.
  11. 청구항 1에 있어서, 상기 전도성 재료는 금, 백금, 은, 팔라듐, 니켈, 구리 및 이들의 화합물로 구성된 그룹으로부터 선택되는 2 단자 스위칭 디바이스를 형성하는 방법.
  12. 청구항 11에 있어서, 상기 전도성 재료는 50 옹스트롬 내지 2000 옹스트롬의 범위의 두께를 갖는 은을 포함하는 2 단자 스위칭 디바이스를 형성하는 방법.
  13. 청구항 11에 있어서, 상기 전도성 재료는 100 옹스트롬 내지 500 옹스트롬의 범위의 두께를 갖는 은을 포함하는 2 단자 스위칭 디바이스를 형성하는 방법.
KR1020110069311A 2010-07-13 2011-07-13 2 단자 저항성 스위칭 디바이스 구조 및 제조 방법 KR101860508B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/835,704 US9012307B2 (en) 2010-07-13 2010-07-13 Two terminal resistive switching device structure and method of fabricating
US12/835,704 2010-07-13

Publications (2)

Publication Number Publication Date
KR20120006942A KR20120006942A (ko) 2012-01-19
KR101860508B1 true KR101860508B1 (ko) 2018-05-23

Family

ID=44581926

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110069311A KR101860508B1 (ko) 2010-07-13 2011-07-13 2 단자 저항성 스위칭 디바이스 구조 및 제조 방법

Country Status (5)

Country Link
US (2) US9012307B2 (ko)
EP (1) EP2408035A3 (ko)
JP (1) JP5863302B2 (ko)
KR (1) KR101860508B1 (ko)
CN (1) CN102332531B (ko)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
JP5981424B2 (ja) 2010-06-11 2016-08-31 クロスバー, インコーポレイテッドCrossbar, Inc. メモリー素子に関する柱状構造及び方法
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8841196B1 (en) 2010-09-29 2014-09-23 Crossbar, Inc. Selective deposition of silver for non-volatile memory device fabrication
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
US8258020B2 (en) 2010-11-04 2012-09-04 Crossbar Inc. Interconnects for stacked non-volatile memory device and method
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US20120261635A1 (en) * 2011-04-12 2012-10-18 Feng Zhou Resistive random access memory (ram) cell and method for forming
US8921155B2 (en) * 2011-04-12 2014-12-30 Freescale Semiconductor, Inc. Resistive random access memory (RAM) cell and method for forming
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
CN103828047A (zh) 2011-07-22 2014-05-28 科洛斯巴股份有限公司 用于非易失性存储器装置的p+硅锗材料的种子层及方法
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8716098B1 (en) * 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US8946667B1 (en) 2012-04-13 2015-02-03 Crossbar, Inc. Barrier structure for a silver based RRAM and method
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9070859B1 (en) 2012-05-25 2015-06-30 Crossbar, Inc. Low temperature deposition method for polycrystalline silicon material for a non-volatile memory device
US9257197B2 (en) * 2012-07-06 2016-02-09 Micron Technology, Inc. Apparatuses and/or methods for operating a memory cell as an anti-fuse
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US8796102B1 (en) 2012-08-29 2014-08-05 Crossbar, Inc. Device structure for a RRAM and method
US9312483B2 (en) * 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US9093635B2 (en) 2013-03-14 2015-07-28 Crossbar, Inc. Controlling on-state current for two-terminal memory
US9006699B2 (en) * 2013-03-26 2015-04-14 National Taiwan University Of Science And Technology Resistive random access memory using amorphous metallic glass oxide as a storage medium
WO2015016851A1 (en) * 2013-07-31 2015-02-05 Hewlett-Packard Development Company, L.P. Memristor and methods for making the same
US9437814B1 (en) * 2014-02-06 2016-09-06 Crossbar, Inc. Mitigating damage from a chemical mechanical planarization process
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US9184379B1 (en) * 2014-07-18 2015-11-10 Intermolecular, Inc. Capping thin-film resistors to control interface oxidation
CN105679932B (zh) * 2014-11-21 2018-10-16 中芯国际集成电路制造(上海)有限公司 电阻式随机存储器的形成方法
US10062845B1 (en) * 2016-05-13 2018-08-28 Crossbar, Inc. Flatness of memory cell surfaces
US10522754B2 (en) 2016-06-15 2019-12-31 Crossbar, Inc. Liner layer for dielectric block layer
US10749110B1 (en) 2016-07-15 2020-08-18 Crossbar, Inc. Memory stack liner comprising dielectric block layer material
US11088323B2 (en) 2018-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Top electrode last scheme for memory cell to prevent metal redeposit

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008147343A (ja) * 2006-12-08 2008-06-26 Sharp Corp 不揮発性半導体記憶装置
WO2010026654A1 (ja) * 2008-09-05 2010-03-11 株式会社 東芝 記憶装置
US20100085798A1 (en) * 2008-10-08 2010-04-08 The Regents Of The University Of Michigan Silicon-based nanoscale resistive device with adjustable resistance

Family Cites Families (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US680652A (en) 1897-11-08 1901-08-13 Leonard L Elden Circuit-breaker.
JPS56134757A (en) 1980-03-26 1981-10-21 Nec Corp Complementary type mos semiconductor device and its manufacture
DE3277665D1 (en) 1981-08-07 1987-12-17 British Petroleum Co Plc Non-volatile electrically programmable memory device
JPS6188578A (ja) 1984-10-08 1986-05-06 Nec Corp 非線形素子
JPH02181160A (ja) 1989-01-04 1990-07-13 Fuji Xerox Co Ltd 電子写真感光体
GB8910854D0 (en) 1989-05-11 1989-06-28 British Petroleum Co Plc Semiconductor device
US5614756A (en) * 1990-04-12 1997-03-25 Actel Corporation Metal-to-metal antifuse with conductive
JPH0770731B2 (ja) 1990-11-22 1995-07-31 松下電器産業株式会社 電気可塑性素子
US5335219A (en) 1991-01-18 1994-08-02 Ovshinsky Stanford R Homogeneous composition of microcrystalline semiconductor material, semiconductor devices and directly overwritable memory elements fabricated therefrom, and arrays fabricated from the memory elements
JPH05343316A (ja) 1991-09-30 1993-12-24 Nec Corp 半導体装置の製造方法
GB9122362D0 (en) 1991-10-22 1991-12-04 British Telecomm Resistive memory element
US5278085A (en) 1992-08-11 1994-01-11 Micron Semiconductor, Inc. Single mask process for forming both n-type and p-type gates in a polycrystalline silicon layer during the formation of a semiconductor device
US5538564A (en) 1994-03-18 1996-07-23 Regents Of The University Of California Three dimensional amorphous silicon/microcrystalline silicon solar cells
KR960005765A (ko) 1994-07-14 1996-02-23 모리시다 요이치 반도체 장치의 배선형성에 이용하는 무전해 도금욕 및 반도체 장치의 배선성형방법
US5457649A (en) 1994-08-26 1995-10-10 Microchip Technology, Inc. Semiconductor memory device and write-once, read-only semiconductor memory array using amorphous-silicon and method therefor
DE69606478T2 (de) 1995-03-28 2000-09-07 Koninkl Philips Electronics Nv Verfahren zur herstellung eines halbleiterbauteils mit bicmos schaltkreis
US5594363A (en) * 1995-04-07 1997-01-14 Zycad Corporation Logic cell and routing architecture in a field programmable gate array
US6420725B1 (en) 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
DE69734050T2 (de) 1996-06-05 2006-06-08 Koninklijke Philips Electronics N.V. Beschreibbares festwertspeicherbauelement und das herstellungsverfahren für solch ein bauelement
US5998244A (en) * 1996-08-22 1999-12-07 Micron Technology, Inc. Memory cell incorporating a chalcogenide element and method of making same
JP4034380B2 (ja) 1996-10-31 2008-01-16 株式会社東芝 画像符号化/復号化方法及び装置
TW307048B (en) * 1996-11-22 1997-06-01 United Microelectronics Corp High density read only memory structure and manufacturing method thereof
US6015997A (en) 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
US6133075A (en) 1997-04-25 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
GB9722149D0 (en) 1997-10-22 1997-12-17 Philips Electronics Nv Semiconductior memory devices
US6143642A (en) * 1997-12-22 2000-11-07 Vlsi Technology, Inc. Programmable semiconductor structures and methods for making the same
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6180998B1 (en) 1998-03-30 2001-01-30 Lsi Logic Corporation DRAM with built-in noise protection
JP2000012787A (ja) 1998-06-10 2000-01-14 Lucent Technol Inc 集積回路デバイスおよび集積回路に用いる抵抗性素子を形成する方法
US6603883B1 (en) 1998-09-08 2003-08-05 Canon Kabushiki Kaisha Image processing apparatus including an image data encoder having at least two scalability modes and method therefor
US6128214A (en) 1999-03-29 2000-10-03 Hewlett-Packard Molecular wire crossbar memory
JP2001189448A (ja) 1999-12-28 2001-07-10 Fujitsu Ltd 半導体装置及びその製造方法
US6563156B2 (en) 2001-03-15 2003-05-13 Micron Technology, Inc. Memory elements and methods for making same
EP1312120A1 (en) 2000-08-14 2003-05-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
AUPR148400A0 (en) * 2000-11-14 2000-12-07 Cochlear Limited Apparatus for delivery of pharmaceuticals to the cochlea
US6627530B2 (en) * 2000-12-22 2003-09-30 Matrix Semiconductor, Inc. Patterning three dimensional structures
US6436765B1 (en) 2001-02-09 2002-08-20 United Microelectronics Corp. Method of fabricating a trenched flash memory cell
US7102150B2 (en) 2001-05-11 2006-09-05 Harshfield Steven T PCRAM memory cell and method of making same
US6927430B2 (en) 2001-06-28 2005-08-09 Sharp Laboratories Of America, Inc. Shared bit line cross-point memory array incorporating P/N junctions
US6511862B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Modified contact for programmable devices
KR100860134B1 (ko) 2001-08-13 2008-09-25 어드밴스드 마이크로 디바이시즈, 인코포레이티드 메모리 셀
US6768157B2 (en) 2001-08-13 2004-07-27 Advanced Micro Devices, Inc. Memory device
US6858481B2 (en) 2001-08-13 2005-02-22 Advanced Micro Devices, Inc. Memory device with active and passive layers
US6838720B2 (en) 2001-08-13 2005-01-04 Advanced Micro Devices, Inc. Memory device with active passive layers
JP2005506703A (ja) 2001-10-16 2005-03-03 ミッドウエスト リサーチ インスティチュート 積層されたスイッチ可能素子およびダイオードの組み合わせ
US20030141565A1 (en) 2002-01-28 2003-07-31 Fumihiko Hirose Diode
JP3948292B2 (ja) * 2002-02-01 2007-07-25 株式会社日立製作所 半導体記憶装置及びその製造方法
US6643213B2 (en) 2002-03-12 2003-11-04 Hewlett-Packard Development Company, L.P. Write pulse circuit for a magnetic memory
US6858482B2 (en) * 2002-04-10 2005-02-22 Micron Technology, Inc. Method of manufacture of programmable switching circuits and memory cells employing a glass layer
JP4103497B2 (ja) * 2002-04-18 2008-06-18 ソニー株式会社 記憶装置とその製造方法および使用方法、半導体装置とその製造方法
US20040026682A1 (en) 2002-06-17 2004-02-12 Hai Jiang Nano-dot memory and fabricating same
TWI233204B (en) 2002-07-26 2005-05-21 Infineon Technologies Ag Nonvolatile memory element and associated production methods and memory element arrangements
US7020006B2 (en) 2002-08-02 2006-03-28 Unity Semiconductor Corporation Discharge of conductive array lines in fast memory
US6870755B2 (en) 2002-08-02 2005-03-22 Unity Semiconductor Corporation Re-writable memory with non-linear memory element
US6867996B2 (en) * 2002-08-29 2005-03-15 Micron Technology, Inc. Single-polarity programmable resistance-variable memory element
US6848012B2 (en) 2002-09-27 2005-01-25 Broadcom Corporation Method and system for an adaptive multimode media queue
US6873015B2 (en) 2002-10-02 2005-03-29 Micron Technology, Inc. Semiconductor constructions comprising three-dimensional thin film transistor devices and resistors
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7589343B2 (en) 2002-12-13 2009-09-15 Intel Corporation Memory and access device and method therefor
US6946719B2 (en) * 2003-12-03 2005-09-20 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US7176064B2 (en) 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7238607B2 (en) 2002-12-19 2007-07-03 Sandisk 3D Llc Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US8637366B2 (en) 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7800932B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7433253B2 (en) 2002-12-20 2008-10-07 Qimonda Ag Integrated circuit, method of operating an integrated circuit, method of manufacturing an integrated circuit, memory module, stackable memory module
US7606059B2 (en) 2003-03-18 2009-10-20 Kabushiki Kaisha Toshiba Three-dimensional programmable resistance memory device with a read/write circuit stacked under a memory cell array
US7729158B2 (en) 2003-04-03 2010-06-01 Kabushiki Kaisha Toshiba Resistance change memory device
EP1489622B1 (en) 2003-06-16 2007-08-15 STMicroelectronics S.r.l. Writing circuit for a phase change memory device
US7136300B2 (en) 2003-10-06 2006-11-14 Hewlett-Packard Development Company, Lp. Magnetic memory device including groups of series-connected memory elements
ES2302076T3 (es) 2003-11-10 2008-07-01 Matsushita Electric Industrial Co., Ltd Medio de grabacion, aparato de reproduccion, programa, metodo de reproduccion, circuito integrado del sistema.
US7682920B2 (en) 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7474000B2 (en) 2003-12-05 2009-01-06 Sandisk 3D Llc High density contact to relaxed geometry layers
US7034332B2 (en) * 2004-01-27 2006-04-25 Hewlett-Packard Development Company, L.P. Nanometer-scale memory device utilizing self-aligned rectifying elements and method of making
US7139198B2 (en) 2004-01-27 2006-11-21 Sandisk Corporation Efficient verification for coarse/fine programming of non-volatile memory
US20050175099A1 (en) 2004-02-06 2005-08-11 Nokia Corporation Transcoder and associated system, method and computer program product for low-complexity reduced resolution transcoding
DE102004007633B4 (de) 2004-02-17 2010-10-14 Qimonda Ag Speicherzelle, Halbleiter-Speicherbauelement und Verfahren zur Herstellung einer Speicherzelle
US7339818B2 (en) 2004-06-04 2008-03-04 Micron Technology, Inc. Spintronic devices with integrated transistors
US7084691B2 (en) 2004-07-21 2006-08-01 Sharp Laboratories Of America, Inc. Mono-polarity switchable PCMO resistor trimmer
US20060028895A1 (en) * 2004-08-09 2006-02-09 Carl Taussig Silver island anti-fuse
US7365411B2 (en) * 2004-08-12 2008-04-29 Micron Technology, Inc. Resistance variable memory with temperature tolerant materials
US7122853B1 (en) 2004-08-17 2006-10-17 Fasl, Inc. Method to improve yield and simplify operation of polymer memory cells
US7289353B2 (en) 2004-08-17 2007-10-30 Spansion, Llc Systems and methods for adjusting programming thresholds of polymer memory cells
US7135696B2 (en) 2004-09-24 2006-11-14 Intel Corporation Phase change memory with damascene memory element
US7221599B1 (en) 2004-11-01 2007-05-22 Spansion, Llc Polymer memory cell operation
US7189626B2 (en) 2004-11-03 2007-03-13 Micron Technology, Inc. Electroless plating of metal caps for chalcogenide-based memory devices
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7749805B2 (en) 2005-03-10 2010-07-06 Qimonda Ag Method for manufacturing an integrated circuit including an electrolyte material layer
US7835170B2 (en) 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
JP2006344746A (ja) 2005-06-08 2006-12-21 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US7426128B2 (en) 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US20070015348A1 (en) 2005-07-18 2007-01-18 Sharp Laboratories Of America, Inc. Crosspoint resistor memory device with back-to-back Schottky diodes
US7303971B2 (en) 2005-07-18 2007-12-04 Sharp Laboratories Of America, Inc. MSM binary switch memory device
US7446010B2 (en) 2005-07-18 2008-11-04 Sharp Laboratories Of America, Inc. Metal/semiconductor/metal (MSM) back-to-back Schottky diode
US7521705B2 (en) 2005-08-15 2009-04-21 Micron Technology, Inc. Reproducible resistance variable insulating memory devices having a shaped bottom electrode
KR100630437B1 (ko) 2005-08-31 2006-10-02 삼성전자주식회사 비휘발성 유기물 저항 메모리 장치 및 그 제조 방법
US20070105390A1 (en) 2005-11-09 2007-05-10 Oh Travis B Oxygen depleted etching process
US7187577B1 (en) 2005-11-23 2007-03-06 Grandis, Inc. Method and system for providing current balanced writing for memory cells and magnetic devices
US7324363B2 (en) 2005-12-12 2008-01-29 Synopsys, Inc. SPICE optimized for arrays
JP3989506B2 (ja) * 2005-12-27 2007-10-10 シャープ株式会社 可変抵抗素子とその製造方法ならびにそれを備えた半導体記憶装置
US8222746B2 (en) * 2006-03-03 2012-07-17 Intel Corporation Noble metal barrier layers
US7875871B2 (en) 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7829875B2 (en) 2006-03-31 2010-11-09 Sandisk 3D Llc Nonvolatile rewritable memory cell comprising a resistivity-switching oxide or nitride and an antifuse
JP2007281208A (ja) 2006-04-07 2007-10-25 Matsushita Electric Ind Co Ltd 多層抵抗変化素子アレイ、抵抗変化装置、多層不揮発性記憶素子アレイ、及び不揮発性記憶装置
KR101239962B1 (ko) 2006-05-04 2013-03-06 삼성전자주식회사 하부 전극 상에 형성된 버퍼층을 포함하는 가변 저항메모리 소자
JP4297136B2 (ja) 2006-06-07 2009-07-15 ソニー株式会社 記憶装置
US7626518B2 (en) 2006-06-08 2009-12-01 Via Technologies, Inc. Decoding systems and methods in computational core of programmable graphics processing unit
KR101159075B1 (ko) 2006-06-27 2012-06-25 삼성전자주식회사 n+ 계면층을 구비한 가변 저항 랜덤 액세스 메모리 소자
US7719001B2 (en) 2006-06-28 2010-05-18 Semiconductor Energy Laboratory Co., Ltd Semiconductor device with metal oxides and an organic compound
KR100738116B1 (ko) 2006-07-06 2007-07-12 삼성전자주식회사 가변 저항 물질을 포함하는 비휘발성 메모리 소자
JP2008021750A (ja) 2006-07-11 2008-01-31 Matsushita Electric Ind Co Ltd 抵抗変化素子およびその製造方法、ならびにそれを用いた抵抗変化型メモリ
US7499355B2 (en) 2006-07-31 2009-03-03 Sandisk 3D Llc High bandwidth one time field-programmable memory
CN101622729A (zh) 2006-08-31 2010-01-06 校际微电子中心 用于制造电阻转换器件的方法和由此获得的器件
US7772581B2 (en) * 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
JP4869006B2 (ja) * 2006-09-27 2012-02-01 株式会社東芝 半導体記憶装置の制御方法
JP4560025B2 (ja) 2006-09-29 2010-10-13 株式会社東芝 磁気ランダムアクセスメモリ及びその製造方法
US8766224B2 (en) 2006-10-03 2014-07-01 Hewlett-Packard Development Company, L.P. Electrically actuated switch
US7778061B2 (en) 2006-10-16 2010-08-17 Hewlett-Packard Development Company, L.P. Crossbar-memory systems and methods for writing to and reading from crossbar memory junctions of crossbar-memory systems
US10134985B2 (en) 2006-10-20 2018-11-20 The Regents Of The University Of Michigan Non-volatile solid state resistive switching devices
US7872900B2 (en) 2006-11-08 2011-01-18 Symetrix Corporation Correlated electron memory
US7778063B2 (en) 2006-11-08 2010-08-17 Symetrix Corporation Non-volatile resistance switching memories and methods of making same
KR100782496B1 (ko) * 2006-11-09 2007-12-05 삼성전자주식회사 자기 정렬된 셀 다이오드를 갖는 반도체 소자의 제조방법및 이를 이용하는 상변화 기억소자의 제조방법
JP5232159B2 (ja) 2006-11-09 2013-07-10 セイジ・エレクトロクロミクス,インコーポレイテッド 独立したリチオ化ステップを含まないイオン−スイッチング装置の製法
JP2010510656A (ja) * 2006-11-15 2010-04-02 サンディスク スリーディー,エルエルシー 誘電性アンチヒューズと直列にシリサイドに隣接して結晶化されたp−i−nダイオードおよびその形成方法
US7728318B2 (en) * 2006-11-16 2010-06-01 Sandisk Corporation Nonvolatile phase change memory cell having a reduced contact area
JP4334589B2 (ja) 2006-12-06 2009-09-30 株式会社東芝 半導体装置、およびその製造方法
EP1933563A1 (en) 2006-12-14 2008-06-18 Thomson Licensing Method and apparatus for encoding and/or decoding bit depth scalable video data using adaptive enhancement layer residual prediction
JP2008160031A (ja) 2006-12-26 2008-07-10 Sony Corp 記憶素子及びメモリ
CN101501851B (zh) 2006-12-28 2010-11-17 松下电器产业株式会社 电阻变化型元件和电阻变化型存储装置
US7972897B2 (en) 2007-02-05 2011-07-05 Intermolecular, Inc. Methods for forming resistive switching memory elements
US8265136B2 (en) 2007-02-20 2012-09-11 Vixs Systems, Inc. Motion refinement engine for use in video encoding in accordance with a plurality of sub-pixel resolutions and methods for use therewith
US7382647B1 (en) 2007-02-27 2008-06-03 International Business Machines Corporation Rectifying element for a crosspoint based memory array architecture
US20080205179A1 (en) 2007-02-28 2008-08-28 Qimonda Ag Integrated circuit having a memory array
KR101054321B1 (ko) 2007-03-01 2011-08-05 후지쯔 가부시끼가이샤 반도체 장치 및 그 제조 방법
US7629198B2 (en) 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
US7984776B2 (en) 2007-03-30 2011-07-26 The Regents Of The University Of Michigan Energy storage and control system for a vehicle electrified drivetrain
CN101669235B (zh) 2007-03-30 2013-12-11 密执安州立大学董事会 沉积的微体系结构电池和制造方法
WO2008140979A1 (en) 2007-05-09 2008-11-20 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
JP4967176B2 (ja) 2007-05-10 2012-07-04 シャープ株式会社 可変抵抗素子とその製造方法及び不揮発性半導体記憶装置
US7800094B2 (en) 2007-06-11 2010-09-21 Macronix International Co., Ltd. Resistance memory with tungsten compound and manufacturing
US7855119B2 (en) 2007-06-15 2010-12-21 Sandisk 3D Llc Method for forming polycrystalline thin film bipolar transistors
US7991237B2 (en) 2007-06-28 2011-08-02 Mitsubishi Electric Corporation Image encoding device, image decoding device, image encoding method and image decoding method
US7824956B2 (en) 2007-06-29 2010-11-02 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US7846785B2 (en) 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US8233308B2 (en) 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
KR20100031698A (ko) 2007-06-29 2010-03-24 쌘디스크 3디 엘엘씨 선택적으로 성장한 가역 저항-스위칭 소자를 사용하는 메모리 셀과 상기 메모리 셀을 형성하는 방법
JP2009021524A (ja) * 2007-07-13 2009-01-29 Panasonic Corp 抵抗変化素子とその製造方法ならびに抵抗変化型メモリ
US7566643B2 (en) 2007-07-23 2009-07-28 Ovonyx, Inc. Liquid phase deposition of contacts in programmable resistance and switching devices
KR101326077B1 (ko) 2007-08-24 2013-11-07 삼성전자주식회사 저항성 메모리 소자
US9000408B2 (en) 2007-10-12 2015-04-07 Ovonyx, Inc. Memory device with low reset current
US7786464B2 (en) 2007-11-20 2010-08-31 Infineon Technologies Ag Integrated circuit having dielectric layer including nanocrystals
US7718990B2 (en) 2007-12-04 2010-05-18 Ovonyx, Inc. Active material devices with containment layer
JP5458892B2 (ja) * 2007-12-19 2014-04-02 日本電気株式会社 スイッチング素子およびその製造方法
US7706169B2 (en) 2007-12-27 2010-04-27 Sandisk 3D Llc Large capacity one-time programmable memory cell using metal oxides
US7897953B2 (en) 2008-01-16 2011-03-01 Micron Technology, Inc. Multi-level programmable PCRAM memory
US7955958B2 (en) 2008-02-07 2011-06-07 International Business Machines Corporation Method for fabrication of polycrystalline diodes for resistive memories
US8035099B2 (en) 2008-02-27 2011-10-11 Spansion Llc Diode and resistive memory device structures
US8183553B2 (en) 2009-04-10 2012-05-22 Intermolecular, Inc. Resistive switching memory element including doped silicon electrode
US8143092B2 (en) 2008-03-10 2012-03-27 Pragati Kumar Methods for forming resistive switching memory elements by heating deposited layers
US7960216B2 (en) 2008-05-10 2011-06-14 Intermolecular, Inc. Confinement techniques for non-volatile resistive-switching memories
US7961507B2 (en) 2008-03-11 2011-06-14 Micron Technology, Inc. Non-volatile memory with resistive access component
WO2009125777A1 (ja) * 2008-04-07 2009-10-15 日本電気株式会社 抵抗変化素子及びその製造方法
JP2009253033A (ja) 2008-04-07 2009-10-29 Panasonic Corp 半導体記憶装置及びその製造方法
US8304284B2 (en) 2008-04-11 2012-11-06 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element, and methods of forming the same
US7830698B2 (en) 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
JP2009267219A (ja) 2008-04-28 2009-11-12 Hitachi Ltd 半導体記憶装置およびその製造方法
JP4575999B2 (ja) 2008-06-10 2010-11-04 パナソニック株式会社 半導体装置、半導体装置の製造方法、半導体チップおよびシステム
US8587989B2 (en) 2008-06-20 2013-11-19 Nantero Inc. NRAM arrays with nanotube blocks, nanotube traces, and nanotube planes and methods of making same
US7732235B2 (en) 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US7781269B2 (en) 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
TW201021161A (en) 2008-07-18 2010-06-01 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
JP5430890B2 (ja) 2008-07-25 2014-03-05 株式会社東芝 半導体記憶装置
US8466044B2 (en) 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
TW201009954A (en) 2008-08-19 2010-03-01 Chunghwa Picture Tubes Ltd Thin film transistor, pixel structure and fabrication methods thereof
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US8344348B2 (en) 2008-10-02 2013-01-01 Ovonyx, Inc. Memory device
US8071972B2 (en) 2008-10-20 2011-12-06 The Regents Of The University Of Michigan Silicon based nanoscale crossbar memory
ITTO20080784A1 (it) 2008-10-24 2010-04-25 Terra Srl Ricerca & Sviluppo Procedimento per la produzione di un agente per il trattamento di terreni agricoli
US8097874B2 (en) 2008-10-30 2012-01-17 Seagate Technology Llc Programmable resistive memory cell with sacrificial metal
US7855923B2 (en) 2008-10-31 2010-12-21 Seagate Technology Llc Write current compensation using word line boosting circuitry
US7898838B2 (en) 2008-10-31 2011-03-01 Seagate Technology Llc Resistive sense memory calibration for self-reference read method
US8067815B2 (en) 2008-12-11 2011-11-29 Macronix International Co., Lt.d. Aluminum copper oxide based memory devices and methods for manufacture
US7978496B2 (en) 2008-12-18 2011-07-12 Sandisk 3D Llc Method of programming a nonvolatile memory device containing a carbon storage material
US8027215B2 (en) 2008-12-19 2011-09-27 Unity Semiconductor Corporation Array operation using a schottky diode as a non-ohmic isolation device
TW201025588A (en) 2008-12-30 2010-07-01 Ind Tech Res Inst Phase-change memory devices and methods for fabricating the same
JP2010165803A (ja) 2009-01-14 2010-07-29 Toshiba Corp 半導体記憶装置の製造方法及び半導体記憶装置
US8021897B2 (en) * 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
JP5044586B2 (ja) 2009-02-24 2012-10-10 株式会社東芝 半導体記憶装置
EP2437499A4 (en) 2009-05-29 2013-01-23 Mitsubishi Electric Corp ENCODER AND VIDEO DECODER, VIDEO ENCODING AND DECODING METHOD
US8227783B2 (en) 2009-07-13 2012-07-24 Seagate Technology Llc Non-volatile resistive sense memory with praseodymium calcium manganese oxide
US8207064B2 (en) 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
US8274130B2 (en) 2009-10-20 2012-09-25 Sandisk 3D Llc Punch-through diode steering element
WO2011064801A1 (en) 2009-11-30 2011-06-03 Andrea Redaelli Memory including a low thermal budget selector switch on a variable resistance memory cell
US8298887B2 (en) 2009-12-03 2012-10-30 Applied Materials, Inc. High mobility monolithic p-i-n diodes
JP5439147B2 (ja) 2009-12-04 2014-03-12 株式会社東芝 抵抗変化メモリ
US8385100B2 (en) 2009-12-08 2013-02-26 Intel Corporation Energy-efficient set write of phase change memory with switch
US8045364B2 (en) 2009-12-18 2011-10-25 Unity Semiconductor Corporation Non-volatile memory device ion barrier
TWI416661B (zh) 2009-12-29 2013-11-21 Ind Tech Res Inst 空隙製造方法、電阻式記憶元件及其製造方法
JP5732827B2 (ja) 2010-02-09 2015-06-10 ソニー株式会社 記憶素子および記憶装置、並びに記憶装置の動作方法
US8848430B2 (en) 2010-02-23 2014-09-30 Sandisk 3D Llc Step soft program for reversible resistivity-switching elements
US8237146B2 (en) 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
DE102010002454A1 (de) 2010-02-26 2011-09-01 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
KR20130007572A (ko) 2010-03-16 2013-01-18 쌘디스크 3디 엘엘씨 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극
US8564070B2 (en) 2010-05-24 2013-10-22 Chengdu Haicun Ip Technology Llc Large bit-per-cell three-dimensional mask-programmable read-only memory
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
JP5981424B2 (ja) 2010-06-11 2016-08-31 クロスバー, インコーポレイテッドCrossbar, Inc. メモリー素子に関する柱状構造及び方法
US8274812B2 (en) 2010-06-14 2012-09-25 Crossbar, Inc. Write and erase scheme for resistive memory device
US8351241B2 (en) 2010-06-24 2013-01-08 The Regents Of The University Of Michigan Rectification element and method for resistive switching for non volatile memory device
US9508425B2 (en) 2010-06-24 2016-11-29 The Regents Of The University Of Michigan Nanoscale metal oxide resistive switching element
WO2012001960A1 (ja) 2010-07-01 2012-01-05 パナソニック株式会社 不揮発性メモリセル、不揮発性メモリセルアレイ、およびその製造方法
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US20120007035A1 (en) 2010-07-12 2012-01-12 Crossbar, Inc. Intrinsic Programming Current Control for a RRAM
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US20120033479A1 (en) 2010-08-06 2012-02-09 Lsi Corporation Modification of logic by morphological manipulation of a semiconductor resistive element
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8315079B2 (en) 2010-10-07 2012-11-20 Crossbar, Inc. Circuit for concurrent read operation and method therefor
EP2630799A4 (en) 2010-10-20 2014-07-02 Nokia Corp METHOD AND DEVICE FOR VIDEO CODING AND DECODING
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
US8258020B2 (en) 2010-11-04 2012-09-04 Crossbar Inc. Interconnects for stacked non-volatile memory device and method
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
CN102064739B (zh) 2010-11-28 2013-10-30 吴世永 用于太阳能供电装置夜间铺设发光板的驱动机构
CN102479925A (zh) 2010-11-30 2012-05-30 中国科学院微电子研究所 具有高变比能力的电阻转变存储器结构及其制备方法
CA2722993A1 (fr) 2010-12-01 2012-06-01 Ecole De Technologie Superieure Systeme d'ecodage video parallele multitrames et multitranches avec encodage simultane de trames predites
US8557654B2 (en) 2010-12-13 2013-10-15 Sandisk 3D Llc Punch-through diode
JP2012133836A (ja) 2010-12-20 2012-07-12 Toshiba Corp 抵抗変化型メモリ
KR101157105B1 (ko) 2011-02-14 2012-06-22 동국대학교 산학협력단 그라핀 옥사이드의 저항 스위칭 특성을 이용한 비휘발성 메모리 소자 및 이의 제조 방법
JP2012199336A (ja) 2011-03-18 2012-10-18 Sony Corp 記憶素子および記憶装置
US8320160B2 (en) 2011-03-18 2012-11-27 Crossbar, Inc. NAND architecture having a resistive memory cell connected to a control gate of a field-effect transistor
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8525290B2 (en) 2011-06-24 2013-09-03 Macronix International Co., Ltd. Method of forming memory cell access device
CN103828047A (zh) 2011-07-22 2014-05-28 科洛斯巴股份有限公司 用于非易失性存储器装置的p+硅锗材料的种子层及方法
US8716098B1 (en) * 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008147343A (ja) * 2006-12-08 2008-06-26 Sharp Corp 不揮発性半導体記憶装置
WO2010026654A1 (ja) * 2008-09-05 2010-03-11 株式会社 東芝 記憶装置
US20100085798A1 (en) * 2008-10-08 2010-04-08 The Regents Of The University Of Michigan Silicon-based nanoscale resistive device with adjustable resistance

Also Published As

Publication number Publication date
US20120015506A1 (en) 2012-01-19
CN102332531A (zh) 2012-01-25
US20150200362A1 (en) 2015-07-16
JP2012023374A (ja) 2012-02-02
EP2408035A3 (en) 2014-11-26
JP5863302B2 (ja) 2016-02-16
EP2408035A2 (en) 2012-01-18
US9012307B2 (en) 2015-04-21
KR20120006942A (ko) 2012-01-19
CN102332531B (zh) 2016-01-20

Similar Documents

Publication Publication Date Title
KR101860508B1 (ko) 2 단자 저항성 스위칭 디바이스 구조 및 제조 방법
US9755143B2 (en) On/off ratio for nonvolatile memory device and method
US9412789B1 (en) Stackable non-volatile resistive switching memory device and method of fabricating the same
US8993397B2 (en) Pillar structure for memory device and method
US9735358B2 (en) Noble metal / non-noble metal electrode for RRAM applications
US7719039B2 (en) Phase change memory structures including pillars
US7566628B2 (en) Process for making a resistive memory cell with separately patterned electrodes
US8097873B2 (en) Phase change memory structures
US9412790B1 (en) Scalable RRAM device architecture for a non-volatile memory device and method
US8716098B1 (en) Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US8829482B1 (en) Variable impedance memory device structure and method of manufacture including programmable impedance memory cells and methods of forming the same
US11335852B2 (en) Resistive random access memory devices
US9673255B2 (en) Resistive memory device and fabrication methods
US20240196626A1 (en) Structures for three-terminal memory cells
US20240194255A1 (en) Structures for three-terminal memory cells
US20120309188A1 (en) Method to improve adhesion for a silver filled oxide via for a non-volatile memory device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant