JP5863302B2 - 二端子抵抗性スイッチングデバイス構造及びその製造方法 - Google Patents

二端子抵抗性スイッチングデバイス構造及びその製造方法 Download PDF

Info

Publication number
JP5863302B2
JP5863302B2 JP2011153349A JP2011153349A JP5863302B2 JP 5863302 B2 JP5863302 B2 JP 5863302B2 JP 2011153349 A JP2011153349 A JP 2011153349A JP 2011153349 A JP2011153349 A JP 2011153349A JP 5863302 B2 JP5863302 B2 JP 5863302B2
Authority
JP
Japan
Prior art keywords
switching
overlying
region
switching element
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011153349A
Other languages
English (en)
Other versions
JP2012023374A (ja
Inventor
サン ヒョン ジョー,
サン ヒョン ジョー,
スコット ブラッド ハーナー,
スコット ブラッド ハーナー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Crossbar Inc
Original Assignee
Crossbar Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Crossbar Inc filed Critical Crossbar Inc
Publication of JP2012023374A publication Critical patent/JP2012023374A/ja
Application granted granted Critical
Publication of JP5863302B2 publication Critical patent/JP5863302B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8416Electrodes adapted for supplying ionic species
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、一般に二端子デバイスに関連する。より詳しくは、本実施形態は、二端子スイッチングデバイスのための方法及び構造を提供する。二端子スイッチングデバイスは、ランダムアクセス及び高速スイッチング特性を有する不揮発性抵抗性スイッチングメモリーとして使用可能である。
半導体デバイスの成功は、トランジスターの小型化プロセスによって促進されてきた。しかしながら、フィールド効果トランジスター(FET)のサイズが100nm未満に近づくにつれ、適切なデバイス動作を妨げる問題(例:ショートチャネル効果)が生じ始めた。さらに、このような100nm以下のデバイスサイズは、サブスレショルドでのスロープの非スケーリングに繋がり、ワット損を増大させる。トランジスターベースのメモリー(例:フラッシュとして一般に知られているもの)は、10年以内にスケーリングに終わりが近づくと一般に信じられている。フラッシュメモリーは、不揮発性メモリーデバイスの一つのタイプである。
他の不揮発性ランダムアクセスメモリー(RAM)デバイス(例:強誘電RAM(FeRAM)、磁気抵抗RAM(MRAM)、有機RAM(ORAM)、及び相変化RAM(PCRAM)などは、次世代のメモリーデバイスとして開発されてきた。これらのデバイスは、メモリーセルを形成するためにシリコンベースのデバイスと結合するための新たな材料及びデバイス構造を必要とする場合が多く、1又は複数のキーとなる属性が欠けている。例えば、Fe-RAM及びMRAMデバイスは、高速スイッチング特性及び良好なプログラミング耐性を有するが、その製造は、CMOS互換ではなく、サイズが通常大きい。ジュール加熱を用いたPCRAMデバイス用のスイッチングは、本質的に電力消費が大きい。有機RAMすなわちORAMは、大量のシリコンベースの製造との互換性がなく、デバイス信頼性が通常は低い。
以上より、改善された半導体メモリーデバイス及び技術が望まれている。
本発明は、一般に二端子デバイスに関連する。より詳しくは、本実施形態は、二端子スイッチングデバイスのための方法及び構造を提供する。二端子スイッチングデバイスは、不揮発性抵抗性スイッチングメモリーデバイスに適用されてきた。しかし、本発明は、より広い適用範囲を有しうることを認識すべきである。
特定の実施形態では、二端子スイッチングデバイスを形成するための方法が提供される。この方法は、基板を提供すること及び基板の表面領域に重なる第1誘電体材料を形成することを含む。下部配線材料は、誘電体材料に重なるように堆積される。この方法は、下部配線材料に重なるコンタクト材料を堆積すること及びコンタクト材料を含む下部配線材料に重なるスイッチング材料を堆積することを含む。ある実施形態では、コンタクト材料は、任意的である。この方法は、スイッチング材料に重なるマスキング層を形成する。特定の実施形態では、この方法は、下部配線材料、コンタクト材料、及びスイッチング材料に対して、マスキング層を使用して第1エッチングプロセスを行って、第1構造を形成する。第1構造は、下部配線構造及びスイッチング素子を含む。第1構造は、上部表面領域及びサイド領域を有する。特定の実施形態では、上部表面領域は、スイッチング素子の上部領域を含む。この方法は、少なくとも、スイッチング素子の露出された上部領域を含む第1構造に重なり且つ第1誘電体材料の露出部分に重なる第2誘電体材料を堆積することを含む。この方法は、第2誘電体材料のうち第1構造に重なる部分を維持しながら、少なくとも第1構造に重なる第2誘電体材料表面を平坦化することを含む。開口領域は、第2誘電体層の一部に形成され第1構造の上部表面領域の一部を露出させる。この方法は、次に、開口領域に重なる導電性材料を堆積する。導電性材料は、特定の実施形態では、スイッチング素子と直接接触する。上部配線材料は、少なくとも導電性材料に重なるように形成され、第2エッチングプロセスは、少なくとも上部配線構造を形成するように用いられる。特定の実施形態では、第1構造のサイド領域は、第2エッチングプロセスからの汚染物導電性材料がないスイッチング素子の第1サイド領域を含む。
代替的な実施形態では、二端子スイッチングデバイスを形成するための方法が提供される。この方法は、基板を提供すること及び基板の表面領域に重なる第1誘電体材料を形成することを含む。下部配線材料は、誘電体材料に重なるように堆積される。この方法は、下部配線材料に重なるコンタクト材料を堆積すること及びコンタクト材料を含む下部配線材料に重なるスイッチング材料を堆積することを含む。ある実施形態では、コンタクト材料は、任意的である。この方法は、スイッチング材料に重なるマスキング層を形成する。
特定の実施形態では、この方法は、下部配線材料、コンタクト材料、及びスイッチング材料に対してマスキング層を使用して第1エッチングプロセスを行って第1構造を形成する。第1構造は、下部配線構造及びスイッチング素子を含む。第1構造は、上部表面領域及びサイド領域を有する。特定の実施形態では、上部表面領域は、スイッチング素子の上部領域を含む。この方法は、少なくとも、スイッチング素子の露出された上部領域を含む第1構造及び第1誘電体材料の露出部分に重なる第2誘電体材料を堆積することを含む。この方法は、第2誘電体材料のうち第1構造に重なる部分を維持しながら、少なくとも第1構造に重なる第2誘電体材料表面を平坦化することを含む。開口領域は、第2誘電体層の一部に形成され第1構造の上部表面領域の一部を露出させる。
この方法は、特定の実施形態では、開口領域を含む第2誘電体材料に重なり且つスイッチング素子と直接接触する導電性材料を堆積する。この方法は、導電性材料に重なる第1密着層を堆積すること及び第1密着層及び導電性材料に対して第2パターン及びエッチングプロセスを行うことを含む。第2パターン及びエッチングプロセスは、少なくとも開口領域において少なくとも導電性材料及び密着層を維持しながら、導電性材料の一部及び第1密着層の一部を除去して第2誘電体材料の表面領域を露出させる。上部配線材料は、第1密着層に重なり且つ第2誘電体層の露出部分に重なるように形成される。特定の実施形態では、上部配線材料に対して第2パターニング及びエッチングプロセスを行って上部配線構造を形成する。特定の実施形態では、第1構造のサイド領域は、少なくとも第2パターン及びエッチングプロセスからの汚染物導電性材料がない第1スイッチング素子のサイド領域を含み、上部配線構造と下部配線構造の間にショートが起こらない。
本発明によって多くの利点を得ることができる。単なる例として、本方法は、上部電極として金属を使用してスイッチングデバイスを製造するための方法及び構造を提供する。上側電極のエッチングの間にスイッチング材料の側壁を露出させないことによって、この方法は、欠陥(例:上部電極と下部電極の間のショート)がないデバイス構造を提供し、これによってデバイス性能及びデバイス収率を改善する。
図1は、クロスバー配置に配置された二端子スイッチングデバイスを示す単純化した図である。
図2は、スイッチングデバイスの電極間のショートを示す単純化した図である。
図3-16は、本発明の一実施形態による、二端子スイッチングデバイスを形成する方法を示す単純化した図である。
図17-19は、本発明の一実施形態による、二端子スイッチングデバイスを形成する代替的な方法を示す単純化した図である。
図20-21は、本発明の一実施形態による、二端子スイッチングデバイスを形成するさらに別の方法を示す単純化した図である。
図22-23は、本発明の一実施形態による、二端子スイッチングデバイスを形成する方法を示す単純化した図である。
本発明は、一般に二端子デバイスに関連する。より詳しくは、本実施形態は、二端子スイッチングデバイスのための方法及び構造を提供する。二端子スイッチングデバイスは、ランダムアクセス、高速スイッチングを提供する不揮発性抵抗性スイッチングメモリーデバイスで使用可能であり、非常に小さいサイズにすることができる。しかし、本発明は、はるかに広い適用範囲を有しうることを認識すべきである。
抵抗性スイッチングを使用するスイッチングデバイスに対して、選択された材料が電極又は配線構造のそれぞれに対して用いられる。例えば、ニッケル酸化物-ベースの抵抗性スイッチングデバイスに対しては、デバイスに対してオーミックコンタクトを提供し、且つスイッチング材料との化学反応を防ぐために貴金属(例:白金)が用いられる。ある固体電解質ベースの(例えば、GeSe)スイッチングデバイス又はアモルファスシリコンベースのスイッチングデバイスは、スイッチング性能を高めるために電極材料又はコンタクト材料の少なくとも1つとして銀を用いる。これらの金属材料は、現在のCMOS製造では一般には用いられない。特に、その不活性性質のために、これらの材料の化学エッチングが特に困難又は不可能であり、ナノ-スケールデバイスの製造が難しい。
図1は、クロスバー配置での抵抗性スイッチングデバイスの単純化した図である。但し、他の空間配置も可能である。抵抗性スイッチングデバイス100は、上部配線構造102、下部配線構造104、及び上部配線構造と下部配線構造の間に挟まれた交点領域に構成されたスイッチング層106を含む。例えば、上部配線構造は、少なくとも銀、金、白金、パラジウム又は他の金属材料を含み、スイッチング層は、実施形態によってはカルコゲナイド材料(例:金属酸化物材料又はアモルファスシリコン材料)を含んでもよい。
図2は、部分的に形成されたデバイス202を示す。部分的に形成されたデバイスは、下部配線構造208、スイッチング素子210 及び上部金属配線構造204を含む。部分的に形成されたデバイスは、上部配線材料204とスイッチング層210の同時エッチングによって形成される。上部配線構造は、あるスイッチングデバイス用の不活性金属からなり、物理エッチング(例:スパッタエッチング)である。このエッチングステップは、図示のように、スイッチング層の側壁上に堆積された汚染物導電性材料206の形成を引き起こし得る。汚染物導電性材料は、上部配線構造又は下部配線構造又は両方からエッチングされた材料に由来し、上部配線構造204と下部配線構造208の間に電気ショートを引き起こし、デバイス性能及び収率を低下させる。
従って、本発明は、スイッチングデバイスを形成するための方法及び構造、特に、配線構造の一つ又は配線構造の両方として少なくとも貴金属を使用した抵抗性スイッチングデバイスを提供する。しかし、本発明による実施形態が他のデバイスに適用可能であることは認識されるであろう。
図3-16は、本発明の一実施形態による、スイッチングデバイスを製造する方法を示す。この方法は、表面領域304を含む基板302を提供することを含む。この基板は、半導体基板(例:シリコンウェハーなど)であってもよい。ある実施形態では、この基板は、その上に形成された1又は複数のデバイスを含んでもよい。この1又は複数のデバイスは、実施形態によっては、CMOSデバイスなどを含んでもよい。図4に示すように、この方法は、基板の表面領域に重なる第1誘電体材料402を形成することを含む。第1誘電体材料は、シリコン酸化物又はシリコン窒化物、又は異なる誘電体膜の組み合わせを含む適切な誘電体膜スタックであってもよい。第1誘電体材料は、技術(例:プラズマCVDを含む化学堆積、スピンコーティング、これらの技術の組み合わせ、など)を使用して形成可能である。
図5を参照すると、この方法は、第1誘電体材料に重なる第1密着層502を堆積する。第1密着層は、タングステン窒化物、チタン、窒化チタン、タンタル又はタンタル窒化物又はこれらの膜の任意の組み合わせなどであってもよい。第1密着層は、化学堆積(例:化学気相堆積又は原子層堆積など)を使用して形成可能である。他の用途では、用途によっては、物理気相堆積(例:スパッタリング)が使用可能である。図6に示すように、下部配線材料602は、第1密着層に重なるように形成される。下部配線構造材料は、実施形態によっては、銅、タングステン、アルミニウムなどの適切な金属材料であってもよい。下部配線材料は、技術(例:物理気相堆積プロセス、例えば、スパッタリング、又はエバポレーション)を使用して堆積可能である。下部配線材料は、化学気相堆積、又は電気化学方法(例:液体媒体からの電気メッキ又は無電解メッキ)、又は組み合わせを含む適切な堆積技術を使用しても堆積可能である。第1密着層は、特定の実施形態では第1配線材料と第1誘電体材料用の接着層を提供する。
図7に示すように、スイッチングデバイスを形成する方法は、下部配線構造材料に重なる第2密着層702を堆積することを含む。第2密着層は、例えば、次に形成されるスイッチング層材料又はコンタクト材料と下部配線構造材料の化学反応を防ぐためのバリヤー層又はブロッキング層であってもよい。第2密着層は、実施形態によってはチタン、窒化チタン、タンタル、タンタル窒化物、タングステン、タングステン窒化物などであってもよい。
図8を参照すると、この方法は、特定の実施形態では第2密着層に重なるコンタクト材料802を形成することを含む。このコンタクト材料は、ドープされた半導体材料(例:ドープされた多結晶シリコン(以下、特定の実施形態ではポリシリコン材料と称する。))であってもよい。ポリシリコン材料は、特定の実施形態では下部配線材料とアモルファスシリコンスイッチング材料の間のコンタクト層として用いられる。好ましい実施形態では、ドープされたポリシリコン材料は、不純物(例:ボロンなど)を使用してp+ドープされる。特定の実施形態では、ボロンは、約10E18〜10E21cm-3の範囲の濃度を有する。ある実施形態では、ポリシリコン材料は、スイッチングデバイスの性能を高めるためにさらに処理されてもよい。例えば、欠陥又はナノ金属材料がスイッチングデバイスの性能を高めるためにドープされたポリシリコン材料の表面領域に形成可能である。特定の実施形態では、ポリシリコン材料は、アモルファスシリコンスイッチング材料のスイッチング性を制御及び改善することを可能にする。他のスイッチング材料(例:金属酸化物など)に対しては、他のコンタクト材料が使用可能であり、又はコンタクト層は、なくてもよい。もちろん、当業者は、他のバリエーション、修正、及び代替を認識するであろう。
特定の実施形態では、この方法は、図9に示すように、コンタクト材料に重なるスイッチング材料902を形成する。このスイッチング材料は、アンドープのアモルファスシリコン材料であってもよい。アンドープのアモルファスシリコン材料は、実施形態によっては化学気相堆積法又は物理気相堆積法を使用して堆積可能である。化学堆積法は、シラン、ジシラン、適切なクロロシラン、又はガスを含む適切なシリコンを前駆体として使用する化学気相堆積プロセスを含んでもよい。特定の実施形態では、アンドープのアモルファスシリコン材料は、プラズマCVD(PECVD)プロセス又は低圧CVD(LPCVD)プロセスを使用して堆積してもよい。アモルファスシリコン材料用の堆積温度は、約200℃〜約450℃、好ましくは約350℃〜約400℃の範囲にすることができる。実施形態によっては、アモルファスシリコン材料は、約50Å〜約1000Åの範囲の厚さで提供されてもよい。好ましい実施形態では、アモルファスシリコン材料は、約100Å〜約500Åの範囲の厚さで提供される。
図10を参照すると、この方法は、スイッチング材料に重なるマスキング層1002を形成することを含む。このマスキング層は、実施形態によっては、適切な有機フォトレジスト材料、又は無機ハードマスク、又はこの2つの組み合わせであってもよい。このハードマスクは、用途によっては、誘電体材料(例:シリコン酸化物又はシリコン窒化物など)から形成可能である。このハードマスクは、実施形態によっては、金属ハードマスクであってもよい。
特定の実施形態では、この方法は、図11に示すように、マスキング層をマスクとして使用して、スイッチング材料、コンタクト材料、及び下部配線構造材料に対して第1エッチングプロセスを行い、第1構造1102を形成する。第1エッチングプロセスは、第1誘電体材料の一部を選択的に除去して、第1誘電体材料の上部表面領域1108を露出させる。第1構造は、特定の実施形態では、少なくとも、下部配線構造1104、及びスイッチング素子1106を含む。このスイッチング素子は、少なくとも第1サイド領域1110を含む。用いたハードマスクによっては、エッチング後のハードマスクの残りの部分は、除去してもよい。別の実施形態では、シリコン酸化物を使用したハードマスク及びシリコン酸化物材料を使用した第2誘電体層の場合、ハードマスクは、特定の実施形態では、エッチング後にそのままにしてもよい。
図12を参照すると、この方法は、第1構造に重なり且つ第1誘電体層の露出部分に重なる第2誘電体層を堆積することを含む。第2誘電体層は、実施形態によっては、シリコン酸化物材料又はシリコン窒化物材料又は組み合わせを含んでもよい。特定の実施形態では、第2誘電体層は、TEOS(オルトケイ酸テトラエチル)を前駆体として使用するプラズマCVDプロセスを使用して堆積されたシリコン酸化物であってもよい。シリコン酸化物材料は、スピンオンガラス(SOG)技術とそれに続く適切な硬化プロセスを使用して形成してもよい。又はスピンオンガラス及び化学気相堆積の組み合わせが用途によっては使用可能である。
特定の実施形態では、この方法は、図13に示すように、平坦化プロセスを用いて平坦化された誘電体表面1302を形成する。これは、特定の実施形態では、第2誘電体材料の化学機械研磨、又は非等方性化学エッチング又はブランケットエッチングによって達成してもよい。図示のように、特定の実施形態では、第2誘電体材料の一部1304は、スイッチング素子の上部領域の上に重なって維持される。特定の実施形態では、この方法は、図14に示すように、第2誘電体材料の一部に開口領域1402を形成してスイッチング素子の上部領域の一部を露出させることを含む。この開口領域は、特定の実施形態では、第2パターニング及びエッチングプロセスを使用することによって形成される。例えば誘電体材料としての二酸化シリコンに対しては、エッチングプロセスは、ドライエッチング(例:エッチングガスとしてCF4、SF6、又はNF3を使用するフッ素-ベースのエッチング)であってもよい。適切なウェットエッチング技術(例:HF-ベースのエッチング)も実施形態によっては使用可能である。
特定の実施形態では、この方法は、スイッチング素子の露出された上部領域を含む開口領域に重なる導電性材料1502を堆積する。図示のように、この導電性材料は、特定の実施形態では、開口領域に実質的に沿った形状であり、スイッチング素子に接触する。特定の実施形態では、アモルファスシリコンスイッチング材料については、導電性材料は、銀材料を含んでもよい。銀材料は、物理気相堆積プロセス(例:スパッタリング又はエバポレーション)を使用して堆積可能である。この銀材料は、用途によっては、化学堆積プロセス(例:化学気相堆積)、電気化学方法(例:電気メッキ、又は無電解メッキ)又は組み合わせ)を使用して形成してもよい。この方法は、図15に示すように、導電性材料に重なる第3密着層1504を堆積する。第3密着層は、特定の実施形態では、導電性材料、例えば、銀材料を酸化から保護するためのバリヤー層として機能し得る。第3密着層1504は、導電性材料1502と次の層の間の拡散バリヤーとして役立つことができ、導電性材料と次の層の間の電気コンタクトを形成する。第3密着層1504は、実施形態によっては、チタン、窒化チタン、タンタル又はタンタル窒化物、タングステン、又はタングステン窒化物であってもよい。用途によっては、第3密着層1504は、化学堆積(例:原子層堆積、化学気相堆積、など)、又は物理堆積(例:スパッタリング)を使用して形成可能である。
図16を参照すると、この方法は、バリヤー層に重なる上部配線材料1602を形成する。上部配線材料は、実施形態によっては、タングステン、アルミニウム、銅、などであってもよい。上部配線構造材料は、技術(例:物理気相堆積プロセス(例:スパッタリング、エバポレーションなど))を使用して堆積してもよい。上部配線構造材料は、実施形態によっては、化学堆積(例:化学気相堆積)を使用して、又は電気メッキ及び無電解メッキを含む電気化学的に堆積してもよい。
特定の実施形態では、この方法は、バリヤー層及び導電性材料と共に上部配線材料に対して第2パターン及びエッチングプロセスを行ってスイッチングデバイス用の上部配線構造を形成することを含む。特定の実施形態では、上部配線構造及び下部配線構造は、空間的に角度を設けて配置される。ある実施形態では、第1配線構造及び第2配線構造は、空間的に直交させて配置される。スイッチング素子及び下部配線構造を含む第1構造は、上部配線材料のエッチングの間に誘電体材料内に埋め込まれているので、第1構造のサイド領域は、特定の実施形態では、堆積された材料(例:少なくとも上部配線材料及び導電性材料のエッチングから生じた汚染物導電性材料)から保護される。上部配線構造及び下部配線構造の間のショートは、このように回避される。
特定の実施形態では、導電性材料は、適切な電圧が上部配線構造又は下部配線構造へ印加されたときにスイッチング材料内にフィラメント構造を含む複数の導電性材料粒子を形成して、スイッチング材料の抵抗特性を変化させる。導電性材料として銀材料、スイッチング材料としてアモルファスシリコンを例とすると、上部配線構造へ正電圧を印加すると、複数の銀粒子がアモルファスシリコン材料の欠陥領域に形成される。複数の銀粒子は、長さを有する銀フィラメント構造を含んでもよい。銀フィラメント構造の長さは、適切な電圧を印加して、変化させることができる。このように、アモルファスシリコン材料の抵抗を変化させることは、デバイスの抵抗性スイッチングを可能にする。このようなデバイス構造は、2007年10月19日に出願された米国出願No.11/875,541で説明されている。この出願人は、本願と同一人に譲渡されており、その全体がここに参照取り込みされる。
実施形態によっては、図17a、17b、18、及び19に示すように、他のバリエーションであってもよい。例えば、図15のように導電性材料1502及び第3密着層1504を堆積した後、この方法は、パターン及びエッチングプロセスを実行して導電性材料1502の第1部分及び第3バリヤー層1504の第1部分を除去し、第2誘電体材料の表面領域1702を露出させることができる。図示のように、導電性材料の第2部分及び第3バリヤー層の第2部分は、図17a及び図17bに示すように、少なくとも開口領域において維持される。導電性材料の第2部分は、スイッチング素子に接触したままである。この方法は、次に、図18に示すように、第2誘電体材料の露出された表面領域に重なり且つ開口領域においては第3密着層に重なる第4バリヤー層1802を堆積する。
図19を参照する。代替的な方法は、第4密着層に重なる上部配線材料1902を堆積し、パターン及びエッチングプロセスを実行して、上部配線構造を形成する。特定の実施形態では、上部配線構造及び下部配線構造は、特定の実施形態では、角度を設けて空間的に配置され、クロスバー配置を形成する。
実施形態によっては、図20-21に示すようにさらに別のバリエーションであってもよい。例えば、図12で中間形成された構造を取り上げると、図20に示すように、第2誘電体層1202は、平坦化され、スイッチング素子の表面領域2004を露出させ、実質的に平坦化された第2誘電体表面2002を形成する。導電性材料2102は、図21に示すように、スイッチング素子及び平坦化された第2誘電体表面に重なるように堆積される。図示のように、導電性材料は、スイッチング素子に接触する。第3密着層2104は、導電性材料に重なるように形成され、上部配線材料2106は、密着層2104に重なるように堆積される。この方法は、導電性材料、第3密着層、及び上部配線材料に対してパターン及びエッチングプロセスを行い、上部配線構造を形成する。特定の実施形態では、上部配線構造は、下部配線構造に対して角度を設けて空間的に配置される。特定の実施形態では、アモルファスシリコン材料を使用するスイッチング素子に対しては、導電性材料として銀材料が使用可能である。第3密着層は、チタン、窒化チタン、タンタル、タンタル窒化物、タングステン窒化物などであってもよい。
さらに、用途によっては、図22及び23に示すように、他のバリエーションであってもよい。例えば、図22に示すように、図14での開口領域1402は、導電性材料2202で充填して、プラグ状構造を形成してもよい。図示のように、導電性材料2202は、スイッチング素子に接触する。図23に示すように、密着層2302は、導電性材料に重なるように形成され、上部配線材料2304は、密着層に重なるように形成される。特定の実施形態では、この方法は、次に、パターン及びエッチングプロセスを実行して、下部配線構造に対して角度を設けて上部配線構造を形成する。特定の実施形態では、上部配線構造は、下部配線構造に対して直交するように空間的に配置される。
従って、本発明による実施形態は、上部配線構造と下部配線構造の間のショートがないスイッチングデバイスを形成するための方法を提供する。本方法は、上部配線材料及び下部配線材料としてAg/アモルファスシリコン/p+ポリシリコン配置及びタングステン材料を有するデバイス構造に適用された。本方法は、不活性金属又は貴金属を用いたデバイスの製造に適用してもよいことを認識すべきである。このようなデバイスの例は、スイッチング材料として金属酸化物を使用するスイッチングデバイスを含んでもよく、上部配線材料又は下部配線材料の少なくとも1つが金属酸化物スイッチング材料と化学反応しないように不活性である。上部不活性配線材料のエッチングは、物理エッチングを使用して実現できる。上部配線材料又は下部配線材料などからエッチングされた導電体材料のスイッチング素子のサイド領域上への再堆積は、上部電極と下部電極の間のショートを形成し、デバイス性能及び収率に影響を与える場合がある。
ここで説明した例及び実施形態は、例示の目的のみであり、これを踏まえると種々の修正又は代替が当業者に提案され、この出願の精神及び範囲及び添付の請求項の範囲内に含まれることは理解されるであろう。

Claims (13)

  1. 二端子スイッチングデバイスを形成するための方法であって
    記基板の表面領域に重なる第1誘電体材料を形成することと、
    前記誘電体材料に重なる下部配線材料を堆積することと、
    前記下部配線材料に重なるコンタクト材料を堆積することと、
    前記コンタクト材料に重なるスイッチング材料を堆積することと、
    前記スイッチング材料に重なるマスキング層を形成し、前記スイッチング材料の一部分を露出させることと、
    前記マスキング層を使用した第1エッチングプロセスによって、前記下部配線材料、前記コンタクト材料、及び前記スイッチング材料に対してエッチングを行い、上部表面領域及び第1サイド領域を有する第1構造を形成することを備え、第1構造は、少なくとも下部配線構造及び前記スイッチング素子を含み、スイッチング素子は、第サイド領域を有し、前記上部表面領域は、前記スイッチング素子の露出領域を含み、
    少なくとも、前記スイッチング素子の前記露出領域を含む第1構造に重なり且つ第1誘電体材料の露出部分に重なる第2誘電体材料を堆積することと、
    前記第2誘電体材料の一部が前記スイッチング素子の上部領域の上に重なって維持されるように、平坦化プロセスによって前記第2誘電体材料を処理して平坦化することと、
    第2誘電体材料の一部に開口領域を形成してスイッチング素子の上部領域の一部を露出させることと、
    第2誘電体材料、前記開口領域、及び前記スイッチング素子の上部領の一部に重なる導電性材料を堆積することを備え、前記導電性材料は、前記スイッチング素子と接触し、
    少なくとも前記導電性材料に重なる上部配線材料を堆積することと、
    第2エッチングプロセスによって前記上部配線材料に対してエッチングを行って上部配線構造を形成することを備え、
    前記スイッチング素子の第サイド領域を含む前記第1構造の第1サイド領域、汚染物導電性材料がないよう維持される、方法。
  2. 請求項1の方法であって、
    前記上部配線構造及び下部配線構造は、クロスバー配置で空間的に配置されている、方法。
  3. 請求項1又は請求項2の方法であって、
    前記上部配線材料は、第1密着材料又は第1バリヤー材料をさらに備え、
    第1密着材料又は第1バリヤー材料は、チタン、窒化チタン、タンタル、タンタル窒化物、又はタングステン窒化物を含む、方法。
  4. 請求項1〜請求項3の何れかに記載の方法であって、
    前記下部配線材料は、第2密着材料又は第2バリヤー材料をさらに備え、前記第2密着材料又は第2バリヤー材料は、チタン、窒化チタン、タンタル、タンタル窒化物、又はタングステン窒化物を含む、方法。
  5. 請求項1〜請求項4の何れかに記載の方法であって、
    前記基板は、その上に製造された1又は複数のCMOSデバイスを含み、前記二端子スイッチングデバイスは、1又は複数のCMOSデバイスに作動的に結合されている、方法。
  6. 請求項1〜請求項5の何れかに記載の方法であって、
    前記コンタクト材料は、ポリシリコン材料を備える、方法。
  7. 請求項6の方法であって、
    前記ポリシリコン材料は、約10E17〜10E21cm -3 の範囲の濃度を有するボロンを使用してp+ドープされる、方法。
  8. 請求項6又は請求項7の方法であって、
    前記ポリシリコン材料は、約50Å〜約2000Åの範囲の厚さを有する、方法。
  9. 請求項6又は請求項7の方法であって、
    前記ポリシリコン材料は、約100Å〜約500Åの範囲の厚さを有する、方法
  10. 請求項1の方法であって、
    前記スイッチング材料は、アモルファスシリコン材料である、方法。
  11. 請求項1〜請求項10の何れかに記載の方法であって、
    前記導電性材料は、金属(例:金、白金、銀、パラジウム、ニッケル、又は銅(これらの任意の組み合わせを含む))を備える、方法。
  12. 請求項11の方法であって、
    前記導電性材料は、約50Å〜約2000Åの範囲の厚さを有する銀を含む、方法。
  13. 請求項11の方法であって、
    前記導電性材料は、約100Å〜約500Åの範囲の厚さを有する銀を含む、方法。
JP2011153349A 2010-07-13 2011-07-11 二端子抵抗性スイッチングデバイス構造及びその製造方法 Active JP5863302B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/835,704 US9012307B2 (en) 2010-07-13 2010-07-13 Two terminal resistive switching device structure and method of fabricating
US12/835,704 2010-07-13

Publications (2)

Publication Number Publication Date
JP2012023374A JP2012023374A (ja) 2012-02-02
JP5863302B2 true JP5863302B2 (ja) 2016-02-16

Family

ID=44581926

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011153349A Active JP5863302B2 (ja) 2010-07-13 2011-07-11 二端子抵抗性スイッチングデバイス構造及びその製造方法

Country Status (5)

Country Link
US (2) US9012307B2 (ja)
EP (1) EP2408035A3 (ja)
JP (1) JP5863302B2 (ja)
KR (1) KR101860508B1 (ja)
CN (1) CN102332531B (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
JP5981424B2 (ja) 2010-06-11 2016-08-31 クロスバー, インコーポレイテッドCrossbar, Inc. メモリー素子に関する柱状構造及び方法
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8841196B1 (en) 2010-09-29 2014-09-23 Crossbar, Inc. Selective deposition of silver for non-volatile memory device fabrication
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
US8258020B2 (en) 2010-11-04 2012-09-04 Crossbar Inc. Interconnects for stacked non-volatile memory device and method
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US20120261635A1 (en) * 2011-04-12 2012-10-18 Feng Zhou Resistive random access memory (ram) cell and method for forming
US8921155B2 (en) * 2011-04-12 2014-12-30 Freescale Semiconductor, Inc. Resistive random access memory (RAM) cell and method for forming
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
CN103828047A (zh) 2011-07-22 2014-05-28 科洛斯巴股份有限公司 用于非易失性存储器装置的p+硅锗材料的种子层及方法
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8716098B1 (en) * 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US8946667B1 (en) 2012-04-13 2015-02-03 Crossbar, Inc. Barrier structure for a silver based RRAM and method
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9070859B1 (en) 2012-05-25 2015-06-30 Crossbar, Inc. Low temperature deposition method for polycrystalline silicon material for a non-volatile memory device
US9257197B2 (en) * 2012-07-06 2016-02-09 Micron Technology, Inc. Apparatuses and/or methods for operating a memory cell as an anti-fuse
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US8796102B1 (en) 2012-08-29 2014-08-05 Crossbar, Inc. Device structure for a RRAM and method
US9312483B2 (en) * 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US9093635B2 (en) 2013-03-14 2015-07-28 Crossbar, Inc. Controlling on-state current for two-terminal memory
US9006699B2 (en) * 2013-03-26 2015-04-14 National Taiwan University Of Science And Technology Resistive random access memory using amorphous metallic glass oxide as a storage medium
WO2015016851A1 (en) * 2013-07-31 2015-02-05 Hewlett-Packard Development Company, L.P. Memristor and methods for making the same
US9437814B1 (en) * 2014-02-06 2016-09-06 Crossbar, Inc. Mitigating damage from a chemical mechanical planarization process
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US9184379B1 (en) * 2014-07-18 2015-11-10 Intermolecular, Inc. Capping thin-film resistors to control interface oxidation
CN105679932B (zh) * 2014-11-21 2018-10-16 中芯国际集成电路制造(上海)有限公司 电阻式随机存储器的形成方法
US10062845B1 (en) * 2016-05-13 2018-08-28 Crossbar, Inc. Flatness of memory cell surfaces
US10522754B2 (en) 2016-06-15 2019-12-31 Crossbar, Inc. Liner layer for dielectric block layer
US10749110B1 (en) 2016-07-15 2020-08-18 Crossbar, Inc. Memory stack liner comprising dielectric block layer material
US11088323B2 (en) 2018-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Top electrode last scheme for memory cell to prevent metal redeposit

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US680652A (en) 1897-11-08 1901-08-13 Leonard L Elden Circuit-breaker.
JPS56134757A (en) 1980-03-26 1981-10-21 Nec Corp Complementary type mos semiconductor device and its manufacture
DE3277665D1 (en) 1981-08-07 1987-12-17 British Petroleum Co Plc Non-volatile electrically programmable memory device
JPS6188578A (ja) 1984-10-08 1986-05-06 Nec Corp 非線形素子
JPH02181160A (ja) 1989-01-04 1990-07-13 Fuji Xerox Co Ltd 電子写真感光体
GB8910854D0 (en) 1989-05-11 1989-06-28 British Petroleum Co Plc Semiconductor device
US5614756A (en) * 1990-04-12 1997-03-25 Actel Corporation Metal-to-metal antifuse with conductive
JPH0770731B2 (ja) 1990-11-22 1995-07-31 松下電器産業株式会社 電気可塑性素子
US5335219A (en) 1991-01-18 1994-08-02 Ovshinsky Stanford R Homogeneous composition of microcrystalline semiconductor material, semiconductor devices and directly overwritable memory elements fabricated therefrom, and arrays fabricated from the memory elements
JPH05343316A (ja) 1991-09-30 1993-12-24 Nec Corp 半導体装置の製造方法
GB9122362D0 (en) 1991-10-22 1991-12-04 British Telecomm Resistive memory element
US5278085A (en) 1992-08-11 1994-01-11 Micron Semiconductor, Inc. Single mask process for forming both n-type and p-type gates in a polycrystalline silicon layer during the formation of a semiconductor device
US5538564A (en) 1994-03-18 1996-07-23 Regents Of The University Of California Three dimensional amorphous silicon/microcrystalline silicon solar cells
KR960005765A (ko) 1994-07-14 1996-02-23 모리시다 요이치 반도체 장치의 배선형성에 이용하는 무전해 도금욕 및 반도체 장치의 배선성형방법
US5457649A (en) 1994-08-26 1995-10-10 Microchip Technology, Inc. Semiconductor memory device and write-once, read-only semiconductor memory array using amorphous-silicon and method therefor
DE69606478T2 (de) 1995-03-28 2000-09-07 Koninkl Philips Electronics Nv Verfahren zur herstellung eines halbleiterbauteils mit bicmos schaltkreis
US5594363A (en) * 1995-04-07 1997-01-14 Zycad Corporation Logic cell and routing architecture in a field programmable gate array
US6420725B1 (en) 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
DE69734050T2 (de) 1996-06-05 2006-06-08 Koninklijke Philips Electronics N.V. Beschreibbares festwertspeicherbauelement und das herstellungsverfahren für solch ein bauelement
US5998244A (en) * 1996-08-22 1999-12-07 Micron Technology, Inc. Memory cell incorporating a chalcogenide element and method of making same
JP4034380B2 (ja) 1996-10-31 2008-01-16 株式会社東芝 画像符号化/復号化方法及び装置
TW307048B (en) * 1996-11-22 1997-06-01 United Microelectronics Corp High density read only memory structure and manufacturing method thereof
US6015997A (en) 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
US6133075A (en) 1997-04-25 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
GB9722149D0 (en) 1997-10-22 1997-12-17 Philips Electronics Nv Semiconductior memory devices
US6143642A (en) * 1997-12-22 2000-11-07 Vlsi Technology, Inc. Programmable semiconductor structures and methods for making the same
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6180998B1 (en) 1998-03-30 2001-01-30 Lsi Logic Corporation DRAM with built-in noise protection
JP2000012787A (ja) 1998-06-10 2000-01-14 Lucent Technol Inc 集積回路デバイスおよび集積回路に用いる抵抗性素子を形成する方法
US6603883B1 (en) 1998-09-08 2003-08-05 Canon Kabushiki Kaisha Image processing apparatus including an image data encoder having at least two scalability modes and method therefor
US6128214A (en) 1999-03-29 2000-10-03 Hewlett-Packard Molecular wire crossbar memory
JP2001189448A (ja) 1999-12-28 2001-07-10 Fujitsu Ltd 半導体装置及びその製造方法
US6563156B2 (en) 2001-03-15 2003-05-13 Micron Technology, Inc. Memory elements and methods for making same
EP1312120A1 (en) 2000-08-14 2003-05-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
AUPR148400A0 (en) * 2000-11-14 2000-12-07 Cochlear Limited Apparatus for delivery of pharmaceuticals to the cochlea
US6627530B2 (en) * 2000-12-22 2003-09-30 Matrix Semiconductor, Inc. Patterning three dimensional structures
US6436765B1 (en) 2001-02-09 2002-08-20 United Microelectronics Corp. Method of fabricating a trenched flash memory cell
US7102150B2 (en) 2001-05-11 2006-09-05 Harshfield Steven T PCRAM memory cell and method of making same
US6927430B2 (en) 2001-06-28 2005-08-09 Sharp Laboratories Of America, Inc. Shared bit line cross-point memory array incorporating P/N junctions
US6511862B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Modified contact for programmable devices
KR100860134B1 (ko) 2001-08-13 2008-09-25 어드밴스드 마이크로 디바이시즈, 인코포레이티드 메모리 셀
US6768157B2 (en) 2001-08-13 2004-07-27 Advanced Micro Devices, Inc. Memory device
US6858481B2 (en) 2001-08-13 2005-02-22 Advanced Micro Devices, Inc. Memory device with active and passive layers
US6838720B2 (en) 2001-08-13 2005-01-04 Advanced Micro Devices, Inc. Memory device with active passive layers
JP2005506703A (ja) 2001-10-16 2005-03-03 ミッドウエスト リサーチ インスティチュート 積層されたスイッチ可能素子およびダイオードの組み合わせ
US20030141565A1 (en) 2002-01-28 2003-07-31 Fumihiko Hirose Diode
JP3948292B2 (ja) * 2002-02-01 2007-07-25 株式会社日立製作所 半導体記憶装置及びその製造方法
US6643213B2 (en) 2002-03-12 2003-11-04 Hewlett-Packard Development Company, L.P. Write pulse circuit for a magnetic memory
US6858482B2 (en) * 2002-04-10 2005-02-22 Micron Technology, Inc. Method of manufacture of programmable switching circuits and memory cells employing a glass layer
JP4103497B2 (ja) * 2002-04-18 2008-06-18 ソニー株式会社 記憶装置とその製造方法および使用方法、半導体装置とその製造方法
US20040026682A1 (en) 2002-06-17 2004-02-12 Hai Jiang Nano-dot memory and fabricating same
TWI233204B (en) 2002-07-26 2005-05-21 Infineon Technologies Ag Nonvolatile memory element and associated production methods and memory element arrangements
US7020006B2 (en) 2002-08-02 2006-03-28 Unity Semiconductor Corporation Discharge of conductive array lines in fast memory
US6870755B2 (en) 2002-08-02 2005-03-22 Unity Semiconductor Corporation Re-writable memory with non-linear memory element
US6867996B2 (en) * 2002-08-29 2005-03-15 Micron Technology, Inc. Single-polarity programmable resistance-variable memory element
US6848012B2 (en) 2002-09-27 2005-01-25 Broadcom Corporation Method and system for an adaptive multimode media queue
US6873015B2 (en) 2002-10-02 2005-03-29 Micron Technology, Inc. Semiconductor constructions comprising three-dimensional thin film transistor devices and resistors
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7589343B2 (en) 2002-12-13 2009-09-15 Intel Corporation Memory and access device and method therefor
US6946719B2 (en) * 2003-12-03 2005-09-20 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US7176064B2 (en) 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7238607B2 (en) 2002-12-19 2007-07-03 Sandisk 3D Llc Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US8637366B2 (en) 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7800932B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7433253B2 (en) 2002-12-20 2008-10-07 Qimonda Ag Integrated circuit, method of operating an integrated circuit, method of manufacturing an integrated circuit, memory module, stackable memory module
US7606059B2 (en) 2003-03-18 2009-10-20 Kabushiki Kaisha Toshiba Three-dimensional programmable resistance memory device with a read/write circuit stacked under a memory cell array
US7729158B2 (en) 2003-04-03 2010-06-01 Kabushiki Kaisha Toshiba Resistance change memory device
EP1489622B1 (en) 2003-06-16 2007-08-15 STMicroelectronics S.r.l. Writing circuit for a phase change memory device
US7136300B2 (en) 2003-10-06 2006-11-14 Hewlett-Packard Development Company, Lp. Magnetic memory device including groups of series-connected memory elements
ES2302076T3 (es) 2003-11-10 2008-07-01 Matsushita Electric Industrial Co., Ltd Medio de grabacion, aparato de reproduccion, programa, metodo de reproduccion, circuito integrado del sistema.
US7682920B2 (en) 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7474000B2 (en) 2003-12-05 2009-01-06 Sandisk 3D Llc High density contact to relaxed geometry layers
US7034332B2 (en) * 2004-01-27 2006-04-25 Hewlett-Packard Development Company, L.P. Nanometer-scale memory device utilizing self-aligned rectifying elements and method of making
US7139198B2 (en) 2004-01-27 2006-11-21 Sandisk Corporation Efficient verification for coarse/fine programming of non-volatile memory
US20050175099A1 (en) 2004-02-06 2005-08-11 Nokia Corporation Transcoder and associated system, method and computer program product for low-complexity reduced resolution transcoding
DE102004007633B4 (de) 2004-02-17 2010-10-14 Qimonda Ag Speicherzelle, Halbleiter-Speicherbauelement und Verfahren zur Herstellung einer Speicherzelle
US7339818B2 (en) 2004-06-04 2008-03-04 Micron Technology, Inc. Spintronic devices with integrated transistors
US7084691B2 (en) 2004-07-21 2006-08-01 Sharp Laboratories Of America, Inc. Mono-polarity switchable PCMO resistor trimmer
US20060028895A1 (en) * 2004-08-09 2006-02-09 Carl Taussig Silver island anti-fuse
US7365411B2 (en) * 2004-08-12 2008-04-29 Micron Technology, Inc. Resistance variable memory with temperature tolerant materials
US7122853B1 (en) 2004-08-17 2006-10-17 Fasl, Inc. Method to improve yield and simplify operation of polymer memory cells
US7289353B2 (en) 2004-08-17 2007-10-30 Spansion, Llc Systems and methods for adjusting programming thresholds of polymer memory cells
US7135696B2 (en) 2004-09-24 2006-11-14 Intel Corporation Phase change memory with damascene memory element
US7221599B1 (en) 2004-11-01 2007-05-22 Spansion, Llc Polymer memory cell operation
US7189626B2 (en) 2004-11-03 2007-03-13 Micron Technology, Inc. Electroless plating of metal caps for chalcogenide-based memory devices
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7749805B2 (en) 2005-03-10 2010-07-06 Qimonda Ag Method for manufacturing an integrated circuit including an electrolyte material layer
US7835170B2 (en) 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
JP2006344746A (ja) 2005-06-08 2006-12-21 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US7426128B2 (en) 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US20070015348A1 (en) 2005-07-18 2007-01-18 Sharp Laboratories Of America, Inc. Crosspoint resistor memory device with back-to-back Schottky diodes
US7303971B2 (en) 2005-07-18 2007-12-04 Sharp Laboratories Of America, Inc. MSM binary switch memory device
US7446010B2 (en) 2005-07-18 2008-11-04 Sharp Laboratories Of America, Inc. Metal/semiconductor/metal (MSM) back-to-back Schottky diode
US7521705B2 (en) 2005-08-15 2009-04-21 Micron Technology, Inc. Reproducible resistance variable insulating memory devices having a shaped bottom electrode
KR100630437B1 (ko) 2005-08-31 2006-10-02 삼성전자주식회사 비휘발성 유기물 저항 메모리 장치 및 그 제조 방법
US20070105390A1 (en) 2005-11-09 2007-05-10 Oh Travis B Oxygen depleted etching process
US7187577B1 (en) 2005-11-23 2007-03-06 Grandis, Inc. Method and system for providing current balanced writing for memory cells and magnetic devices
US7324363B2 (en) 2005-12-12 2008-01-29 Synopsys, Inc. SPICE optimized for arrays
JP3989506B2 (ja) * 2005-12-27 2007-10-10 シャープ株式会社 可変抵抗素子とその製造方法ならびにそれを備えた半導体記憶装置
US8222746B2 (en) * 2006-03-03 2012-07-17 Intel Corporation Noble metal barrier layers
US7875871B2 (en) 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7829875B2 (en) 2006-03-31 2010-11-09 Sandisk 3D Llc Nonvolatile rewritable memory cell comprising a resistivity-switching oxide or nitride and an antifuse
JP2007281208A (ja) 2006-04-07 2007-10-25 Matsushita Electric Ind Co Ltd 多層抵抗変化素子アレイ、抵抗変化装置、多層不揮発性記憶素子アレイ、及び不揮発性記憶装置
KR101239962B1 (ko) 2006-05-04 2013-03-06 삼성전자주식회사 하부 전극 상에 형성된 버퍼층을 포함하는 가변 저항메모리 소자
JP4297136B2 (ja) 2006-06-07 2009-07-15 ソニー株式会社 記憶装置
US7626518B2 (en) 2006-06-08 2009-12-01 Via Technologies, Inc. Decoding systems and methods in computational core of programmable graphics processing unit
KR101159075B1 (ko) 2006-06-27 2012-06-25 삼성전자주식회사 n+ 계면층을 구비한 가변 저항 랜덤 액세스 메모리 소자
US7719001B2 (en) 2006-06-28 2010-05-18 Semiconductor Energy Laboratory Co., Ltd Semiconductor device with metal oxides and an organic compound
KR100738116B1 (ko) 2006-07-06 2007-07-12 삼성전자주식회사 가변 저항 물질을 포함하는 비휘발성 메모리 소자
JP2008021750A (ja) 2006-07-11 2008-01-31 Matsushita Electric Ind Co Ltd 抵抗変化素子およびその製造方法、ならびにそれを用いた抵抗変化型メモリ
US7499355B2 (en) 2006-07-31 2009-03-03 Sandisk 3D Llc High bandwidth one time field-programmable memory
CN101622729A (zh) 2006-08-31 2010-01-06 校际微电子中心 用于制造电阻转换器件的方法和由此获得的器件
US7772581B2 (en) * 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
JP4869006B2 (ja) * 2006-09-27 2012-02-01 株式会社東芝 半導体記憶装置の制御方法
JP4560025B2 (ja) 2006-09-29 2010-10-13 株式会社東芝 磁気ランダムアクセスメモリ及びその製造方法
US8766224B2 (en) 2006-10-03 2014-07-01 Hewlett-Packard Development Company, L.P. Electrically actuated switch
US7778061B2 (en) 2006-10-16 2010-08-17 Hewlett-Packard Development Company, L.P. Crossbar-memory systems and methods for writing to and reading from crossbar memory junctions of crossbar-memory systems
US10134985B2 (en) 2006-10-20 2018-11-20 The Regents Of The University Of Michigan Non-volatile solid state resistive switching devices
US7872900B2 (en) 2006-11-08 2011-01-18 Symetrix Corporation Correlated electron memory
US7778063B2 (en) 2006-11-08 2010-08-17 Symetrix Corporation Non-volatile resistance switching memories and methods of making same
KR100782496B1 (ko) * 2006-11-09 2007-12-05 삼성전자주식회사 자기 정렬된 셀 다이오드를 갖는 반도체 소자의 제조방법및 이를 이용하는 상변화 기억소자의 제조방법
JP5232159B2 (ja) 2006-11-09 2013-07-10 セイジ・エレクトロクロミクス,インコーポレイテッド 独立したリチオ化ステップを含まないイオン−スイッチング装置の製法
JP2010510656A (ja) * 2006-11-15 2010-04-02 サンディスク スリーディー,エルエルシー 誘電性アンチヒューズと直列にシリサイドに隣接して結晶化されたp−i−nダイオードおよびその形成方法
US7728318B2 (en) * 2006-11-16 2010-06-01 Sandisk Corporation Nonvolatile phase change memory cell having a reduced contact area
JP4334589B2 (ja) 2006-12-06 2009-09-30 株式会社東芝 半導体装置、およびその製造方法
JP4088324B1 (ja) * 2006-12-08 2008-05-21 シャープ株式会社 不揮発性半導体記憶装置
EP1933563A1 (en) 2006-12-14 2008-06-18 Thomson Licensing Method and apparatus for encoding and/or decoding bit depth scalable video data using adaptive enhancement layer residual prediction
JP2008160031A (ja) 2006-12-26 2008-07-10 Sony Corp 記憶素子及びメモリ
CN101501851B (zh) 2006-12-28 2010-11-17 松下电器产业株式会社 电阻变化型元件和电阻变化型存储装置
US7972897B2 (en) 2007-02-05 2011-07-05 Intermolecular, Inc. Methods for forming resistive switching memory elements
US8265136B2 (en) 2007-02-20 2012-09-11 Vixs Systems, Inc. Motion refinement engine for use in video encoding in accordance with a plurality of sub-pixel resolutions and methods for use therewith
US7382647B1 (en) 2007-02-27 2008-06-03 International Business Machines Corporation Rectifying element for a crosspoint based memory array architecture
US20080205179A1 (en) 2007-02-28 2008-08-28 Qimonda Ag Integrated circuit having a memory array
KR101054321B1 (ko) 2007-03-01 2011-08-05 후지쯔 가부시끼가이샤 반도체 장치 및 그 제조 방법
US7629198B2 (en) 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
US7984776B2 (en) 2007-03-30 2011-07-26 The Regents Of The University Of Michigan Energy storage and control system for a vehicle electrified drivetrain
CN101669235B (zh) 2007-03-30 2013-12-11 密执安州立大学董事会 沉积的微体系结构电池和制造方法
WO2008140979A1 (en) 2007-05-09 2008-11-20 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
JP4967176B2 (ja) 2007-05-10 2012-07-04 シャープ株式会社 可変抵抗素子とその製造方法及び不揮発性半導体記憶装置
US7800094B2 (en) 2007-06-11 2010-09-21 Macronix International Co., Ltd. Resistance memory with tungsten compound and manufacturing
US7855119B2 (en) 2007-06-15 2010-12-21 Sandisk 3D Llc Method for forming polycrystalline thin film bipolar transistors
US7991237B2 (en) 2007-06-28 2011-08-02 Mitsubishi Electric Corporation Image encoding device, image decoding device, image encoding method and image decoding method
US7824956B2 (en) 2007-06-29 2010-11-02 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US7846785B2 (en) 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US8233308B2 (en) 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
KR20100031698A (ko) 2007-06-29 2010-03-24 쌘디스크 3디 엘엘씨 선택적으로 성장한 가역 저항-스위칭 소자를 사용하는 메모리 셀과 상기 메모리 셀을 형성하는 방법
JP2009021524A (ja) * 2007-07-13 2009-01-29 Panasonic Corp 抵抗変化素子とその製造方法ならびに抵抗変化型メモリ
US7566643B2 (en) 2007-07-23 2009-07-28 Ovonyx, Inc. Liquid phase deposition of contacts in programmable resistance and switching devices
KR101326077B1 (ko) 2007-08-24 2013-11-07 삼성전자주식회사 저항성 메모리 소자
US9000408B2 (en) 2007-10-12 2015-04-07 Ovonyx, Inc. Memory device with low reset current
US7786464B2 (en) 2007-11-20 2010-08-31 Infineon Technologies Ag Integrated circuit having dielectric layer including nanocrystals
US7718990B2 (en) 2007-12-04 2010-05-18 Ovonyx, Inc. Active material devices with containment layer
JP5458892B2 (ja) * 2007-12-19 2014-04-02 日本電気株式会社 スイッチング素子およびその製造方法
US7706169B2 (en) 2007-12-27 2010-04-27 Sandisk 3D Llc Large capacity one-time programmable memory cell using metal oxides
US7897953B2 (en) 2008-01-16 2011-03-01 Micron Technology, Inc. Multi-level programmable PCRAM memory
US7955958B2 (en) 2008-02-07 2011-06-07 International Business Machines Corporation Method for fabrication of polycrystalline diodes for resistive memories
US8035099B2 (en) 2008-02-27 2011-10-11 Spansion Llc Diode and resistive memory device structures
US8183553B2 (en) 2009-04-10 2012-05-22 Intermolecular, Inc. Resistive switching memory element including doped silicon electrode
US8143092B2 (en) 2008-03-10 2012-03-27 Pragati Kumar Methods for forming resistive switching memory elements by heating deposited layers
US7960216B2 (en) 2008-05-10 2011-06-14 Intermolecular, Inc. Confinement techniques for non-volatile resistive-switching memories
US7961507B2 (en) 2008-03-11 2011-06-14 Micron Technology, Inc. Non-volatile memory with resistive access component
WO2009125777A1 (ja) * 2008-04-07 2009-10-15 日本電気株式会社 抵抗変化素子及びその製造方法
JP2009253033A (ja) 2008-04-07 2009-10-29 Panasonic Corp 半導体記憶装置及びその製造方法
US8304284B2 (en) 2008-04-11 2012-11-06 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element, and methods of forming the same
US7830698B2 (en) 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
JP2009267219A (ja) 2008-04-28 2009-11-12 Hitachi Ltd 半導体記憶装置およびその製造方法
JP4575999B2 (ja) 2008-06-10 2010-11-04 パナソニック株式会社 半導体装置、半導体装置の製造方法、半導体チップおよびシステム
US8587989B2 (en) 2008-06-20 2013-11-19 Nantero Inc. NRAM arrays with nanotube blocks, nanotube traces, and nanotube planes and methods of making same
US7732235B2 (en) 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US7781269B2 (en) 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
TW201021161A (en) 2008-07-18 2010-06-01 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
JP5430890B2 (ja) 2008-07-25 2014-03-05 株式会社東芝 半導体記憶装置
US8466044B2 (en) 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
TW201009954A (en) 2008-08-19 2010-03-01 Chunghwa Picture Tubes Ltd Thin film transistor, pixel structure and fabrication methods thereof
JP5454945B2 (ja) * 2008-09-05 2014-03-26 株式会社東芝 記憶装置
US7615439B1 (en) * 2008-09-29 2009-11-10 Sandisk Corporation Damascene process for carbon memory element with MIIM diode
US8344348B2 (en) 2008-10-02 2013-01-01 Ovonyx, Inc. Memory device
WO2010042732A2 (en) * 2008-10-08 2010-04-15 The Regents Of The University Of Michigan Silicon-based nanoscale resistive device with adjustable resistance
US8071972B2 (en) 2008-10-20 2011-12-06 The Regents Of The University Of Michigan Silicon based nanoscale crossbar memory
ITTO20080784A1 (it) 2008-10-24 2010-04-25 Terra Srl Ricerca & Sviluppo Procedimento per la produzione di un agente per il trattamento di terreni agricoli
US8097874B2 (en) 2008-10-30 2012-01-17 Seagate Technology Llc Programmable resistive memory cell with sacrificial metal
US7855923B2 (en) 2008-10-31 2010-12-21 Seagate Technology Llc Write current compensation using word line boosting circuitry
US7898838B2 (en) 2008-10-31 2011-03-01 Seagate Technology Llc Resistive sense memory calibration for self-reference read method
US8067815B2 (en) 2008-12-11 2011-11-29 Macronix International Co., Lt.d. Aluminum copper oxide based memory devices and methods for manufacture
US7978496B2 (en) 2008-12-18 2011-07-12 Sandisk 3D Llc Method of programming a nonvolatile memory device containing a carbon storage material
US8027215B2 (en) 2008-12-19 2011-09-27 Unity Semiconductor Corporation Array operation using a schottky diode as a non-ohmic isolation device
TW201025588A (en) 2008-12-30 2010-07-01 Ind Tech Res Inst Phase-change memory devices and methods for fabricating the same
JP2010165803A (ja) 2009-01-14 2010-07-29 Toshiba Corp 半導体記憶装置の製造方法及び半導体記憶装置
US8021897B2 (en) * 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
JP5044586B2 (ja) 2009-02-24 2012-10-10 株式会社東芝 半導体記憶装置
EP2437499A4 (en) 2009-05-29 2013-01-23 Mitsubishi Electric Corp ENCODER AND VIDEO DECODER, VIDEO ENCODING AND DECODING METHOD
US8227783B2 (en) 2009-07-13 2012-07-24 Seagate Technology Llc Non-volatile resistive sense memory with praseodymium calcium manganese oxide
US8207064B2 (en) 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
US8274130B2 (en) 2009-10-20 2012-09-25 Sandisk 3D Llc Punch-through diode steering element
WO2011064801A1 (en) 2009-11-30 2011-06-03 Andrea Redaelli Memory including a low thermal budget selector switch on a variable resistance memory cell
US8298887B2 (en) 2009-12-03 2012-10-30 Applied Materials, Inc. High mobility monolithic p-i-n diodes
JP5439147B2 (ja) 2009-12-04 2014-03-12 株式会社東芝 抵抗変化メモリ
US8385100B2 (en) 2009-12-08 2013-02-26 Intel Corporation Energy-efficient set write of phase change memory with switch
US8045364B2 (en) 2009-12-18 2011-10-25 Unity Semiconductor Corporation Non-volatile memory device ion barrier
TWI416661B (zh) 2009-12-29 2013-11-21 Ind Tech Res Inst 空隙製造方法、電阻式記憶元件及其製造方法
JP5732827B2 (ja) 2010-02-09 2015-06-10 ソニー株式会社 記憶素子および記憶装置、並びに記憶装置の動作方法
US8848430B2 (en) 2010-02-23 2014-09-30 Sandisk 3D Llc Step soft program for reversible resistivity-switching elements
US8237146B2 (en) 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
DE102010002454A1 (de) 2010-02-26 2011-09-01 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
KR20130007572A (ko) 2010-03-16 2013-01-18 쌘디스크 3디 엘엘씨 금속 산화물 저항률 전환층과 함께 사용하기 위한 하부 전극
US8564070B2 (en) 2010-05-24 2013-10-22 Chengdu Haicun Ip Technology Llc Large bit-per-cell three-dimensional mask-programmable read-only memory
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
JP5981424B2 (ja) 2010-06-11 2016-08-31 クロスバー, インコーポレイテッドCrossbar, Inc. メモリー素子に関する柱状構造及び方法
US8274812B2 (en) 2010-06-14 2012-09-25 Crossbar, Inc. Write and erase scheme for resistive memory device
US8351241B2 (en) 2010-06-24 2013-01-08 The Regents Of The University Of Michigan Rectification element and method for resistive switching for non volatile memory device
US9508425B2 (en) 2010-06-24 2016-11-29 The Regents Of The University Of Michigan Nanoscale metal oxide resistive switching element
WO2012001960A1 (ja) 2010-07-01 2012-01-05 パナソニック株式会社 不揮発性メモリセル、不揮発性メモリセルアレイ、およびその製造方法
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US20120007035A1 (en) 2010-07-12 2012-01-12 Crossbar, Inc. Intrinsic Programming Current Control for a RRAM
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US20120033479A1 (en) 2010-08-06 2012-02-09 Lsi Corporation Modification of logic by morphological manipulation of a semiconductor resistive element
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8315079B2 (en) 2010-10-07 2012-11-20 Crossbar, Inc. Circuit for concurrent read operation and method therefor
EP2630799A4 (en) 2010-10-20 2014-07-02 Nokia Corp METHOD AND DEVICE FOR VIDEO CODING AND DECODING
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
US8258020B2 (en) 2010-11-04 2012-09-04 Crossbar Inc. Interconnects for stacked non-volatile memory device and method
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
CN102064739B (zh) 2010-11-28 2013-10-30 吴世永 用于太阳能供电装置夜间铺设发光板的驱动机构
CN102479925A (zh) 2010-11-30 2012-05-30 中国科学院微电子研究所 具有高变比能力的电阻转变存储器结构及其制备方法
CA2722993A1 (fr) 2010-12-01 2012-06-01 Ecole De Technologie Superieure Systeme d'ecodage video parallele multitrames et multitranches avec encodage simultane de trames predites
US8557654B2 (en) 2010-12-13 2013-10-15 Sandisk 3D Llc Punch-through diode
JP2012133836A (ja) 2010-12-20 2012-07-12 Toshiba Corp 抵抗変化型メモリ
KR101157105B1 (ko) 2011-02-14 2012-06-22 동국대학교 산학협력단 그라핀 옥사이드의 저항 스위칭 특성을 이용한 비휘발성 메모리 소자 및 이의 제조 방법
JP2012199336A (ja) 2011-03-18 2012-10-18 Sony Corp 記憶素子および記憶装置
US8320160B2 (en) 2011-03-18 2012-11-27 Crossbar, Inc. NAND architecture having a resistive memory cell connected to a control gate of a field-effect transistor
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8525290B2 (en) 2011-06-24 2013-09-03 Macronix International Co., Ltd. Method of forming memory cell access device
CN103828047A (zh) 2011-07-22 2014-05-28 科洛斯巴股份有限公司 用于非易失性存储器装置的p+硅锗材料的种子层及方法
US8716098B1 (en) * 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device

Also Published As

Publication number Publication date
US20120015506A1 (en) 2012-01-19
CN102332531A (zh) 2012-01-25
US20150200362A1 (en) 2015-07-16
JP2012023374A (ja) 2012-02-02
EP2408035A3 (en) 2014-11-26
KR101860508B1 (ko) 2018-05-23
EP2408035A2 (en) 2012-01-18
US9012307B2 (en) 2015-04-21
KR20120006942A (ko) 2012-01-19
CN102332531B (zh) 2016-01-20

Similar Documents

Publication Publication Date Title
JP5863302B2 (ja) 二端子抵抗性スイッチングデバイス構造及びその製造方法
US9755143B2 (en) On/off ratio for nonvolatile memory device and method
JP5981424B2 (ja) メモリー素子に関する柱状構造及び方法
US9412789B1 (en) Stackable non-volatile resistive switching memory device and method of fabricating the same
US7719039B2 (en) Phase change memory structures including pillars
JP2007067408A (ja) 非揮発性有機抵抗メモリ素子及びその製造方法
US8097873B2 (en) Phase change memory structures
US8716098B1 (en) Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
JPWO2016203751A1 (ja) 整流素子、スイッチング素子および整流素子の製造方法
US9673255B2 (en) Resistive memory device and fabrication methods
US9269897B2 (en) Device structure for a RRAM and method
JP2015065240A (ja) 電流制御素子およびその製造方法
US20120309188A1 (en) Method to improve adhesion for a silver filled oxide via for a non-volatile memory device
WO2019176833A1 (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140620

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150623

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150722

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150817

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151222

R150 Certificate of patent or registration of utility model

Ref document number: 5863302

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350