KR0169283B1 - 반도체장치 및 그 제조방법 - Google Patents

반도체장치 및 그 제조방법 Download PDF

Info

Publication number
KR0169283B1
KR0169283B1 KR1019940028068A KR19940028068A KR0169283B1 KR 0169283 B1 KR0169283 B1 KR 0169283B1 KR 1019940028068 A KR1019940028068 A KR 1019940028068A KR 19940028068 A KR19940028068 A KR 19940028068A KR 0169283 B1 KR0169283 B1 KR 0169283B1
Authority
KR
South Korea
Prior art keywords
film
wiring
insulating film
layer
interlayer insulating
Prior art date
Application number
KR1019940028068A
Other languages
English (en)
Inventor
히사코 오노
코이치 스구로
히로미 니이야마
히토시 다무라
히사타카 하야시
도모노리 아오야마
가쿠 미나미하바
다다시 이이지마
Original Assignee
사토 후미오
가부시키가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사토 후미오, 가부시키가이샤 도시바 filed Critical 사토 후미오
Application granted granted Critical
Publication of KR0169283B1 publication Critical patent/KR0169283B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53247Noble-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은, 반도체 소자가 형성된 반도체 기판과, 이 반도체 기판상에 형성된 층간절연막, 이 층간절연막에 플라즈마 질화에 의해 형성되고, 배선층을 구성하는 금속의 확산을 방지하는 절연성 장벽층, 이 절연성 장벽층상에 형성되며, 상기 금속의 확산을 방지하는 도전성 장벽층 및, 이 도전성 장벽층상에 형성되고, 상기 금속으로 이루어진 배선층을 구비하는 반도체 장치를 그 특징으로 한다. 배선층의 하부은 도전성 장벽층과 절연성 장벽층에 의해 보호되고 있고, 따라서 배선층을 구성하는 금속의 확산을 확실히 방지하는 것이 가능하다.

Description

반도체장치 및 그 제조방법
제1도(a)∼(d)는 종래 배선의 형성방법을 도시한 공정 단면도.
제2도(a), (b)는 제1도(a∼d)에 도시한 배선의 형성방법의 문제점을 설명하기 위한 단면도.
제3도(a), (b)는 종래 다른 배선의 형성방법을 도시한 공정 단면도.
제4도(a)∼(d)는 종래 다른 배선의 형성방법을 도시한 공정 단면도.
제5도(a)∼(d)는 매립배선에서의 종래 관통구멍의 형성방법을 도시한 공정 단면도.
제6도(a)∼(d)는 매립배선에서의 종래 다른 관통구멍의 형성방법을 도시한 공정 단면도.
제7도(a)∼(f)는 종래 반도체장치의 제조방법을 도시한 공정 단면도.
제8도(a), (b)는 종래 다른 반도체장치의 제조방법을 도시한 공정 단면도.
제9도(a)∼(d)는 종래의 또 다른 반도체장치의 제조방법을 도시한 공정 단면도.
제10(a)∼(b)는 종래 매립배선의 형성방법을 도시한 공정 단면도.
제11도(a)∼(g)는 본 발명의 제1실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제12도는 본 발명의 제1 실시예의 변형예를 도시한 단면도.
제13도(a)∼(e)는 본 발명의 제2 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제14도(a), (b)는 본 발명의 제3 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제15도(a)∼(c)는 본 발명의 제4 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제16도는 본 발명의 제5 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제17도는 본 발명의 제6 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제18도(a)∼(c)는 본 발명의 제7 실시예에 따른 매립배선에서의 관통구멍의 형성방법을 도시한 공정 단면도.
제19도(a), (b)는 본 발명의 제7실시예에 따른 매립배선에서의 관통구멍의 형성방법을 도시한 평면도.
제20도(a)∼(d)는 본 발명의 제8 실시예의 매립배선의 형성방법을 도시한 공정 단면도.
제21도(a)∼(e)는 제9 실시예의 매립배선의 형성방법을 도시한 공정 단면도.
제22도(a)∼(e)는 본 발명의 제10 실시예의 매립배선의 형성방법을 도시한 공정 단면도.
제23도(a)∼(e)는 본 발명의 제11 실시예의 매립배선의 형성방법을 도시한 공정 단면도.
제24도(a)∼(e)는 본 발명의 제12 실시예의 매립배선의 형성방법을 도시한 공정 단면도.
제25도(a)∼(e)는 제13 실시예의 매립배선의 형성방법을 도시한 공정 단면도.
제26도(a)∼(e)는 제14 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제27도(a)∼(g)는 본 발명의 제15 실시예에 따른 반도체장치의 제조방법을 도시한 공정 단면도.
제28도는 제27도의 a-a'단면도.
제29도(a), (b)는 본 발명의 제15 실시예의 변형예를 도시한 공정 단면도.
제30도는 본 발명의 제16 실시예에 따른 반도체장치의 주요부의 개략구성을 도시한 단면도.
제31도(a)∼(m)는 본 발명의 제17 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제32도는 실리콘 산화막의 표면에 질소 플라즈마(plasma)처리를 실시한 경우의 분석 결과를 도시한 그래프.
제33도(a)∼(i)는 본 발명의 제18 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제34도(a)∼(f)는 본 발명의 제19 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제35도는 본 발명의 방법에 사용되는 반도체 제조장치를 도시한 도면.
제36도는 본 발명의 방법에 사용되는 반도체 제조장치를 다른 예를 도시한 도면.
제37도(a)∼(d)는 본 발명의 제20 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도.
제38도(a)∼(d)는 본 발명의 제21 실시예에따른 매립배선의 형성방법을 도시한 공정 단면도이다.
[산업상의 이용분야]
본 발명은, 반도체 기술에서의 매립배선기술에 관한 것이다.
[종래의 기술 및 그 문제점]
LSI의 고속화와 고집적화에 따른 배선의 미세화 및, 다층화가 진행되고 있다. 배선의 미세화는 저항의 증대화 신뢰성의 저하를 일으키기 때문에 Au, Ag, Cu로 일컬어지는 낮은 저항으로 고신뢰성의 배선재료를 이용하는 것이 필요로 된다.
그러나, 이와 같은 배선재료는 종래에서 사용되고 있는 Al계 재료에 비해 층간절연막과의 밀착성과, 층간절연막으로의 확산, 산화 및, 응집의 점에서 문제가 있다.
이 때문에, 이런 종류의 배선재료를 이용하는 경우에는 배선의 주위를 그 배선재료와의 다른 재료로 이루어진 막으로 피복해서 상기 문제를 회피할 필요가 있다. 이와 같은 배선은 예컨대, 제1도(a)∼(d)에 도시한 바와 같은 방법에 의해 형성할 수 있다.
즉, 먼저 제1도(a)에 도시한 바와 같이 표면에 층간절연막(202)이 형성된 반도체 기판(201)을 준비하고, 그 층간절연막(202)상에 배선의 확산방지나 밀착성 향상등에 효과가 있는 장벽금속층(203)을 열착법이나 스퍼터링법등을 이용해서 형성한다. 다음에, 이 장벽금속층(203)상에 배선으로 되는 도전막(204)을 형성한 후, 이 도전막(204)상에 장벽금속층(203)과 마찬가지의 효과를 얻는 장벽금속층(205)을 형성한다. 다음에, 이 장벽금속층(205)상에 레지스트를 도포한 후, 노광과 현상을 행하여 배선 형성용의 레지스트 패턴(206)을 형성한다.
다음에, 제1도(b)에 도시한 바와 같이 레지스트 패턴(206)을 마스크로 이용하여 장벽금속층(205)과 도전막(204) 및, 장벽금속층(203)을 배선형상으로 에칭 가공한다.
다음에, 제1도(c)에 도시한 바와 같이, 배선부의 측벽이 피복되도록 전면에 배선(204)과는 다른 장벽금속층(203)과 마찬가지의 효과를 갖는 장벽금속층(207)을 형성한다.
최후에, 제1도(d)에 도시한 바와 같이, 장벽금속층(207)을 이방성 에칭하여 배선부의 측벽에 장벽금속층(207)을 선택적으로 남게 한다.
이 방법에 의하면, 배선 본체인 도전막(204)의 주위가 장벽금속층(203,205,207)으로 피복된 배선구조를 형성할 수 있기 때문에 배선이 산화나 확산을 방지할 수 있다.
그러나, 이런 종류의 방법에는 이하와 같은 문제가 있다. 즉, 공정수가 많다는 문제나 배선의 상층에 설치된 절연막의 평탄화가 필요로 되고, 다층구조에는 적당하지 않다는 문제가 있다.
더욱이, 제1도(b)에 도시한 공정으로 형성된 배선형상이 테이퍼형상으로 된 경우에는 제2도(a)에 도시한 바와 같이 장벽금속층(207)이 배선부의 측벽에 형성되지 않거나 제2도(b)에 도시한 바와 같이 이방성 에칭시에 배선부의 측벽의 도전막(207)까지 에칭되어 배선부의 측벽이 장벽금속층(207)으로 덮여지지 않거나 하는 결과, 배선의 산화나 확산을 방지할 수 없다는 문제가 있다.
제3도(a), (b)는 종래 다른 배선의 형성방법을 도시한 공정 단면도이다.
이 방법의 경우, 먼저 최초로 제3도(a)에 도시한 바와 같이, 표면에 층간절연막(202)이 형성된 반도체 기판(201)상에 배선재료와, 이 배선재료보다 산화 또는 질화되기 쉬운 재료의 합금으로 이루어진 배선(208)을 형성한다.
다음에, 미량의 산소 또는 질소를 포함한 분위기로 어닐링(annealing)을 행한다. 이 결과, 제3도(b)에 도시한 바와 같이 배선(208)중의 상기 산화 또는 질화되기 쉬운 재료가 배선(208)의 표면까지 확산하여 배선(208)의 주위에 산화막 또는 질화막(209)이 형성된다. 이 산화막 또는 질화막(209)이 형성된다. 이 산화막 또는 질화막(209)이 형성되는 것에 의해 배선(208)중의 불순물 농도가 낮아지게 되어 배선(208)의 내부는 순금속에 가까운 성질을 같도록 된다.
그러나, 이런 종류의 방법에는 이하와 같은 문제가 있다. 즉, 산화막 또는 질화막(209)을 형성하는 데에는 고온의 열처리가 필요하고, 이에 따라 접합의 길이가 깊어지게 되는 등의 트랜지스터 특성이 악영향을 받고, 더욱이 확산은 입자경제 확산이 지배적이기 때문에 배선(208)을 산화막 또는 질화막(209)에 의해 균일하게 덮는 것이 곤란하다. 이와 같은 문제는 신뢰성이 저하로 이어진다.
제4도(a)∼(d)는 종래 다른 배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제4도(a)에 도시된 바와 같이 표면에 배선도랑을 갖춘 층간절연막(202)을 반도체 기판(201)상에 형성한다.
다음에, 제4도(b)에 도시한 바와 같이, 배선재료가 층간절연막(202)으로 확산하는 것을 방지하기 위한 확산방지막(210)을 전면에 형성하고, 계속 전면에 매립배선으로 되는 도전막(211)을 형성한다. 확산방지막(201)의 재료로서는, 예컨대 배선재료보다 산화 또는 질화되기 쉬운 것을 이용한다.
다음에 제4도(c)에 도시한 바와 같이, 전면 에칭에 의해 도전막(211)을 배선도랑안에만 남기고 매립배선(211)을 형성한다.
최후에, 제4도(d)에 도시한 바와 같이 미량의 산소 또는 질소를 포함하는 분위기로 어닐링을 행하여 확산방지막(201) 매립배선(211)의 표면까지의 확산에 의해 매립배선(211)의 표면에 산화막 또는 질화막(212)을 형성한다.
이 방법에 의하면, 자기정합적으로 배선(211)의 표면을 산화막 또는 질화막(212)으로 피복할 수 있기 때문에 공정수가 증대한다는 문제점이 없다.
그러나, 상기 확산은 입자경계 확산이 지배적이기 때문에 도전막(211)이 합금화되는 것은 아니지만 산화막 또는 질화막(212)이 균일하게 형성되지 않아 신뢰성의 점에서 문제가 있다.
또한, 제3도(a), (b)에 도시한 방법과 마찬가지로 산화막 또는 질화막(212)을 형성하는 것에 고온의 열처리가 필요로 한다. 고온의 열처리는 트랜지스터 특성에 대단한 영향을 주고, 더욱이 확산방지막(210)의 완전성도 요구되게 된다.
한편, 확산방지막(210)이 존재하는 부부만 배선(211)의 폭이 좁게 되기 때문에 배선저항이 증가한다는 문제가 있다. 여기서, 배선도랑을 넓게 형성하고 있다면 배선저항의 문제는 생기지 않지만 배선도랑이 넓은 부분만 미세화가 방지 된다는 문제가 생긴다.
제5도(a)∼(d)는 매립배선에서의 관통구멍의 형성방법을 도시한 공정 단면도로서, 배선도랑, 관통구멍의 순으로 형성하는 방법을 도시하고 있다. 즉, 본 발명에 있어서, 관통구멍은 배선층과 배선층을 접속하는 비어홀(via hole) 또는 배선층과 반도체 기판을 접속하는 접촉구멍을 의미한다.
먼저, 제5도(a)에 도시한 바와 같이, 반도체 기판(220)상에 제1층간절연막(221)과 제2층간절연막(222)을 순차 형성한 후, 제2층간절연막(222)에 배선도랑(223)을 형성한다.
다음에, 제5도(b)에 도시한 바와 같이, 관통구멍 형성용의 레지스트 패턴(224)을 형성한다. 여기서는, 일치오차에 의해 우방향으로 벗어난 레지스트 패턴(224)이 도시되어 있다.
다음에, 레지스트 패턴(224)을 마스크로 이용하여 제5도(c)에 도시한 바와 같이 제1층간절연막(221)을 애칭하여 관통구멍(225)을 형성한다.
이 때, 레지스트 패턴(224)이 벗어나 형성되어 있기 때문에 소정 부분의 1층간절연막(221)이 에칭되지 않고, 비소정 부분의 제2층간절연막(222)이 에칭되어 버린다.
이 때문에, 제5도(d)에 도시한 바와 같이, 관통구멍(225)은 레지스트 패턴(2d24)이 벗어난 만큼 접촉면적이 좁아지게 되고, 배선도랑(223)의 폭은 관통구멍(225)의 부분에서 넓게 되어 버린다.
이와 같은 관통구멍(225)의 접촉면적의 감소는 접촉저항의 증가나 관통구멍에서의 접촉전극의 형상열화를 일으키고, 신뢰성 저하의 원인으로 된다. 한편, 배선폭의 넓어짐은 집적도의 향상을 방지하는 원인으로 된다.
제6도(a)∼(d)는 매립배선에서의 관통구멍의 다른 형성방법을 도시한 공정 단면도이다. 이것은 배선도랑보다 먼저 관통구멍을 형성하는 방법을 도시하고 있다.
먼저, 제6도(a)에 도시한 바와 같이, 반도체 기판(220)상에 제1층간절연막(221)과, 제2층간절연막(222)을 순차 형성한 후 관통구멍으로 되는 부분의 제2층간절연막(222)을 에칭한다.
다음에, 제6도(b)에 도시한 바와 같이, 배선도랑 형성용의 레지스트 패턴(226)을 형성한다. 여기서는 일치오차에 의해 우방향으로 벗어난 레지스트 패턴(226)이 도시되어 있다.
다음에, 제6도(c)에 도시된 바와 같이 레지스트 패턴(226)을 마스크로 이용해서 제1층간절연막(221)과 제2층간절연막(222)을 에칭하여 배선도랑(223)과 관통구멍(225)을 동시에 완성시킨다.
이때, 레지스트 패턴(226)이 벗어나 형성되어 있기 때문에 본래 관통구멍으로 되는 부분의 제1층간절연막(221)은 에칭되지 않는다.
이 때문에, 앞의 형성방법과 마찬가지로 제6도(d)에 도시한 바와 같이 관통구멍(225)에서의 접촉면적은 좁아지게 되고, 배선도랑(223)의 폭은 관통구멍(225)의 부분에서 넓어져 버린다. 따라서, 앞의 형성방법과 마찬가지의 문제가 생긴다.
제7도(a)∼(f)는 하부에 단차가 있는 경우의 종래 배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제7도(a)에 도시한 바와 같이, 반도체 기판(401)의 표면에 필드산화막(402)을 형성한다. 다음에 게이트 산화막(404)과, 게이트 전극(405) 및, 확산층(403)을 형성한 후, 전면에 층간절연막(406)을 형성한다.
다음에, 제7도(b)에 도시한 바와 같이, CMP법 또는 에칭법을 이용해 층간절연막(406)의 표면에 평탄화 한다.
다음에, 제7도(c)에 도시한 바와 같이 포토리소그래피에 의해 관통구멍(407a,407b)을 형성한다. 이때, 게이트 전극(405)의 영역에 형성된 관통구멍(407b)의 깊이는 확산층(403)의 영역에 형성된 관통구멍(407a)의 깊이에 비해 필드산화막(402)과, 게이트 산화막(404) 및, 게이트 전극(405)의 막두께를 더한 분만 남게 된다.
그 후, 제7도(d)에 도시한 바와 같이, 선택 CVD법을 이용해서 W등의 금속으로 이루어진 접촉층(408a,408b)을 깊은 쪽의 관통구멍에 일치시켜 관통구멍에 선택적으로 형성한다. 이 때, 얕은 쪽의 관통구멍에 형성한 접촉층(408b)은 관통구멍으로부터 넘친다.
더욱이, 제7도(e)에 도시한 바와 같이, 관통구멍에서 넘친 접촉층(408b)을 에칭 제거하여 표면의 평탄화를 수행한다.
최후에, 제7도(f)에 도시한 바와 같이 접촉층(408a,408b)상에 각각 배선(409a,409b)을 형성한다.
이와 같은 배선의 형성방법에는 이하와 같은 문제가 있다.
즉, 관통구멍에 매립된 접촉층이 막 두께가 다르기 때문에 관통구멍내의 접촉층의 저항 및 신뢰성이 다르다. 또한, 배선(409a,409b)에 의해 단차가 생기고, 표면의 평탄화가 손상되어 진다.
즉, 제8도(a)에 도시한 바와 같이, 얕은 쪽의 관통구멍에 일치시켜 접촉층(408a,408b)을 형성한 경우에는 깊은 쪽의 관통구멍에 단차가 생기기 때문에 이 상태로 배선을 형성하면 제8도(b)에 도시한 바와 같이 깊은 쪽의 관통구멍에 형성된 배선의 표면에는 凹凸이 생긴다.
이와 같이 깊은 쪽이나 얕은 쪽 어느 한쪽의 관통구멍에 일치시켜 접촉층을 행성해도 결과적으로는 표면에 凹凸이 생기고, 이후 공정으로 형성하는 층간절연막의 평탄화가 곤란하게 된다.
제9도(a)∼(d)는 하부에 단차가 있는 경우의 종래 다른 배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제9도(a)에 도시한 바와 같이 반도체 기판(401)의 표면에 필드산화막(402)을 형성한 후, 확산층(403)과, 게이트 산화막(404), 게이트 전극(405) 및, 층간절연막(406)을 형성한다.
다음에 제9도(b)에 도시한 바와 같이 확산층(403)과 게이트 전극(405)상에 각각 관통구멍(407a,407b)을 형성한다.이 때, 층간절역막(406)의 평탄화를 수행하고 있지 않기 때문에 2개의 관통구멍(407a,407b) 깊이는 같게 된다.
다음에, 제9도(c)에 도시한 바와 같이 선택 CVD법등으로 선택적으로 관통구멍내에 금속을 퇴적시켜 접촉층(408a,408b)을 형성한다.
다음제 제9도(d)에 도시한 바와 같이 접촉층(408a,408b)상에 각각 배선(409a,409b)을 형성한다.
이와 같은 형성방법에 의하면 관통구멍(407a,407b)의 깊이가 마찬가지이기 때문에 관통구멍내의 접촉층의 저항 및 신뢰성은 어느 부분에서도 같게 된다.
그러나, 층간절연막(406)이 평탄화 도어 있지 않기 때문에 배선(409a,409b)용의 레지스트 패턴을 형성할 때에 촛점오차등이 생기고, 원하는 치수의 배선(409a,409b)을 형성하는 것이 곤란하다는 문제가 있다.
더욱이, 표면이 평탄하지 않은 층간절연막(406)상에 배선(409a,409b)을 형성하고 있기 때문에 이후 공정에서 형성하는 층간절연막의 평탄화가 한층 더 곤란하게 된다.
그런데, 층간절연막에 의한 기생용량을 줄이기 위해 비소등의 도펀트(dopant)를 층간절연막중에 도핑하는 것이 수행되고 있다.
그러나, 이와 같은 층간절연막은 흡수성이 강하고, 막의 질이 열화하기 쉬우며, 더욱이 층간절연막중의 도펀트가 바깥 쪽으로 확산한다는 문제가 있다.
이 때문에, 이런 종류의 층간절연막을 이용하는 경우에는 층간절연막의 주위를 그 층간절연막과는 다른 막등으로 피복하여 상기 문제를 회피할 필요가 있다. 이와 같은 층간절연막은 다음과 같은 방법으로 형성할 수 있다.
먼저, 제10도(a)에 도시한 바와 같이 반도체 기판(411)상에 제1비도우프 층간절연막(412)을 퇴적한 후, 불소를 도핑한 낮은 유전율의 도핑 층간절연막(413)을 퇴적한다. 이 후, 도핑 층간절연막(413)상에 제2비도우프 층간절연막(414)을 퇴적한다.
이와 같이 하여 얻어진 샌드위치구조의 층간절연막에 의하면, 제1 및 제2비도우프 층간절연막(412,414)에 의해 도핑 층간절연막(413)의 흡수 및 불소의 바깥쪽 확산을 방지할 수 있다.
그러나, 이런 종류의 샌드위치구조의 층간절연막에는 이하와 같은 문제가 있다.
즉, 낮은 유전율인 도핑 층간절연막(413)을 형성해도 상하에 유전율이 비교적 높은 비도우프 층간절연막(412,414)을 형성하기 때문에 층간절연막 전체로서의 용량은 비교적 크게 된다는 LSI의 동작 속도에 관련되는 문제가 있다.
또한, 제10도(b)에 도시한 바와 같이 층간절연막에 관통구멍을 개구한 경우 도핑 층간절연막(413)의 측면이 노출되기 때문에, 특히 이 노출면으로부터 수분이 흡수되어 신뢰성이 저하된다는 문제가 있다. 이와 같은 문제를 해결하는데에는 어닐링 등에 의해 수분을 발열하면 좋지만, 공정수가 증가해 버리는 새로운 문제가 생긴다.
상술과 같이 Au, Ag, Cu와 한층 더 낮은 저항으로 고신뢰성의 배선재료를 이용하는데에는 배선의 주위를 그 배선재료와는 다른 재료로 이루어진 막으로 피복하고, 층간절연막으로의 확산 및 산화를 방지할 필요가 있다.
이와 같은 다른 종류의 재료로 이루어진 막으로 배선이 피복된 배선구조를 형성하는 방법으로서는 배선의 상하를 다른 종류 재료의 막으로 끼워 가공한 후, 배선부의 측벽에 다른 종류 재료의 막을 남기는 방법이나 다른 종류 재료의 막에서 배선의 표면으로의 확산을 이용한 방법등이 제안되고 있다.
그러나, 전자의 경우는 공정수가 증가하여 원하는대로 배선을 피복하는 것이 불가능하기도 하며, 다층배선화에 적합하지 않아 신뢰성 저하로 이어진다는 문제가 있다.
한편, 후자의 경우는 자기정합적으로 배선을 피복할 수 있기 때문에 공정수가 증대한다는 문제는 없지만, 확산에 고온의 열처리가 이용되고, 이 고온의 열처리에 의해 트랜지스터 특성이 악영향을 받으며, 신뢰성이 저하한다는 문제가 있다.
또한 매립배선에 대한 종래 관통구멍의 형성방법에는 이하의 문제가 있다. 즉, 배선도랑이나 관통구멍을 형성하기 위한 레지스트 패턴의 일치오차를 완전히 없앨 수는 없기 때문에 접촉면적이 감소하고, 그 결과 접촉저항의 증가나 접촉전극의 형상열화가 생기며, 신뢰성이 저하한다는 문제가 있다.
또한, 상기한 바와 같이 하부에 단자가 있는 경우에는 표면에 단차가 있는 층간절연막이 형성된다.
여기서, 층간절연막의 평탄화를 수행하면서 하부의 높이가 다른 영역상에 관통구멍을 형성하면 관통구멍의 깊이가 달리되는 결과 양호한 접촉층을 형성하는 것이 곤란하다는 문제가 있었다.
한편, 층간절연막의 평탄화를 수행하지 않고 관통구멍을 형성하면 관통구멍은 같은 깊이로 된다. 그러나, 층간절연막의 평탄성이 나쁘기 때문에 이후 공정에서 형성하는 다른 층간절연막의 평탄화가 대단히 곤란하게 된다는 문제가 있었다.
또한, 상기한 바와 같이 층간절연막의 기생용량을 줄이기 위해 불소등의 도펀트를 층간절연막에 도핑하는 것이 수행되고는 있지만, 이런 종류의 도핑 층간절연막은 수분을 흡수하기 쉽게 하기 위해 도핑 층간절연막의 상하를 비도우프 층간절연막으로 끼운 샌드위치구조의 층간절연막이 이용되고 있다.
그러나, 샌드위치구조의 층간절연막에 있어서는 비도우프 층간절연막의 유전율은 작게 되지만 층간절연막 전체 용량은 비교적 크게 되어 소자의 동작 속도에 관련되는 문제가 있다.
또한 층간절연막에 관통구멍을 개구한 경우 도핑 층간절연막의 측면이 노출되기 때문에 이런 노출면으로부터 수분이 흡수되고, 신뢰성이 저하한다는 문제가 있다. 이와 같은 문제를 해결하기에는 어닐링등에 의해 수분을 증발시키면 좋지만 공정수가 증가해 버린다는 새로운 문제가 생긴다.
[발명의 목적]
본 발명은 상기한 점을 감안하여 발명된 것으로, 배선재료의 층간절연막으로의 확산을 방지하고, 신뢰성의 개선을 도모하며, 배선도랑이 형성되는 절연막의 기생용량 및 수분 흡수의 증가를 막는 것에 의해 신뢰성의 개선을 도모한 반도체장치와 그 제조방법을 제공함에 그 목적이 있다.
[발명의 구조]
상기 목적을 달성하기 위한 본 발명은, 반도체 소자가 형성된 반도체 기판과, 이 반도체 기판상에 형성된 층간절연막, 이 층간절연막상에 형성되고 배선층을 구성하는 금속의 확산을 방지하는 절연성 장벽층, 이 절연성 장벽측상에 형성되고 상기 금속의 확산을 방지하는 도전성 장벽층, 이 도전성 장벽층상에 형성되고 상기 금속으로 이루어지는 배선층을 구비하는 반도체장치가 제공된다.
또한 본 발명은, 반도체 기판에 반도체 소자를 형성하는 공정과, 상기 반도체 기판상에 층간절연막을 형성하는 공정, 이 층간절연막을 플라즈마 질화처리하여 층간절연막의 표면을 변성하고 배선층을 구성하는 금속의 확산층을 방지하는 절연성 장벽층을 형성하는 공정, 이 절연성 장벽측상에 상기 금속의 확산을 방지하는 도전성 장벽층을 형성하는 공정 및, 이 도전성 장벽측상에 상기 금속으로 이루어지는 배선층을 형성하는 공정을 구비하는 반도체장치의 제조방법이 제공된다.
더욱이, 본 발명은, 반도체 기판상에 형성되고 배선도량을 갖춘 절연막과, 플라즈마 질화처리에 의해 상기 배선도랑의 내면에 형성된 변성층 및, 이 변성층이 형성된 상기 배선도랑내에 형성된 배선층을 구비하는 반도체장치가 제공된다.
또한 본 발명은, 반도체 기판상에 절연막을 형성하는 공정과, 이 절연막에 배선도랑을 형성하는 공정, 상기 절연막 표면을 플라즈마 질화처리하여 상기 배선도랑의 내면에 변성층을 형성하는 공정 및, 상기 변성층이 형성된 상기 배선도랑내에 배선층을 형성하는 공정을 구비하는 반도체장치의 제조방법이 제공된다.
(작용)
상기와 같이 구성된 본 발명의 제1태양에 따른 반도체장치에서는, 배선의 저부가 도전성 물질로 이루어진 도전성 장벽층과, 절연물질로 이루어진 절연성 장벽층으로 이루어진 2층구조의 장벽에 의해 보호되고 있다.
절연성 장벽층으로서는 층간절연막 표면을 플라즈마 질화하여 얻어지는 변성층인 것이 바람직하다. 이 변성층은 SixNy및 SixNyOz(x,y,z은 정(正)의 실수)로 이루어진 군에서 선택된 적어도 1종류로 이루어진 것이다. 절연성 장벽층의 두께는 1㎚∼100㎚인 것이 바람직하다.
도전성 장벽층은 Al, Ti, TiN, Nb, W, 이들의 2층 이상의 적층체 및 이들의 2종류 이상의 합금으로 이루어진 군에서 선택된 금속에 의해 구성하는 것이 가능하다. 도전성 장벽층의 막 두께는 수㎚ ∼ 수 10㎚가 바람직하다.
즉, 배선층의 저부에 한정되지 않는 측면 및 상면에도 상기 금속의 확산을 방지하는 다른 도전성 장벽층을 형성하고, 이 다른 도전성 장벽층상에 상기 금속의 확산층을 방지하는 다른 절연성 장벽층을 형성하는 것이 바람직하다.
배선층의 재료로서는 Au, Ag, Cu, 이들의 어느 하나를 포함한 금속이 바람직하다.
이상 설명한 본 발명의 제1태양에 따른 반도체장치에 의하면, 배선층의 저부가 도전성 장벽층과 절연성 장벽층에 의해 2종류로 보호되어 있기 때문에 배선층을 구성하는 금속의 확산을 방지하는 것이 가능하고, 그 결과 신뢰성 높은 반도체장치를 얻는 것이 가능하다.
본 발명의 제1태양에 따른 반도체장치는, 반도체 기판에 반도체 소자를 형성하는 공정과, 상기 반도체 기판상에 층간절연막을 형성하는 공정, 이 층간절연막을 플라즈마 질화처리하여 층간절연막을 표면을 변성하고, 배선층을 구성하는 금속의 확산을 방지하는 절연성 장벽층을 형성하는 공정, 이 절연성 장벽층상에 상기 금속의 확산을 방지하는 도전성 장벽층을 형성한는 공정, 이 도전성 장벽층상에 상기 금속으로 이루어진 배선층을 형성하는 공정을 구비하는 방법에 의해 제조된다.
본 발명의 제2태양에 따른 반도체장치에서는, 절연막상에 형성된 절연도랑 내면에 플라즈마 질화처리에 의해 변성층이 형성되고, 이 변성층이 형성된 배선도랑내에 배선층이 형성되어 있다.
변성층의 재질 및 막 두께는 제1태양에서 이용한 것과 마찬가지다.
이 태양에 있어서도, 제1태양과 같은 변성층과 배선층 사이에 배선층을 구성하는 금속의 확산을 방지하는 도전성 장벽층을 형성하는 것이 가능하다. 이 도전성 장벽층의 재질은 상기와 마찬가지이다.
설명한 본 발명의 제2태양에 따른 반도체장치에 의하면, 배선도랑의 저부 표면 및 측부 표면에 플라즈마 질화처리에 의해 변성층이 형성되어 있기 때문에, 이 변성층에 의해 상기 층간절연막이 수분을 흡수하는 것을 방지할 수 있다. 이와 같은 변성층에 의한 층간절연막의 수분흡수 제어효과는 본 발명자등이 찾아낸 새로운 사실이고, 본 발명의 제2태양은 이 새로운 사실을 적극적으로 이용해서 구성한 것이다.
또한, 플라즈마 질화처리에 의해 형성된 변성층은 CVD법이나 스퍼터링법을 이용하여 형성된 질화막의 경우와 같이 배선도랑의 폭이 좁아지게 된다는 문제는 생기지 않는다. 더욱이, 본 태양에서는 상술한 바와 같이 변성층에 의해 상기 층간절연막의 수분 흡수를 방지하고 있기 때문에, 종래와 같이 비도우프의 절연막을 이용한 샌드위치구조로 할 필요는 없어 층간절연막 전체의 용량이 증가한다는 문제는 생기지 않는다.
그런데, 본 태양에 의하면, 용량의 증가를 초래함 없이 절연막의 수분 흡수를 방지할 수 있고, 이것에 의해 신뢰성의 향상이 도모되게 된다.즉, 본 발명자등의 연구에 의하면 질소를 포함하는 절연막은 확산장벽으로서도 기능하기 때문에 본 발명에 의하면 배선층의 구성원자가 절연막중에 확산하는 것도 방지할 수 있다.
본 발명의 제2태양에 따른 반도체장치는, 반도체 기판상에 절연막을 형성하는 공정과, 이 절연막에 배선도랑을 형성하는 공정, 상기 절연막 표면을 플라즈마 질화처리하여 상기 배선도랑의 내면에 변성층을 형성하는 공정, 상기 변성층이 형성된 상기 배선도랑내에 배선층을 형성하는 공정을 구비하는 방법에 의해 제조된다.
즉, 배선도랑내로의 배선층의 형성은 배선도랑내를 포함하는 절연막상에 이방성 퇴적법에 의해 도전성 재료를 배선도랑의 깊이 보다도 얇은 막 두께로 퇴적하고, 그것에 의해 배선도랑내에 형성된 도전성 재료층과, 배선도랑 이외의 절연막상에 형성된 도전성 재료층을 단절시키고 다음에 배선도랑 이외의 절연막상에 형성된 도전성 재료층을 선택적으로 제거하는 것에 의해 수행되는 것이 가능하다.
[실시예]
이하, 예시도면을 참조하여 본 발명에 따른 실시예를 상세히 설명한다.
[제1 실시예]
제11도(a)∼(g)는 본 발명의 제1실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제11도(a)에 도시한 바와 같이 실리콘등의 반도체 기판(1)상에 층간절연막(2)을 형성한다. 더욱이, 이 층간절연막(2)상에 이후 공정에서 배선재료등을 CMP 등으로 제거할 때에 층간절연막(2)이 박막화되는 것을 방지하는 역할을 하는 스토퍼막(내에칭막;3)을 형성하는 것이 바람직하지만, 배선재료막과 층간절연막(2)의 에칭 선택비가 충분히 취해진 경우에는 설치되지 않아도 된다. 그 후, 배선도랑 형성용의 레지스트 패턴(4)을 스토퍼막(3)상에 형성한다.
여기서, 층간절연막(2)으로서는, 예컨대 CVD법으로 형성한 SiO2막 또는 B와 P등의 불순물을 포함한 SiO2막이나, 플라즈마 CVD법으로 형성한 SiO2막이나, 소스가스로서 TEOS계 가스를 이용한 SiO2등을 이용하는 것이 가능하다. 또한, 스토퍼막(3)으로서는, 예컨대 카본 박막을 이용하는 것이 가능하다.
다음에, 제11도(b)에 도시한 바와 같이 레지스트 패턴(4)을 마스크로서 이용하여 스토퍼막(3)과 층간절연막(2)을 이방성 에칭하고, 층간절연막(2)에 배선도랑을 형성한다. 이 때, 스토퍼막(3)과 층간절연막(2)의 이방성 에칭은 플로오로 카본계 가스를 이용해서 연속적으로 수행해도 좋고, 불연속으로 수행해도 좋다. 또한, 배선도랑의 깊이는 배선으로 되는 배선층보다 깊게 형성되어 있다.
다음에, 제11도(c)에 도시한 바와 같이 레지스트 패턴(4)을 제거한다. 이 레지스트 패턴(4)의 제거는 O2에 의한 에칭으로 수행해도 좋지만, 스토퍼막(3)으로 카본 박막을 이용한 경우에는 유산(硫酸) : 과산화수소수 = 3 : 2 정도의 용액으로 습식 에칭을 수행해도 좋다. 더욱이, 하부에 금속막이 노출되고 있는 경우에는 다운 플로우 에싱등을 이용하면 좋다. 다운 플로우 에싱은 O2가스 또는 O2와 CF4등의 혼합가스를 이용하고, 챔버실의 온도를 100℃이하로 하여 압력 수mTorr(플라즈마가 발생하는 압력)의 조건으로 처리한다.
그 후, F등의 흡착물을 제거하기 위해, 진공중 또는 N2분위기 중에서 100∼300℃ 정도의 베이킹이나 순수(純水)의 초음파 세정등을 수행해도 좋다.
그 후, 제11도(d)에 도시한 바와 같이 예컨대, Al, Ti, TiN, Nb, W, 이들의 적층체 또는 이들의 합금으로 이루어진 확산방지막(5)을 전면에 형성한다. 이 확산방지막(5)의 막 두께는 주로 배선을 형성하는 재료의 저항을 잃지 않도록 수㎚ ∼ 수10㎚로 하는 것이 바람직하다.
그 후, 예컨대, Au, Ag, Cu 또는 이들의 합금으로 이루어지고, 배선으로 배선재료막(6)을 이방성 퇴적법에 의해 퇴적하며, 배선재료막(6)을 배선도랑 내부와 외부로 완전히 분리하여 형성한다. 이 배선재료막(6)의 형성에는, 예컨대 시준기를 타겟과 기판의 사이에 개재시켜 기판에 수직 방향으로 진행하는 원자만을 기판에 향하게 하도록 하여 배선재료막을 스퍼터링하거나 또는 105∼10-4Torr의 불활성 가스 분위기중에서 타겟과 기판의 거리를 10㎝이상 떨어져 스퍼터링하는 등의 방향성을 갖추도록 한 스퍼터링을 이용하는 것에 의해 배선도랑의 측벽에 배선재료막(6)이 형성되지 않도록 할 수 있다. 또는, 배선재료를 구성하는 금속 또는 입자를 이온화 하고, 바이어스를 인가한 기판에 피착하는 방법을 이용해도 좋다. 그 후, 표면보호막(7)을 전면에 형성하고, 확산방지막(5), 배선재료막(6) 및, 표면보호막(7)의 전체의 막 두께가 원하는 배선 막 두께로 되도록 한다.
더욱이, 제11도(e)에 도시한 바와 같이 배선도랑 이외의 확산방지막(5)과, 배선층(6)및, 표면보호막(7)을 CMP법 및 에칭법등에 의해 제거한다. 그 후 더욱이, 제11도(f)에 도시한 바와 같이 스토퍼막(3)을 제거한다. 이 상태에서는 미시적으로는 스토퍼막(3)의 막 두께에 상당하는 단차가 남는다.
최후에, 제11도(g)에 도시한 바와 같이 상기 단차를 제거하기 위해 예컨대, CMP법에 의해 표면을 연마하고, 표면이 평탄한 매립배선을 완성시킨다.
이상 상술한 바와 같이, 본 실시예에서는 배선도랑을 배선 막 두께보다 깊게 형성하고, 배선재료막(6)을 이방성 퇴적하는 것에 의해 배선재료막(6)을 배선도랑의 내부와 외부로 완전히 분리하여 형성한 후, 전면에 표면보호막(7)을 퇴적하며, 배선도랑의 내부가 표면보호막(7)으로 덮여진 구조의 배선을 형성하고 있다.
이 때문에, 고온의 열처리를 이용하지 않고, 1회의 제거공정으로 배선도랑 내에만 표면보호막(7)으로 덮인 배선재료막(6)을 형성할 수 있기 때문에 적은 공정수로서 표면피복구조와 매립배선을 형성할 수 있도록 되어 신뢰성의 저하를 방지할 수 있다. 더욱이, 층간절연막(2)내에 매립된 배선이므로 배선에 의한 단차가 없이 다층화에서도 유리하다.
즉, 배선도랑의 깊이를 정돈하기 위해 제12에 도시한 바와 같이 반도체 기판(1)상에 제1층간절연막(2)을 형성한 후, 배선도랑이 형성되는 층간절연막(2)과는 다른 재료, 예컨대 플루오로 카본계의 가스를 이용해서 에칭을 행하는 경우에는 층간절연막(2)을 구성하는 SiO2보다도 에칭 속도가 늦는 SiN등으로 이루어진 제2층간절연막(8)을 제1층간절연막(2)상에 형성해도 된다. 이렇게 하는 것에 의해 층간절연막(2)의 두께를 배선도랑의 깊이에 일치시켜 선택하는 것이 가능하고 일정한 배선도랑의 깊이를 얻는 것이 가능하다.
[제2 실시예]
제13도(a)∼(e)는 본 발명의 제2실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제1실시예와 마찬가지로의 방법에 의해 제13도(a)에 도시한 바와 같이 반도체 기판(11)상에 배선도랑이 형성된 층간절연막(12)과, 스토퍼막(13), 확산방지막(15) 및, 배선재료막(16)을 형성한다. 여기서, 확산방지막(15)과 배선재료막(16)의 전체 막 두께가 원하는 배선 막 두께로 되도록 한다.
다음에, 제13도(b)에 도시한 바와 같이 배선도랑 외부에 있는 확산방지막(15)과 배선재료막(16)을 에칭에 의해 제거한다. 다음에, 제13도(c)에 도시한 바와 같이 스토퍼막(13)을 제거한다. 이 상태에서는 층간절연막(12)과 스토퍼막(13)사이에는 스토퍼막(13)의 막두께부분 만큼 단차가 남는다. 그 후, 제13도(d)에 도시한 바와 같이 상기 단차를 제거하기 위해, 예컨대 CMP에 의해 표면을 연마하고, 평탄화된 매립배선을 형성한다.
최후에, 제13도(e)에 도시한 바와 같이 배선재료막(16)의 산화나 배선재료막(16)을 구성하는 원자 주위의 층간절연막(12)으로의 확산을 방지하기 위해 SixNy, SixNyO2, Al2O3, MgO, ZrO2, BeO, CaO 등의 절연막으로 이루어진 표면보호막(17)을 전면에 형성한다.
그 표면보호막(17)은 그 위에 제2층간절연막을 형성한 후, 이 제2층간절연막에 상기 방법에 의해 형성된 매립배선에 대한 관통구멍을 형성할 때에, 층간절연막(12)에 대해 에칭 스토퍼막으로서 기능한다. 이 때문에, 레지스트 패턴의 일치오차가 생겨도 층간절연막(12)이 에칭되어 얇게 되는 것은 아니다. 즉, 이 경우에는 미리 배선도랑의 깊이를 원하는 배선 막 두께와 동일하게 하고 있고, 확산방지막을 형성하며, 다음에 가열 스퍼터링 또는 스퍼터로 배선재료를 형성한 후, 열처리나 레이저 조사등을 행하고, 배선재료를 리플로우시켜 배선도랑내에 배선재료를 매립하여, 배선도랑 이외의 확산방지막과 배선재료를 에칭 제거하고, 매립배선을 형성해도 된다.
[제3 실시예]
제14도(a), (b)는 본 발명의 제3 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제14도(a)에 도시한 바와 같이 제1실시예와 마찬가지로 방법에 의해 반도체 기판(21)상에 SiO2로 이루어진 층간절연막(22)을 형성한 후, 스토퍼막(23)을 형성하고, 더욱이 배선도랑을 형성한다. 다음, 웨이퍼 전면을 N2분위기중에서 플라즈마 처리하고, 제14도(b)에 도시한 바와 같이 배선도랑의 측부 및 하부의 층간절연막(22)의 노출면을 질화하여 확산방지층(24)을 형성한다.
N2플라즈마 처리는, 예컨대 챔버실 온도가 350∼450℃, 압력이 10-3∼10Torr의 질소 플라즈마중에서 3∼60분간 처리하고, SiON층등을 형성한다. 이 SiON층은 층간절연막(22)의 유전율을 증가하는 것이 없도록 수㎚∼10㎚로 하는 것이 바람직하다(이하의 플라즈마 질화막에 관해서도 마찬가지로 한다). 그 후, 제11도(d)에 도시한 이후의 공정과 마찬가지 공정을 거쳐 매립배선을 완성한다.
본 실시예에 의하면, 확산방지층(24)의 형성을 배선도랑의 측벽 및 저부의 질화에 의해 수행되고 있기 때문에, CVD법이나 스퍼터링법으로 성막하는 경우에 비해서 배선도랑은 저항이 높은 재료로서 좁아지게 되는 것이 아니기 때문에 배선재료 본래의 저항을 유지할 수 있다.
다음에, 본 실시예의 변형예에 대해서 설명한다. 먼저, 제1실시예와 마찬가지 방법에 의해 제11도(c)에 도시한 구조를 형성한다. 층간절연막(2)으로서는 SiO2막을 이용한다. 다음에, 전면을 N2플라즈마 처리하고, 배선도랑의 측벽 및 저부의 층간절연막(2)의 노출면을 질화하여 배선도랑의 측벽 및 저부에 SiN 또는 SiON으로 이루어진 변성층을 형성한다.
최후에, 전면에 확산방지막과 배선재료막을 순차 형성하고, 배선도랑 이외의 확산방지법과 배선재료막을 에칭 제거하여 매립배선을 완성한다.
즉, 제1실시예와 마찬가지로 배선의 상부도 보호하는 것이 바람직하다.
이 변형예에 의하면, SiN 또는 SiON으로 이루어진 변성막과, Al, Ti, TiN, Nb, W, 이들의 적충체 또는, 이들 합금으로 이루어진 확산방지막을 병용하고 있기 때문에 배선재료의 확산 방지를 확실한 것으로 하는 것이 가능하다.
[제4 실시예]
제15도(a)∼(c)는 본 발명의 제4 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다. 이것은 상기의 제3 실시예르 응용한 예이다.
먼저, 제15도(a)에 도시한 바와 같이 반도체 기판(31)상에 제1층간절연막(32)와 절연막으로 이루어진 스토퍼막(33)을 순차 형성하고, 제1층간절연막(32)과 스토퍼막(33)을 에칭하여 관통구멍을 형성한 후, 이 관통구멍내에 W등의 금속재료등을 매립하고, 금속막(34)을 형성한다.
다음에, 전면에 제2층간절연막(35)과 금속 CMP스토퍼막(36)을 형성하고, 더욱이 배선도랑을 형성한 후, 제3 실시예와 마찬가지의 N2분위기중에서의 플라즈마처리를 수행한다. 이 결과, 이 플라즈마 처리에 의해 배선도랑의 측부 및 관통구멍에 매립되고, 노출되고 있는 부분의 금속막(34)이 질화되며, 배선도랑의 측벽에는 SiN 또는 SiON으로 이루어진 확산방지층(37)이 형성되고, 관통구멍에 매립된 금속막(34)의 표면에는 금속질화물층(37')이 형성된다.
이 때, 관통구멍내에 매립된 금속재료가 W등의 경우에는 산화시켜도 도전성이 높기 때문에 관통구멍내의 금속과 배선의 도통이 손상되는 것은 아니다. 또한, 질소, 수소등의 비산화성 분위기에 의해 열처리에 의해 금속질화물층(37)을 재차 금속막으로 환원하는 것도 가능하다.
다음에, 제15도(b)에 도시한 바와 같이 배선재료를 이방성 퇴적법에 의해 퇴적하고, 배선재료막(38)을 형성한다. 최후에, 제15도(c)에 도시한 바와 같이 배선도랑내 이외에 존재하는 배선재료막(38)을 제거하고, 표면이 평탄한 매립배선을 형성한 후, 전면에 절연막으로 이루어진 표면보호막(39)을 형성하며, 더욱이 제3층간절연막(40)을 형성한다.
[제5 실시예]
제16도는 본 발명의 제5 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다. 이것은 제11도(a)∼(g)에 도시한 제1실시예의 변형예로 제11도(a)∼(g)에 도시한 배선구조와 대응하는 부분에는 제11도(a)∼(g)와 동일 부호를 붙이고 있고, 상세한 설명은 생략한다.
본 실시예가 제1실시예와 다른 점은 배선재료의 확산방지막 또는 산화방지막(5)의 재료로서 배선재료막과 안정된 화합물을 형성하는 금속을 이용한 것에 있다.
즉, 배선재료로서 Cu를 이용하고, 해 확산방지막 또는 산화방지막(5)으로서 Al을 이용한 경우에는 열처리에 의해 Al2Cu등의 Al계 합금이 형성되지만, Al계의 합금이 일반적으로 열적인 안정으로 밀착성에 대해서도 문제가 없기 때문에 확산방지막과 질화방지막으로서의 동작을 손상시키는 것은 아니다.
[제6 실시예]
제17도는 본 발명의 제6 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다. 이는 제5 실시예의 변형예로 제16도에 도시한 배선구조와 대응하는 부분에는 제11도(a)∼(g)와 동일 부호를 붙이고 있고, 상세한 설명은 생략한다.
본 실시예가 제5 실시예와 다른 점은 배선재료의 확산을 복수의 장벽층으로서 방지하고 있다는 것이다.
즉, 반도체 기판(11)상에 배선재료막(16)에서의 확산원자를 모으는 게터링층(10), 예컨대 BPSG층을 형성한 후, 게터링층(10)상에 배선도랑을 갖춘 층간절연막(12)을 형성하고, 이 배선도랑에 금속으로 이루어진 장벽층(18a) 및 절연막으로 이루어진 장벽층(18b,19)으로 덮인 배선재료막(16)을 형성한다. 금속으로 이루어진 장벽층(18a)은 제1실시예 또는 제5 실시예, 절연막으로 이루어진 장벽층(18b,19)는 각각 제2 및 제3 실시예를 기초로 형성한다.
[제7 실시예]
제18도(a)∼(c)는 본 발명의 제7실시예에 따른 매립배선에서의 관통구멍의 형성방법을 도시한 공정 단면도이다.
먼저, 제18도(a)에 도시한 바와 같이 소자(도시되지 않았음)가 형성된 반도체 기판(41)을 준비하고, 이 반도체 기판(41)상에 SiO2또는 불순물을 포함한 SiO2로 이루어진 제1층간절연막(42)을 형성한다. 다음에, 이 제1층간절연막(42)상에 이 층간절연막(42)과 다른 재료, 예컨대 SiN으로 이루어지고, 배선도랑 형성시에 에칭 스토퍼로 되는 제1스토퍼막(43)을 형성한 후, 제2층간절연막(44), 그리고 배선재료등의 에칭시에 에칭 스토퍼로서 기능하는, 예컨대 카본으로 이루어진 제2스토퍼막(45)을 순차 형성한다.
다음에, 제2스토퍼막(45)상에 배선도랑 형성용의 레지스트 패턴(46)을 형성한 후, 이 레지스트 패턴(46)을 마스크로 이용하여 제2스토퍼막(45), 제2층간절연막(44)을 에칭하여 배선도랑을 형성한다. 이 때, 제1스토퍼막(43)에 의해 제1층간절연막(42)은 에칭되지 않는다.
그 후, 제18도(b)에 도시한 바와 같이, 레지스트 패턴(46)을 제거한 후, 배선폭보다도 넓은 관통구멍 형성용의 레지스트 패턴(47)을 형성한다. 이 때의 평면도를 제19도(a)에 도시한다. 도면중, 참조부호 48은 배선도랑을 도시한고, 참조부호 49는 관통구멍의 레지스트 패턴(47)의 개구부를 도시하고 있다.
다음에, 제18도(c)에 도시한 바와 같이 레지스트 패턴(47)을 마스크로 이용하여 제2에칭 스토퍼막(43)과 제1층간절연막(42)을 에칭하고, 관통구멍을 형성한다. 이 때, 제2스토퍼막(45)에 의해 이 스토퍼막(45)의 하부의 제2층간절연막(44)은 에칭되지 않는다. 이 때문에, 제19도(b)에 도시한 바와 같이 배선도랑(48)과 같은 폭의 관통구멍(50)을 형성할 수 있다.
따라서, 본 실시예에 의하면, 배선도랑(48)의 폭과 관통구멍(50)의 폭을 같게 할 수 있고, 배선도랑(48)의 폭에 대해서 관통구멍 크기를 최대로 확보할 수 있기 때문에 접촉저항의 증가를 방지할 수 있다. 이와 같은 방법으로 형성된 배선도랑과 관통구멍으로의 도전재료 매립은 제1실시예와 마찬가지의 방법에 의해 배선도랑과 관통구멍을 동시에 매립한다. 이와 같이 동시에 매립 혼합하는 것으로 공정 단축이 도모된다. 또한, 제2스토퍼막(45)으로서 C막을 이용한 경우에는 W의 선택성정을 이용하여 관통구멍내에 W을 미리 형성하며, 그 후 제1실시예와 마찬가지의 방법에 의해 배선을 형성해도 된다.
[제8실시예]
제20도(a)∼(d)는 본 발명의 제8실시예의 매립배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제20도(a)에 도시한 바와 같이 면방위(100)의 단결성 실리콘 기판(61)상에 층간절연막으로서 두께 0.8㎛의 PSG막(62)을 CVD법에 의해 형성한다.
다음에, PSG막(62)상에 에칭 스토퍼막으로서 두께 0.1㎛의 Si3N4막(63)을 CVD에 의해 형성한다. Si3N4막(63)의 막 두께는 0.1㎛로 했지만 상층에 사용되는 막과 에칭 때에 선택성이 얻어지는 것이라면 얇아도 된다. 이 후, 이적층구조 위에 배선의 막 두께와 막 두께(0.3㎛)의 SiO3막(63)을 상압 CVD에 의해 형성한다.
다음으로, 제20도(b)에 도시한 바와 같이 실리콘 기판(61)상에 리소그라피와 반응성 이온 에칭을 이용해서 배선도랑(65)을 형성한다. 이 때, 에칭에 이용하는 가스는 SiO2막(64)과 Si3N4막(63)의 선택성이 얻어지는 가스, 예컨대 플루오르 카본 가스와 CO가스의 혼합가스를 이용한다. 이 후, 상기 리소그라피로 형성된 레지스트 패턴을 질소 플라즈마 중에서 회화(灰化)한 후 유산과 과산화수소수의 혼합액중에서 제거, 세정한다.
다음에, 제20도(c)에 도시한 바와 같이 직류 마그네트론 스퍼터링법 배선으로 되는 Al박막(66)을 무가열로 형성한다. Al박막(66)의 두께는 도랑의 깊이와 같고, 0.3㎛이다. 다음에, 스퍼터링과 동일 진공중에서 기판표면으로부터 할로겐 램프등을 이용하여 자연사화막의 형성을 제어하면서 열처리를 행하고, 배선도랑(65)내에 Al박막(66)을 매립한다.
최후에, 제20도(d)에 도시한 바와 같이 배선영역외의 넓은 영영에 잔유하는 Al박막(66)을 CMP법에 의해 제거한다.
본 실시예에 의하면, 에칭 스토퍼막(Si3N4막(63))을 이용하고 있기 때문에 SiO2막(64)의 에칭 때에 층간절연막(PSG막(62))이 에칭되는 것이 아니다. 이 때문에, 에칭의 균일성에 기인하는 배선의 신뢰성 저하를 방지할 수 있다.
본 실시예에서는 에칭 스토퍼막으로서 CVD법에 의해 형성한 Si3N4막(63)을 이용했지만, 층간절연막을 직접 산화하는 방법, 예컨대 BPSG 막위를 RTA 법을 이용하여 NH4F 또는 N2분위기중에서 직접 산화하는 것으로 에칭 스토퍼막을 형성해도 마찬가지의 결과가 얻어진다.
또한, Si3N4막(63)의 변화에 다결정 실리콘막을 이용해서 원하는 패턴의 凹부를 형성하고, 다결정 실리콘막이 노출된 부분을 산화하여 SiO2막을 형성하는 방법 또는 에칭제거하는 방법을 이용해도 마찬가지의 결과가 얻어진다.
[제9 실시예]
제21도(a∼e)는 제9 실시예의 매립배선의 형성방법을 도시한 공정 단면도이다.
제21도(a)에 도시한 바와 같이 면방위(100)의 단결정 실리콘 기판(71)상에 층간절연막으로서 PSG막(72)을 CVD법에 의해 형성한다. 이 때의 PSG막(72)의 막 두께는 예컨대 0.8㎛로 한다. 이 PSG막(72)상에 에칭 스토퍼막으로서의 Si3N4막(73)을 CVD법에 의해 형성한다.
다음에, Si3N4막(73)상에 배선의 막 두께와 같은 막 두께(0.3㎛)의 SiO2막(74)을 상압 CVD법에 의해 형성한 후, 레지스트 패턴을 형성하고, 이것을 마스크로 이용하여 SiO2막(74)을 에칭하며, 배선도랑(75)을 형성한다. 이 에칭에 이용하는 가스느 SiO2와 Si3N4의 선택성이 얻어지는 것, 예컨대 프로오로 카본과의 CO의 혼합가스를 이용한다. 그 후, 상기 리소그라피로 형성된 레지스트 패턴을 산소 플라즈마중에서 회화한 후, 유산과 과산화수소수의 혼합액중에서 제거, 세정한다.
다음에, 제21도(b)에 도시한 바와 같이 레지스트 패턴(76)을 형성한 후, 이것을 마스크로 이용하여 PSG막(72)을 반응성 이온 에칭을 이용해서 에칭하고, 접촉구멍(77)을 형성한다. 그 후, 제21도(c)에 도시한 바와 같이 레지스트 패턴(76)을 산소 플라즈마중에서 회화한 후, 유산과 과산화수소수의 혼합액중에서 제거, 세정한다.
그 후, 제21도(d)에 도시한 바와 같이 직류 마그네트론 스퍼터링법으로 매립배선 및 접촉배선으로 되는 Al박막(78)을 무가열로서 형성한다. Al박막(78)의 막두께는 도랑의 깊이와 같은 0.3㎛이다. 다음에, 스퍼터링과 동일 진공 중에서 기판표면으로부터 할로겐 램프등을 이용해서 자연산화막의 형성을 제어하면서 열처리를 행하고, 배선 도랑(75)과 접촉구멍(77)네애 Al박막(78)을 매립한다.
최후에, 제21도(e)에 도시한 바와 같이 배선영역외의 넓은 영역에 잔유하는 Al박막(78)을 CMP 법에 의해 제거하는 것에 의해 매립배선과 접촉배선을 동시에 완성시킨다.
[제10 실시예]
제22도(a)∼(e)는 본 발명의 제10 실시예의 매립배선의 형성방법을 도시한 공정 단면도로, 본 실시예는 접촉구멍을 형성한 후에, 배선도랑을 형성하는 방법이다.
제22도(a)에 도시한 바와 같이 면방위(100)의 단결정의 실리콘 기판(81)상에 층간절연막으로서 PSG막(82)을 CVD법에 의해 형성한다. 이 때의 PSG막(82)의 막 두께는 예컨대 0.8㎛로 한다. 다음으로, PSG막(82)상에 에칭 스토퍼막으로서의 Si3N4막(83)을 CVD법에 의해 형성한 후, Si3N4막(83)상에 막 두께와 같은 막 두께(0.3㎛)의 SiO2막(84)을 상압 CVD법에 의해 형성한다.
다음에, SiO2막(84)상에 CMP의 스트퍼로서의 SiO2막(84) 표면의 누설성 개선을 위해 카본막(85)을 직류 마그네트론 스퍼터링법에 의해 성막한다. 다음으로, 레지스트 패턴(86)을 형성한 후, 반응성 이온 에칭을 이용해서 접촉구멍(87)을 형성한다. 이 에칭에 이용하는 가스는 Si3N4막(83)과 선택성이 없는 가스를 이용했다. 그 후, 레지스트 패턴(86)을 다운 플로우 에싱법에 의해 회화, 제거한다.
그 후, 제22도(b)에 도시한 바와 같이 레지스트 패턴(86a)을 형성한 후, 반응성 이온 에칭에 의해 배선도랑(88)을 형성한다. 이 에칭에 이용하는 가스는, 예컨대 플로오로 카본과 CO의 혼합가스와 같이 SiO2막(84)과 Si3N4막(83)의 선택성이 얻어지는 것이다. 더욱이, 제22도(c)에 도시한 바와 같이 다운 플로우 에싱법에 의해 레지스트 패턴(86a)만을 회화한 후, 유산과 과산화수소수의 혼합액중에서 제거, 세정한다.
다음에, 제22도(d)에 도시한 바와 같이 직류 마그네트론 스퍼터링법으로 Al박막(89)을 무가열로 형성한다. 여기서 Al박막(89)의 막 두께는 도랑의 깊이와 같은 0.3㎛이다. 다음으로 스퍼터링과 동일 진공중에서 기관표면으로부터 할로겐 램프등을 이용해서 자연산화막의 형성을 제어하면서 열처리를 행하고, Al박막(89)의 매립을 행한다.
최후에, 제22도(e)에 도시한 바와 같이 배선영역외의 넓은 영역에 잔유하는 Al박막(89)을 CMP법에 의해 제거하고, 매립배선및 접촉배선을 형성한 후, CMP스토퍼인 C막(85)을 산소 플라즈마중에서 회화, 제거한다.
즉, 본 실시예에서는 불필요한 Al박막(89)이 제거에 CMP법을 이용했지만 레지스트 에치백법, 메카니컬 폴리싱법, 케미칼 폴리싱법등과 같은 제거방법을 이용해도 좋다. 또한, 하층의 층간절연막은 용융 리플로우법이나 폴리싱법등으로 층간절연막을 평탄화하는 것이 바람직하다. 더욱이, C막(85)의 성막을 직류 마그네트론 스퍼터링으로 수행했지만 CVD법에 의한 성막으로도 된다.
[제11 실시예]
제23도(a)∼(e)는 본 발명의 제11 실시예의 매립배선의 형성방법을 도시한 공정 단면도로서, 본 실시예는 접촉 구멍을 형성한 후, W등의 도전막을 매립하고, 매립배선을 형성한는 방법이다.
제23도(b)에 도시한 바와 같이 면방위(100)의 다결정 실리콘 기판(91)상에 층간절연막으로서 PSG막(92)을 CVD법에 의해 형성한다. PSG막(92)의 막 두께 예컨대 0.8㎛로 한다. 다음에 PSG막(92)상에 에칭 스토퍼막으로서 두께 0.1㎛의 Si3N4막(93)을 CVD법에 의해 형성한다. Si3N4막(93)을 0.1㎛으로 했지만 상층에 사용되는 막과 에칭의 경우 선택성이 얻어진다면 얇아도 된다.
다음에, Si3N4막(93)상에 배선의 막 두께와 같은 막 두께(0.3㎛)의 SiO2막(94)을 상압 CVD법에 의해 형성한 후, 리소그래피와 반응성 이온 에칭을 이용해서 접촉구멍(95)을 형성한다. 다음에, 제22도(b)에 도시한 바와 같이 접촉구멍(95)내에 선택적으로 W을 매립하고, W막(96)을 형성한다. 본 실시예에서는 접촉저항을 낮추기 위해 W을 Si3N4막(93)보다 높게 매립했지만 Si3N4막(93)과 같은 높이이어도, 그 이하이어도 된다.
그 후, 제23도(c)에 도시한 바와 같이 레지스트 패턴(97)을 형성한 후, 반응성 이온 에칭에 의해 SiO2막(94)을 에칭하여 배선도랑(98)을 형성한다. 이 에칭에 이용하는 가스는, 예컨대 플로오로 카본가스와 CO가스의 혼합가스와 같이 SiO2막(94)과 Si3N4막(93)의 선택성이 얻어지는 것이다.
더욱이, 제23도(d)에 도시한 바와 같이 레지스트 패턴(97)을 산소 플라즈마중에서 회화, 제거한다. 다음에, 직류 마그네트론 스퍼터링법으로 Al박막(99)을 무가열로 형성한다. 이 후, 스퍼터링과 동일 진공중에서 기판표면으로부터 할로겐 램프등을 이용해서 자연산화막의 형성을 제어하면서 열처리를 행하고, Al박막(99)의 매립을 행한다.
최후에, 제23도(e)에 도시한 바와 같이 배선영역외의 Al박막(99)을 케미칼 메카니컬 폴리싱법에 의해 제거한다.
본 실시예에선는, 접촉구멍이 매립재료로서 W을 이용했지만 다른 도전막, 예컨대 다결정 실리콘을 이용해도 된다. 또한, 접촉구멍의 매립은 PSG막(92)과, 접촉구멍(95), Si3N4막(93), SiO2막(94) 및, 배선도랑(98)을 순차 형성한 후에 수행되어도 된다. 더욱이, 기판표면에 TiSi2막과 같은 실리사이드막이 형성되어도 된다.
즉, 제8실시예로부터 본 실시예에서는 생략했지만, 층간절연막의 아래에 소자가 형성되어 있다.
또한, 제8실시예로부터 본 실시예에서는 하부의 층간절연막에는 PSG막과, 스터퍼에 Si3N4막 및, 상부의 층간절연막에 SiO2막을 이용했지만, BPSG막과, 산화 실리콘막, BN막, 폴리이미드막, 플라즈마 산화막 및, 플라즈마 질화막등 다른 절연막을 선택적으로 에칭 가능하게 조합시키면 어느 막을 이용해도 된다.
더욱이, 배선을 3층 및 4층과 겹쳐 형성하는 경우에는 형성된 배선의 용해 온도 이하로 층간절연막등을 형성한다. 예컨대, 하부의 층간절연막에 플라즈마 TEOS막을 이용하고, 스토퍼에 플라즈마 질화막 또는 직접 산화에 의한 질화막을 이용하며, 상부의 층간절연막에 플라즈마 TEOS 막을 이용하는 것에 의해 다층배선을 형성할 수 있다.
더욱이, 제8실시예로부터 본 실시예에서는 배선재료로서 Al을 이용한 경우에 대해서 서술했지만 Al합금과, Cu, Ag, Au 및, Pt등의 다른 금속을 이용해도 된다.
[제12 실시예]
제24도(a)∼(e)는 본 발명의 제12 실시예의 매립배선의 형성방법을 도시한 공정 단면도로, 본 실시예는 배선재료로서 Cu 등과 같이 실리콘 산화막으로 확산하기 쉬운 재료를 이용한 경우의 예로, 이 확산을 Nb 등의 장벽금속을 이용해서 방지하는 방법이다.
제24도(a)에 도시한 바와 같이 면방위(100)의 단결성 실리콘 기판(101)상에 층간절연막으로서 플라즈마 TEOS(102; 이하 P-TEOS로 칭함)를 CVD법에 의해 형성한다. 이 P-TEOS막(102)의 막 두께는 예컨대, 0.3㎛로 한다. 다음에, P-TEOS막(102)상에 에칭 스토퍼막으로서 두께 0.1㎛의 플라즈마 Si3N4(이하, P-Si3N4으로 칭함)막(103)을 CVD법에 의해 형성하고, 이 P-Si3N4막(103)상에 두께 3㎛의 플라즈마 TEOS(이하, P-TEOS로 칭함)막(104)을 형성한다.
다음에, CMP의 스토퍼로서 카본막(105)을 스퍼터링법에 의해 형성한 후, 리소그래피와 반응성 이온 에칭을 이용해서 배선도랑(106)을 형성한다. 이 때의 에칭 가스로서는, 예컨대 플로오르 카본과 CO의 혼합가스를 이용해서 P-TEOS막과 P-SiN3의 선택성이 얻어지도록 한다. 그 후, 상기 리소그래피로 형성된 레지스트 패턴을 다운 플로우 에싱법으로 회화한다.
그 후, 제24도(b)에 도시한 바와 같이 리소그래피와 반응성 이온 에칭(RIE)법을 이용해서 접촉구멍(107)을 형성한다.
다음에, 제24도(c)에 도시한 바와 같이 직류 마그네트론 스퍼터링법으로 장벽금속으로 되는 Nb막(108)을 무가열로 형성하고, 이어서 배선으로 되는 Cu막(109)을 형성한다. 본 실시예에서는 Cu막(109)의 성막에 스퍼터링법을 이용했지만 콜리메션법을 사용하면 된다. 이 후, 스퍼터링과 동일 진공중에서 기판 표면으로부터 할로겐 램프등을 이용해서 Cu표면의 자연산화막 형성을 제어하면서 열처리를 행하여 Cu막(109)의 매립을 행한다.
더욱이, 제24도(d)에 도시한 바와 같이 배선영역외의 Cu막(109) 및 Nb막(108)을 CMP법에 의해 제기한다. 다음에, 제24도(e)에 도시한 바와 같이 Cu막(109)의 표면에 Cu가 확산하는 것을 제어하기 위해 장벽금속으로서 Nb막(110)을 직류 마그네트론 스퍼터링법에 의해 형성한 후, CMP법에 의해 카본막(105)상에 여분의 Nb막(110)을 제거한다. 최후에, CMP의 스토퍼에 이용한 카본막(105)을 산소 이온 에칭에 의해 제거하는 것으로 매립배선 및 접촉배선이 완성된다.
그 후, 배선을 쌓아 겹치는 경우는 상술한 층간절연막(104)의 성막으로부터 배선형성까지를 반복하면 된다.
즉, 본 실시예에서는 장벽금속에 Nb를 이용했지만, 요는 장벽성이 있고, 배선과의 누설성을 향상할 수 있는 재료를 이용하면 된다.
[제13 실시예]
제25도(a)∼(e)는 제13 실시예의 매립배선의 형성방법을 도시한 공정 단면도로서, 본 실시예는 접촉구멍을 W로 선택적으로 매립한 후에 매립배선을 형성하는 방법이다.
제25도(a)에 도시한 바와 같이 실리콘 기판(121)상에 층간절연막으로서 P-TEOS막(122)을 CVD법에 의해 형성한다. P-TEOS막(122)의 막 두께는, 예컨대 0.3㎛로 한다. 다음에, 리소그래피와 반응성 이온 에칭을 이용하여 접촉구멍(123)을 형성한 후, 이 접촉구멍(123)의 내부에 선택적으로 W을 매립하고, W막(124)을 형성한다.
다음에, 제25도(b)에 도시한 바와 같이 두께 0.1㎛의 P-Si3N4막(125)을 CVD법에 의해 형성한 후, 배선과 같은 막 두께(0.3㎛)의 P-TEOS막(126)을 형성한다. 다음으로, CMP의 스토퍼에 이용하는 카본막(127)을 형성한 후, 리소그래피와 반응성 이온 에칭을 이용해서 배선도랑(128)을 형성한다. 이 에칭에 이용하는 가스는, 예컨대 플로오로 카본과 CO의 혼합가스와 같이 P-TEOS막(122)과 P-Si3N4막(125)의 선택성이 얻어지는 가스를 이용한다. 이 후, 상기 리소그래피로 형성된 레지스트 패턴을 다운 플로우 에싱법으로 회화했다.
다음에, 제25(c)에 도시한 바와 같이 직류 마그네트론 스퍼터링법으로 장벽금속으로 이루어지는 Nb막(129)을 무강열로 형성하고, 이어서 배선으로 되는 Cu막(130)을 형성한다. 본 실시예에서는 스퍼터링법을 이용하여 Cu막(130)을 형성했지만 콜리메션법을 이용하면 좋다. 이 후, 스퍼터링과 동일 진공중에서 기판표면으로부터 할로겐 램프등을 이용해서 Cu 표면의 자연산화막 형성을 제어하면서 열처리를 행하고, Cu막(130)의 매립을 행한다.
그 후, Cu막(130)의 표면에 절연막을 퇴적했을 때에 Cu가 퇴적한 절연막중으로 확산하는 것을 제어하기 위한 장벽금속으로서 Nb막(131)을 직류 마그네트론 스퍼터링법에 의해 형성한 후, CMP법에 의해 카본막(127)상의 여분 Nb막(129)을 제거한다.
다음에, 배선영역외의 Cu막(109)을 CMP법에 의해 제거한 후, 이 CMP의 스퍼터에 이용한 카본막(127)을 산소 이온 에칭에 의해 제거하여 제25도(d)에 도시한 바와 같은 구조의 매립배선이 완성된다.
더욱이, 배선을 쌓아 겹치는 경우는 제25도(e)에 도시한 바와 같이 에칭 스토퍼막(132)과 P-TEOS등의 층간절연막(133)을 형성한 후, 리소그래피와 반응성 이온 에칭을 이용하여 접촉구멍을 형성하고, 이 접촉 구멍에 W등 도전막(134)의 매립등을 반복해도 좋다.
[제14 실시예]
제26도(a)∼(e)는 제14 실시예의 매립배선의 형성방법을 도시한 공정 단면도로서, 본 실시예는 P-TEOS막과 P-Si3N4막을 이용하여 매립배선을 2층 이상 형성하는 방법이다.
먼저, 제26도(a)에 도시한 바와 같이 실리콘 기판(141)상에 층간절연막으로서 두께 0.3㎛의 P-TEOS막(142)을 CVD법에 의해 형성한 후, 리소그래피와 반응성 이온 에칭을 이용하여 접촉구멍(143)을 형성한다. 그 후, 이 접촉구멍(143)내에 선택적으로 W을 매립하고, W막(144)을 형성한다.
다음으로, 제26도(b)에 도시한 바와 같이 스토퍼로서 두께 0.1㎛의 P-Si3N4막(145)을 CVD법에 의해 형성한 후, 배선의 막 두께와 같은 막 두께(0.3㎛)의 P-TEOS막(146)을 형성한다. 다음에, 리소그래피와 반응성 이온 에칭을 이용하여 배선도랑(147)을 형성한다. 이 에칭에는, 예컨대 플로오로 카본과 CO의 혼합가스를 이용해서 P-TEOS막(142)와 P-Si3N4막(145)의 선택성이 얻어지도록 한다. 그 후, 상기 리소그래피로 형성된 레지스트 패턴을 다운 플로우 에싱법으로 탄화한다.
다음에, 직류 마그네트론 스퍼터링법에 의해 배선으로 되는 Al막을 전면에 형성한 후, 스퍼터링과 진공중에서 기판표면으로부터 할로겐 램프등을 이용해서 Al표면의 자연산화막 형성을 제어하면서 열처리를 행하여 Al막의 매립을 행한다.
그리고, 제26도(c)에 도시한 바와 같이 배선영역외의 Al막을 CMP법에 의해 제거하고, 1층째의 매립배선(148)을 완성시킨다. 다음에, 제26도(d)에 도시한 바와 같이 플라즈마 Si3N4막(149)과 층간절연막으로서 플라즈마 TEOS막(150)을 순차 형성한 후, 리소그래피와 반응성 이온 에칭을 이용하여 접촉구멍(151)을 형성한다. 다음으로 접촉구멍(151)내에 W을 선택적으로 매립하고, W막(152)을 형성한다.
더욱이, 2층째의 매립배선을 형성하기 위해 제26도(e)에 도시한 바와 같이 스토퍼로 되는 P-Si3N4막(153)과 P-TEOS막(154)을 순차 형성한 후, 리소그래피와 반응성 이온 에칭을 이용하여 배선도랑을 형성한다. 그 후, P-Si3N4막(153)을 반응성 이온 에칭에 의해 제거한다.
다음에, 직류 마그네트론 스퍼터링법에 의해 장벽금속으로서 Nb막(155)을 무가열로 전면에 형성하고, 이어서 배선으로서의 Cu막(156)을 전면에 형성한다. 본 실시예에서는 Cu막(156)의 성막에 스퍼터링법을 이용했지만 콜리메션법을 이용하면 좋다. 그 후, 스퍼터링과 동일 진공중에서 기판표면으로부터 할로겐 램프 등을 이용해서 자연산화막의 형성을 제어하면서 열처리를 행하여 도랑내의 Cu막(156)의 매립을 행한다.
다음에, Cu막(156)의 표면에 오염물등이 확산되지 않도록 장벽금속으로서의 Nb막(157)을 직류 마그네트론 스퍼터링법에 의해 형성한 후, CMP법에 의해 여분의 Nb막(155,157)을 제거한다. 최후에, 배선영역외의 Cu막(156)을 CMP법에 의해 제거하고, 2층째의 매립배선을 완성한다. 3층과 4층이 겹치는 경우에 이들을 반복시키면 순서는 달라도 된다. 본 실시예에서는 중가층을 접촉구멍(와이어구멍)으로 했지만, 이것을 배선으로 하는 경우는 P-Si3N4막을 선택적으로 제거하는 것으로써 접촉구멍(와이어 구멍)의 대용이 가능하게 된다.
이상 배선의 형성방법에 대해서 설명했지만 층간절연막 아래에 소자가 형성되어 있어도 마찬가지 방법으로서 도랑배선의 형성이 가능하다.
[제15 실시예]
제27도(a)∼(g)는 본 발명의 제15 실시예에 따른 반도체장치의 제조방법을 도시한 공정 단면도이다.
먼저, 제27도(a)에 도시한 바와 같이 반도체 기판(301)의 표면에 필드산화막(302)을 형성한 후, 확산층(303)과, 게이트 산화막(304) 및, 게이트 전극(305)을 형성한 후, 전면에 제1층간절연막(306)을 형성한다.
여기서, 제1층간절연막(306)으로서는, 예컨대 CVD법에 의해 형성한 SiO2막 또는 CVD법에 의해 형성한 B와 P등의 불순물을 포함한 SiO2막 또는 플라즈마 CVD법에 의해 형성된 SiO2막 또는 플라즈마 CVD법에 의해 형성하고, 소스가스로서 TEOS계의 가스를 이용한 SiO2막 또는 플라즈마 CVD법에 의해 형성하며, 절연막의 유전율을 저하시키기 위한 F를 첨가한 SiO2막 또는 이들 적층막을 이용한다.
다음으로, 제27도(b)에 도시한 바와 같이 제1층간절연막(306)의 표면을 평탄화 한다. 이 평탄화의 방법으로서는, 예컨대 CMP법과 레지스트 에칭법등을 이용한다. 다음에, 제27도(c)에 도시한 바와 같이 배선도랑의 형성시에 에칭 스터퍼로서 기능하는 절연막으로 이루어진 제1에칭 스토퍼막(307)과 배선도랑에 제공되는 제2층간절연막(308), 이후 공정으로 관통구멍을 형성할 때에 에칭 스토퍼로서 기능하면서 배선층을 에칭할 때에 에칭 스터퍼로서 기능하는 제2에칭 스토퍼막(309)을 순차 형성한다.
여기서, 제2층간절연막(308)은 제1층간절연막(306)과 마찬가지로 SiO2계의 재료를 이용하여 형성한다. 또한, 제1에칭 스토퍼막(307)은 제1층간절연막(306) 및 제2층간절연막(308)과 재질이 다른 것이 필요한 바, 예컨대 SiN등을 이용한다. 이 SiN은 플라즈마 CVD법등으로 형성해도 좋으며 또한 SiO2를 플라즈마 질화처리에 의해 질을 개선하여 형성해도 좋다.
또한 제2에칭 스토퍼막(309)의 재료는 관통구멍의 형성시에 에칭 스토퍼로 된다는 성질 외에 배선층의 에칭시에 에칭 스토퍼로 된다는 성질을 갖는 것이 아니면 안되며, 이와 같은 성질을 갖춘 재료로서는, 예컨대 100㎚ 이하의 카본이다. 또한 SiN과 카본의 적층으로서도 좋다.
그 후, 제27도(d)에 도시한 바와 같이 배선용의 레지스트 패턴(310)을 형성한 후, 제27도 (e)데 도시한 바와 같이 레지스트 패턴(310)을 마스크로 하여 제2에칭 스토퍼(309)와 제2층간절연막(308)을 에칭한다. 이 후, 레지스트 패턴(310)을 제거한다. 이와 같이 하여 제2층간절연막(308)에 배선도랑이 형성된다. 여기서, 제2에칭 스토퍼막(309)과 제2층간절연막(308)의 에칭은 플로오로 카본계의 가스를 이용해서 연속적으로 행해도 좋고, 또는 불연속적으로 행해재도 좋다. 또한, 레지스트 패턴(310)의 제거는, 예컨대 O2에칭에 의해 행한다. 더욱이, 제2에칭 스토퍼막(309)으로서 카본막을 이용한 경우에는 레지스트 패턴(310)의 제거는, 예컨대 유산 : 과산화수소 = 3 : 2 정도 용액을 이용한 습식 에칭에 의해 수행한다. 더욱이, 하부에 금속재료가 노출되고 있는 경우에 레지스트 패턴(310)의 제거는, 예컨대 다운 플로우 에싱등에 의해 수행한다.
다음에, 제27도(f)에 도시한 바와 같이 레지스트 패턴(311)을 형성한 후, 이 레지스트 패턴(311)을 마스크로 하여 제1에칭 스토퍼막(307)과 제1층간절연막(306)을 에칭하여 관통구멍(312a,312b)을 형성한다. 이 때, 하부 단차를 위해 게이트 전극(305)상의 관통구멍(312b)은 얕게, 확산층(303)상의 관통구멍(312a)은 깊게 형성된다.
여기서, 도시한 바와 같이, 레지스트 패턴(311)이 배선도랑에 미치지 않도록 형성한다면 비록 횡방향(폭방향)에 레지스트 패턴(311)의 일치오차가 생겨도 제2에칭 스토퍼(309)가 존재하기 때문에 배선도랑과 같은 폭의 관통구멍(312a,312b)을 형성할 수 있다.
다음에, 제27도(g)에 도시한 바와 같이 레지스트 패턴(311)을 제거한 후, 깊은 쪽의 관통구멍에 일치시켜 선택 CVD법, 또는 무전해 도금법으로 만든 선택성장에 의해 접촉층(313a,313b)을 형성한다. 이 때, 얕은 쪽 관통구머의 접촉층(313b)은 얕은 쪽의 관통구멍으로부터 넘치고, 배선도랑의 일부를 매립하는 것으로 되지만 2개의 접촉층(313a,313b)의 높이(막 두께)는 어느 부분에서도 거의 같게 된다.
그 후, 제27도(h)에 도시한 바와 같이 확산방지막(314)을 형성한 후, 배선층(315)을 형성한다. 여기서, 확산방지막(314)의 재료로서는, 예컨대 Al, Ti, TiN, Nb, W, 또는 이들을 적충한 것, 또는 이들의 합금을 이용한다. 또한, 배선층(315)으로서는, 예컨대 Cu, Ag, Au, 또는 이들의 합금을 이용한다. 즉, 접촉층보다도 저항이 낮은 재료를 이용한다.
다음에, 제27도(i)에 도시한 바와 같이 배선도랑 이외의 확산방지막(314)과 배선층(315)을 CMP법등에 의해 에칭 제거하는 것에 의해 표면을 평탄화함과 더불어 접촉층(313a, 313b)상에 각각 배선(315a,315b)을 형성한다.
여기서, 제27도(i)의 a-a' 단면은, 제28도에 도시한 바와 같이 접촉층(313b)은 관통구멍으로부터 넘쳐 있고, 이것에 의해 접촉층(313b)과 배선(315b)의 접촉 면적이 증대하고, 접촉 저항의 감소가 도모된다.
본 실시예에 의하면, 관통구멍의 도중까지 접촉층(313a,313b)을 형성한 후, 배선(315a,315b)으로 되는 배선층(315)을 전면에 형성하고, 이 배선층(315)의 전면을 에칭(또는 연마)하는 것에 의해 표면이 평탄한 배선(315a,315b)이 얻어진다. 그러나, 이후 공정에서 형성하는 층간절연막의 평탄화가 쉽게 된다.
더욱이, 본 실시예의 경우, 접촉층(313a,313b)의 높이가 같으면서 배선(315a,315b)의 저항이 접촉층(313a,313b)의 저항보다도 낮게 되어 있기 때문에 관통구멍 및 배선도랑(개구부)내의 저항이나 신뢰성이 같도록 할 수 있다.
제29도(a), (b)는 본 실시예의 변형예를 도시한 단면도이고, 이것에 얕은쪽에 관통구멍에 일치시켜 접촉층(313a,313b)을 형성한 예이다. 제29도(a)는 제27도(i)에 대응하는 단면도이다. 또한, 제29도(b)도는 제28도에 대응하는 단면도이고, 제29도(a)의 b-b' 단면도이다.
[제16 실시예]
제30도는 본 발명의 제16 실시예에 따른 반도체장치의 요부 개략 구성을 도시한 단면도이다.
이것을 제조공정에 따라 설명하면, 먼저 SiO2계의 층간절연막(321)의 표면에 배선구조를 형성한다. 층간절연막(321)의 종류로서는, 예컨대 CVD법에 의해 형성한 SiO2또는 CVD법에 의해 형성한 B, P 등의 불순물을 포함한 SiO2또는 플라즈마 CVD법으로 형성한 SiO2또는 플라즈마 CVD법으로 형성하고, 소스가스로서 TEOS계의 가스를 이용한 SiO2또는 플라즈마 CVD법으로 형성하며, F를 0.1∼10%의 농도로 첨가한 SiO2를 이용한다.
다음으로, N2플라즈마 처리에 의해 배선도랑의 측부 및 저부의 층간절연막(321)을 질화하고, SiNx또는 SiOyN2로 이루어진 확산방지막(322)을 형성한다. 산화에 의해 성막을 행하고 있기 때문에 다른 방법에 비해 공정의 간략화가 도모되고, 더욱이 배선도랑에 저항이 높은 재료가 들어오지 않게 때문에 저항의 상승을 막는 것이 가능하다.
다음에, 도전재료로 이루어진 확산방지막(323)으로 주위가 덮여진 배선(324)을 형성한다. 그 후, 배선표면에서의 확산을 보다 완전히 막기 위해 절연재료로 이루어진 확산방지막(325)을 전면에 형성한다. 이 확산방지막(325)의 재료는 이후 공정에서 관통구멍을 형성할 때의 스토퍼막으로서 동작하는 것을 이용한다.
본 실시예에 의하면, 배선(324)이 3개의 확산방지막(322,323,325)으로 덮여 있기 때문에 배선(324)의 구성물질의 확산을 보다 완전히 방지할 수 있다.
[제17 실시예]
제31도(a)∼(m)는 본 발명의 제 17실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제31도(a)에 도시한 바와 같이 원하는 소자(도시되지 않았음)가 형성된 Si기판등의 반도체 기판(501)상에 층간절연막(502)을 형성한다. 층간절연막(502)으로서는, 예컨대 CVD법으로 형성한 SiO2막이나 B,P등의 불순물을 포함한 SiO2막이나, 플라즈마 CVD법으로 형성한 SiO2막이나, 소스가스로서 TEOS계 가스를 이용해서 형성한 SiO2막을 이용하는 것이 가능하다. 또한, 층간절연막(502)의 유전율을 낮히기 위해 비소등을 도핑한 것이어도 좋다.
다음으로, 층간절연막(502)의 표면을 플라즈마 질화하여 층간절연막(502)의 표면에 플라즈마 질화물층(503)을 형성한다. 플라즈마 질화물층(503)의 형성방법으로서는, 예컨대 챔버실 온도 350∼450℃, 10-3∼10Torr 압력의 질소 플라즈마중에서 3∼60분간 처리하고, SiNx, SiOyNz등으로 이루어진 층을 형성한다. 이 SiOyNz층등은 층간절연막(502)의 유전율을 증가하는 것이 없도록 수 ㎚∼10㎚로 하는 것이 바람직하다(이하의 플라즈마 질화물층에 관해서도 마찬가지로 한다).
여기서, 플라즈마 질화물층(503)은, 층간절연막(502)이 수분을 흡수하는 것을 방지하는 역할을 달성한다. 또한, 기생용량을 줄이기 위해 층간절연막(502)에 도펀트를 포함시킨 경우에는 도펀트의 바깥 쪽 확산을 방지하는 역할을 달성하는 것이 가능하다.
다음에, 플라즈마 질화물층(503)상에 이후 공정에서 형성되는 평탄화 및 선택성이 붕괴된 금속막을 레지스트 에치 백이나 CMP 등에 의해 제거할 때에 에칭 스트퍼로서 이용되는 스토퍼막(504)을 형성한 후, 이 스토퍼막(504)상에 접촉구멍 형성용의 레지스트 패턴(505)을 형성한다.
여기서, 스토퍼막(504)으로서는, 예컨대 탄소(C)의 박막등을 이용해도 좋다. 또한, 스토퍼막(504)은 이후 공정에서의 레지스트 패턴 형성시의 노광광의 반사를 방지하는 역할을 한다.
그 후, 제31도(b)에 도시한 바와 같이 레지스트 패턴(505)을 마스크로 이용하여 스토퍼막(504), 플라즈마 질화막(503) 및 층간절연막(502)을 이방성 에칭하고, 접촉구멍을 형성한다. 이 때, 스토퍼막(504)과, 플라즈마 질화막(503) 및, 층간절연막(502)의 이방성 에칭은 연속으로 행하고, 에칭 가스로서는, 예컨대 플로오로 카본계의 가스를 이용한다. 즉, 스토퍼막(504)과, 플라즈마 질화물층(503) 및, 층간절연막(502)의 이방성 에칭은 각각 불연속으로 행해져도 좋다.
다음에, 제31(c)에 도시한 바와 같이 레지스트 패턴(505)을 제거한다. 이 레지스트 패턴(505)의 제거에는 O2를 이용한 에싱에 의해도 좋지만, 스토퍼막(504)에 C의 박막을 이용하는 경우에는 유산 : 과산화수소수 = 3 : 2 정도의 용액을 이용한 습식 에칭을 행하면 좋다. 더욱이, 하부에 금속이 벗겨져서 존재하는 경우에는 F를 포함하는 가스(예컨대, CF4가스)와 산소를 포함한 가스로서 혼합 가스 플라즈마에서 취출한 여기된 원자 또는 분자를 이용한 다운 플로우 에싱등을 이용하면 좋다.
다음으로, 접촉구멍의 아래 면 및 측면에 플라즈마 질화물층(506)을 형성한다. 구체적으로는, 예컨대 온도 100∼500℃의 불활성 가스 분위기 중에서 어닐하고, 충분한 수분을 발열시킨 후에서 연속적으로 상기 플라즈마 질화물층(503)과 같은 방법에 의해 플라즈마 질화물층(506)을 형성한다. 이 플라즈마 질화물층(506)도 이후 공정에서 접촉구멍내에 매립 금속막(507)의 저항 상승을 방지하기 위해 막 두께는 수㎚∼10㎚의 박막인 것이 바람직하다. 또한, 접촉구멍의 아래 면이, 예컨대 TiSix, NiSix, CoSiw등 이라면 이들을 플라즈마 질화하여 도전성이 있는 합금으로 변화시켜도 좋다. 여기서, 배선도랑 측부의 층간절연막(502)의 표면은 플라즈마 질화물층(506)으로 피복되어 있기 때문에 층간절연막(502)의 수분 흡수를 방지할 수 있다.
다음에, 제31도(d)에 도시한 바와 같이, 예컨대 W, Cu 등의 금속막(507)을 접촉구멍내에 퇴적한다. 이때, 평탄화 및 선택성이 붕괴된 금속막(507a)도 접촉구멍 이외의 부분에 형성된다. 평탄화 및 선택비가 붕괴된 금속막을 제거하기 위해 전면에 레지스트(508)을 형성한다. 여기서, 배선도랑의 하부에는 플라즈마 질하물층(506)이 형성되어 있기 때문에 금속막(507)의 구성원자가 반도체 기판(501)으로 확산하는 것을 방지할 수 있다. 즉, 플라즈마 질화물층(506)은 장벽금속으로서 기능한다.
그 후, 다음에 평탄화 및 선택성이 붕괴된 금속막(507a)막을 제거하기 위해 제31도(e)에 도시한 바와 같이 레지스트(508)와 금속막(507)의 에칭율의 같은 에칭조건에 의해 스토퍼막(504)이 노출하기까지 에치 백한다.
이 평탄화는 CMP에 의해 수행되어도 좋다. 다음으로, 제31도(f)에 도시한 바와 같이 스토퍼막(504)을 제거한다. 스토퍼막(504)으로서 카본 박막을 이용한 경우에는 에천트로서 산소를 이용한 비활성 이온 에칭(RIE)이나 O2를 이용한 에싱에 의해 제거하면 된다.
다음에, 제31도(g)에 도시한 바와 같이 층간절연막(509)을 형성한 후, 그 표면을 플라즈마 질화하여 플라즈마 질화물층(510)을 형성한다. 다음으로, 플라즈마 질화물층(510)상에 스토퍼막(511)을 형성한 후, 배선도랑 형성용의 레지스트 패턴(512)을 형성한다.
더욱이, 제31도(h)에 도시한 바와 같이 레지스트 패턴(512)을 마스크로서 스토퍼막(511)과 플라즈마 질화물층(510)을 에칭하고, 계속 층간절연막(509)을 에칭한다. 층간절연막(509)의 에칭은 플라즈마 질화물층(503; 내에칭막)에서 멈추도록 한다.
또한, 제31도(i)에 도시한 바와 같이 레지스트 패턴(512)을 다운 플로우 에싱에 의해 제거한 후, 배선도랑 측면의 층간절연막(509)의 표면을 플라즈마 질화하여 플라즈마 질화물층(513)을 형성한다. 이 플라즈마 질화물층(513)에 의해 층간절연막(509)의 수분 흡수를 방지할 수 있다. 또한, 이 공정에서 접촉 구멍내의 금속막(507)의 표면이 산화되지만, 플라즈마 질화물층(513)은 도전성인 합금이므로 금속막(507)의 도전성은 잃지 않는다.
다음으로, 제31도(j)에 도시한 바와 같이 직류 마그네트론 스퍼터링법을 이용하여, 예컨대 Nb, V, Mo, Al, Ti, TiN 또는 이들의 적층막, 또는 이들 합금으로 이루어진 확산방지막(514)을 전면에 형성한다. 이 때, 확산방지막(514)의 두께는 배선저항으 증가를 제어하는 관점으로부터 수㎚∼30㎚ 정도로 하는 것이 바람직하다.
그 후, 예컨대, Au, Ag, Cu, 또는 이들 합금으로 이루어진 배선재료막(515)을 퇴적한다. 이 배선재료막(515)의 작성은 구체적으로는 스퍼터링법, CVD법, 도금법등이 있지만, 예컨대 콜리메터를 이용하여 배선재료막을 스퍼터링하거나 또는 10-4∼10-3Torr의 불활성 가스 분위기 중에서 타겟과 기판의 거리를 10㎝ 이상 떨어뜨려 스프터링하든가, 또는 배선재료를 구성하는 금속 또는 입자를 이온화하고, 기판에 바이어스를 인가하면 좋다. 이와 같은 방법에 의해 배선재료막(515)을 이방성 퇴적법에 의해 퇴적할 수 있다. 그 후, 도랑부분을 완전하게 배선재료막(515)으로 매립하기 위해 배선재료(515)가 산화하지 않고, 더욱이 주변 절연막으로의 확산도 없으며, 더욱이 도랑 내부에서의 빨아냄을 일으키는 것이 없는 조건으로 리플로우해도 좋다.
다음으로, 제31도(k)에 도시한 바와 같이 CMP 법등의 평탄화 기술에 의해 배선재료막(515) 및 확산방지막(514)을 스토퍼막(511)이 노출하기까지 에칭한다. 다음에, 제31도(l)에 도시한 바와 같이 스토퍼막(511)을 제거한다. 스토퍼막(511)에 카본 박막을 이용한 경우에는 산소를 이용한 비활성 이온 에칭에 의해 카본 박막을 제거하면 좋다.
최후에, 제31도(m)에 도시한 바와 같이 절연막으로 이루어진 표면보호막(516)을 전면에 형성한다. 또는 Cu 와 합금화(산화, 확산방지막)하는 금속, 예컨대 Al 등을 전면에 1∼50㎚형성하고, 가열한 후, Cu 와 Al의 미반응부분(배선이외)을 선택적으로 제거하고, 그 위에 절연막을 형성해도 된다.
그 후, 배선을 쌓아 올리는 경우에는 상술한 제11도(a)의 층간절연막(502)의 형성으로부터 도랑배선 형성까지를 반복하면 좋다.
상술한 바와 같이, 본 실시예에 의하면, 플라즈마 질화물층에 의해 배선도랑 폭의 협소화를 일으킴 없이 층간절연막의 수분 흡수나 배선층의 구성원자의 확산을 방지할 수 있고, 또 신뢰성 향상이 도모되도록 된다.
제32도는 실리콘 산화막의 표면에 산소 플라즈마 처리를 실시한 경우의 분석 결과이다. 제32도에서 실리콘 산화막의 표면에서부터 ∼10㎚까지의 깊은 지역에는 질소가 포함되어 있는 것이 알려져 있다. 즉, Si절연막의 표면에 플라즈마 실리콘 산화막이 형성되어 있는 것을 확인할 수 있었다. 이와 같이 표면에 플라즈마 실리콘 질소가 형성된 실리콘 산화막을 조절한 것으로 실리콘 산화막을 에칭할 때, 플라즈마 실리콘 질화막이 내에칭막으로서 작용하는 것 및, 배선재료의 확산방지막으로서 작동하는 것 더욱이, 실리콘 산화막의 흡수 방지막으로서 작용하는 것을 확인했다.
[제18 실시예]
제33도(a)∼(i)는 본 발명의 제 18실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도 이다.
먼저, 제33도(a)에 도시한 바와 같이 원하는 소자(도시되지 않았음)가 형성된 반도체 기판(601)상에 층간절연막(602)을 형성한 후, 층간절연막(602)의 표면을 질소 플라즈마처리하는 것에 의해 층간절연막(602)의 표면에 SiON막등의 플라즈마 질화물층(603)을 형성한다. 층간절연막(602)으로서는, 예컨대 SiO2막 또는 불순물을 포함한 SiO2을 이용한다.
다음으로, 플라즈마 질화물층(603)상에 층간절연막(609)을 형성한 후, 이층간절연막(609)의 표면에 플라즈마 질화물층(610)을 형성한다. 다음에, CMP법으로 내에칭막으로서의 스토퍼막(611)을 형성한 후, 배선도랑 형성용의 레지스트 패턴(612)을 형성한다. 층간절연막(609)으로서는, 예컨대 SiO2막 또는 불순물을 포함한 SiO2막을 이용한다. 또한, 스토퍼막(611)으로서는, 예컨대 카본박막을 이용한다.
다음에, 제33도(b)에 도시한 바와 같이 레지스트 패턴(612)을 마스크로 이용해서, 먼저 스토퍼막(611) 및 플라즈마 질화물층(610)을 에칭하고(제1단계), 이어서 층간절연막(609)을 에칭(제2단계)한다는 제2단계의 에칭을 행한다. 이때, 플라즈마 질화물층(603)에 의 해 층간절연막(602)은 에칭되지 않는다.
그 후, 제33도(c)에 도시한 바와 같이 레지스트 패턴(612)을 제거한다. 이 레지스트 패턴(612)의 제거에는 다운 플로우 에싱 또는 유산과 과산화수소수의 혼합용액을 이용한 습식 에치에 의해 수행되도 된다. 다음에 제33도(d)에 도시한 바와 같이 배선도랑 폭의 치수보다 크게 관통구멍 개구용의 레지스트 패턴(615)을 형성한다.
더욱이, 제33도(e)에 도시한 바와 같이 레지스트 패턴(615)및 스토퍼막(611)을 마스크로서 플라즈마 질화물층(603)과 층간절연막(602)을 에칭한다. 더욱이, 제33도(f)에 도시한 바와 같이 다운 플로우 에싱등에 의해 레지스트 패턴(615)을 제거한 후, 가열하는 것으로 배선도랑과 접촉 구멍의 측면으로부터 수분이나 잉여 F를 제거한 후, 질소 플라즈마 처리에 의해 배선도랑내에 플라즈마 질화물층(617)을 형성한다.
다음으로, 제33도(g)에 도시한 바와 같이 접촉구멍내에 금속막(607)을 선택적으로 형성한다. 실제의 LSI에서는 얕은 접촉구멍이나 깊은 접촉구멍이다. 본 실시예에서는 깊은 접촉구멍으로부터 넘치도록 금속막(607)을 형성하고 있다. 금속막(607)으로서는, 예컨대 W, Cu, Al, Au, Ag 등의 금속막을 이용한다. 즉, 금속막(607)의 대표적인 다결정 Si등의 도전재료의 막을 이용해도 좋다. 요는 도전막이라면 좋다.
그리고, 제33도(h)에 도시한 바와 같이 전면에 확산방지막(614)을 형성한 후, 예컨대 Au, Ag, Cu 또는 이들 합금으로 이루어진 배선재료막(615)을 퇴적한다. 그 후, 제33도(i)에 도시한 바와 같이 배선 영역외의 배선재료막(615)및 확산방지막(614), 더욱이 금속막(607)을 CMP법에 의해 제거한다.
이후의 공정은 앞의 실시예의 제31도(i)에서 설명한 공정과 마찬가지로 스토퍼막(611)을 제거한 후, 절연막으로 이루어진 표면보호막(616)을 전면에 형성한다. 배선을 쌓아올리는 경우에는 앞의 실시예의 제31도(m)에서 설명한 공정과 마찬가지로 Cu의 박막상의 절연막 형성으로부터 반복하면 좋다.
[제19 실시예]
제34도(a)∼(f)는 본 발명의 제18 실시예에 따른 매립배선의 형성방법을 도시한 공정 단면도이다.
먼저, 제34도(a)에 도시한 바와 같이 앞의 실시예와 마찬가지의 방법에 의해 원하는 소자(도시되지 않았음)가 형성된 반도체 기판(701)상에 층간절연막(702)을 형성하고, 다음으로 질수 플라즈마 처리에 의해 층간절연막(702)의 표면에 플라즈마 질화물층(703)을 형성한다. 이 후, 플라즈마 질화물층(703)상에 접촉구멍 개구용의 레지스트 패턴(705)을 형성한다.
다음에, 제34도(b)에 도시한 바와 같이 레지스트 패턴(705)을 마스크로 이용하여 플라즈마 질화물층(703)을 에칭한다. 그 후, 제34도(c)에 도시한 바와 같이 O2에싱등에 의해 레지스트 패턴(705)을 제거한다. 더욱이, 제34도(d)에 도시한 바와 같이 전면에 층간절연막(709)을 형성한 후, 이 층간절연막(709)의 표면에 플라즈마 질화물층(710)을 형성한다. 다음으로, 이 플라즈마 질화물층(710)상에, 예컨대 카본으로 이루어진 스토퍼막(711)을 형성한 후, 이 스토퍼막(711)상에 배선도랑 형성용의 레지스트 패턴(712)을 형성한다.
그 후, 제34도(e)에 도시한 바와 같이 레지스트 패턴(712)을 마스크로 이용해서, 먼저 스토퍼막(711) 및 플라즈마 질화물층(710)을 에칭하고(제1단계), 이어서 층간절연막(709, 702)을 에칭한다(제2단계)는 2단계의 에칭을 행한다. 제2단계의 에칭에서는 플라즈마 질화물층(703)이 마스크의 역할을 달성한다. 이 때, 배선도랑부분은 플라즈마 질화물층(703)에 의해 층간절연막(702)은 에칭되지 않는다.
다음으로, 제34도(f)에 도신된 바와 같이, 레지스트 패턴(712)을 제거한다. 이 레지스트 패턴(712)의 제거는 다운 플로우 에싱 또는 유산과 과산화수소루의 혼합용액을 이용한 습식 에칭에 의해 행한다. 다음으로, 배선도랑과 접촉구멍의 측면 및 저면을 가열하여 수분이나 잉여 F를 제거한 후, 질소 플라즈마 처리에 의해 플라즈마 질화물층(717)을 형성한다. 이 플라즈마 질화물층(717)에 의해 층간절연막(702,709)의 수분흡수를 방지할 수 있다. 이 이후의 형성방법은, 제18실시예의 제33도(g) 이후의 공정과 마찬가지이다.
또한, 상기 절연막의 작성이나 그 질소 플라즈마 처리는 제35도나 제36도에 도시하는 반도체 제조 장치를 이용하면 좋다.
제35에 도시하는 반도체 제조장치는 크게 나누어 5개의 진공조, 즉 로드실(825)과, 언로드실(826), 반송실(827), 질소 플라즈마 처리실(828) 및, 절연막형성실(829)에 의해 구성되어 있다.
이들 진공조(825∼829)에는 터보분자펌프(823) 및 건조펌프(824)가 설치되어 있고, 이들에 의해 진공조(825∼829)내의 압력을 원하는 진공로도 빠르게 설정할 수 있도록 되어 있다.
또한, 질소 플라즈마 처리실(828) 및 절연막 형성실(829)내에는 플라즈마 방전용 전극(820) 및 히터를 구비한 서셉터(819)가 설치되어 있다. 플라즈마 방전용 전극(820)은 RF 전원에 구속되어 있다. 더욱이, 질소 플라즈마 처리실(828)에는 N2가스나 NH3등의 원료가스를 도입하기 위한 가스 도입노즐(821)이 설치되고, 절연막 형성실(829)에는 TEOS 가스, CF4가스, O2가스등의 원료 가스를 도입하기 위한 가스 도입노즐(822)이 설치되어 있다.
이와 같이 구성된 반도체 제조장치에 의하면, 먼저 로드실(825)에서 웨이퍼(818)가 반송실(827)에 설치된 암(도시되지 않았음)에 의해 절연막 형성실(829)에 반입되고, 이 절연막 형성실(829)내에서 웨이퍼(818)상에 절연막이 형성된다.
다음으로, 웨이퍼(818)느 반송실(827)에 설치된 암(도시되지 않았음)에 의해 질소 플라즈마 처리실(828)내에 반입되고, 이 질소 플라즈마 처리실(828)내에서 웨이퍼(818)상에 형성된 절연막의 표면이 질소 플라즈마 처리되며, 플라즈마 질화물층이 형성된다. 다음에, 웨이퍼(818)는 반송실(827)에 설치된 암(도시되지 않았음)에 의해 언로드실(826)이 반입된다. 그 후, 새로운 웨이퍼가 로드실(825)에 반입되고, 먼저의 웨이퍼와 마찬가지 처리가 실시된다.
이와 같은 반도체 제조장치를 이용하면, 대기에 노출시키는 것 없이 각 막의 작성을 연속적으로 행하는 것이 가능하게 된다. 즉, 여기서는 절연막을 형성한 후에 플라즈마 질화물층을 형성하는 경우에 대해서 설명했지만 이 반도체 제조장치를 이용하는 것에 의해 샌드위치구조의 절연막도 형성할 수 있다.
제36도의 반도체 제조장치가 제35도에 도시한 것과 다른 점은 2개의 질소 플라즈마 처리실(828a,828b)이 구비되어 있는 것이다. 이와 같은 구성으로 하는 것에 의해 제35도에 도시한 반도체 제조장치보다도 높은 처리량이 얻어지는 것이 가능하다.
[제20 실시예]
배선재료의 확산을 복수의 장벽층으로 방지하는 방법은 위에 凸의 형상을 갖는 배선에도 적용할 수 있다. 그 실시예(본 발명의 제20실시예)를 제 37도 (a)∼(d)에 도시한 공정 단면도를 이용해서 설명한다.
먼제, 제37도(a)에 도시한 바와 같이 반도체 기판(901)상에 층간절연막(902)를 퇴적한 후, 이 층간절연막(902)상에 절연막으로 이루어진 장벽층(903)을 퇴적한다.
이 장벽층(903)은 층간절연막(902)의 표면을 플라즈마 질화하는 것에 의해 얻는 것이 가능하다. 다음에, 장벽층(904)으로 되는 금속막의 배선재료막(905)으로 되는 금속막 및, 장벽층(906)층으로 되는 금속막을 순차 퇴적한 후, 이들 금속막을 패터닝하여 금속 장벽층(904)과, 배선층(905) 및, 금속 장벽층(906)의 적층막으로 이루어진 배선을 형성한다.
다음에, 제37도(b)에 도시한 바와 같이 배선의 측벽을 피복하도록 금속 장벽층(907)을 전면에 형성한다. 그 후, 제37도(c)에 도시한 바와 같이 전면에 RIE를 실행하는 것에 의해 배선측벽 이외의 장벽층(907)을 제거한다. 그 결과, 저면, 상면 및 측면 전체가 금속 장벽층 (904,906,907)에 의해 피복된 배선이 형성된다. 최후에, 제37도(d)에 도시한 바와 같이 전면을 절연막으로 이루어진 장벽층(908)으로 덮는다. 이 장벽층(908)은 SixNy, SixNyOz, Al2O3, MgO, ZrO2, BeO, CaO등의 절연막으로 하는 것이 가능하다.
이와 같이 본 실시예에 의하면 위에 凸의 형상을 갖춘 배선에서도 복수 장벽층에 의해 덮을 수 있다.
[제21 실시예]
플라즈마 질화물층은 통상의 凸형 배선을 형성했을 때에도 관통구멍 개구시의 에칭 스토퍼로서 이용할 수 있다. 이 실시예(본 발명의 제21실시예)를 제38도(a)∼(d)의 공정 단면도를 이용하여 설명한다.
먼저, 제38도(a)에 도시한 바와 같이 반도체 기판(1001)상에 절여막(1002)을 퇴적한다. 그 후, 절역막(1002)상에 배선 (1003)을 형성한다. 다음으로, 제38도(b)에 도시한 바와 같이 웨이퍼 전면을 플라즈마 질화처리하고, 절연막(1002)의 표면, 배선(1003)의 노출부분(측면, 상면)의 표면에 각각 플라즈마 질화물층(1004,1005)을 형성한다. 여기서, 플라즈마 질화물층(1004)은 정열성막으로 되지만 플라즈마 질화물층(1005)은 금속을 주체로 하는 막으로 되기 때문에 도전성이 보호된다. 더욱이, 플라즈마 질화물층(1005)은 이후 공정에서 열처리가 실시되는 경우에는 힐록(hillock)의 발생을 방지하는 막으로도 작용한다.
다음에, 제38도(c)에 도시한 바와 같이 전면에 층간절연막(1006)을 퇴적한후, 이 층간절연막(1006)상에 관통구멍 개구용의 레지스트 패턴(1007)을 형성한다. 이 때, 제38도(c)에 도시한 바와 같이 레지스트 패턴(1007)에는 일치오차가 생기고 있다.
최후에, 제38도(d)에 도시한 바와 같이 레지스트 패턴(1007)을 마스크로서 층간절연막(1006)을 에칭한다. 절연막(1002)상에 플라즈마 질화물층(1004)이 존재하기 때문에 일치오차가 있어도 절연막(1002)은 에칭되지 않는다. 따라서, 층간누설등의 문제를 방지할 수 있다.
본 발명은 더욱이, 이하에 나타낸 바와 같은 태양이 있다.
1. 절연막의 표면에 배선도랑을 형성하는 공정과, 상기 배선도랑의 저면 및 상기 배선도랑 이외의 상기 절연막의 표면에 선택적으로 배선재료를 퇴적하고, 상기 배선구조의 깊이보다도 얕은 배선재료막을 형성하는 공정, 전면에 보호막을 형성하는 공정, 상기 배선도랑 이외의 상기 절연막 표면에 형성된 상기 배선재료막 및 상기 보호막을 선택적으로 공정을 구비한느 반도체장치의 제조방법.
이 방법에서는, 배선도랑의 저면 및 상기 배선도랑 이외의 상기 절연막의 표면에 선택적으로 배선재료를 퇴적(이하, 이와 같은 선택적인 퇴적을 이방성 퇴적으로 칭함)하고, 상기 배선도랑의 깊이보다도 얕은 배선재료막을 형성하고 있기 때문에, 상기 배선재료막은 배선도랑 내부의 것과 배선도랑 외부의 것으로 완전히 분리된다. 이를 위해, 예컨대 배선재료막 및 보호막의 제거방법으로서 연마를 이용한다면 배선도랑 외부의 배선재료막 및 보호막 쪽이 먼저 제거되고, 1회의 제거공정으로 배선도랑 내부에 표면이 보호막으로 피복된 구조의 매립배선을 형성할 수 있으며, 공정수를 증가시키는 것 없이 신뢰성 높은 배선을 형성할 수 있다.
2. 절연막의 표면에 배선도랑을 형성하는 공정과, 상기 배선도랑의 측벽 및 저부의 상기 절연막을 변질시켜 제1보호막을 형성하는 공정, 상기 배선도랑의 저면 및 상기 배선도랑 이외의 상기 절연막의 표면에 선택적으로 배선재료를 퇴적하고, 상기 배선도랑의 깊이보다도 얕은 배선재료막을 형성하는 공정, 전면에 제2보호막을 형성하는 공정 및, 상기 배선도랑 이외의 상기 절연막을 표면에 형성된 상기 배선재료막 및 상기 제2보호막을 선택적으로 제거하는 공정을 구비하는 반도체장치의 제조방법.
이 방법에 의하면 상기 방법(1)과 마찬가지의 작용, 효과 외에 이하와 같은 작용효과가 얻어진다. 즉, 이 방법에서는 배선도랑의 측벽 및 저부에도 보호막(제1보호막)을 형성하고 있기 때문에 배선재료막의 보호가 확실한 것으로 된다. 그러나, 이 보호막의 성막은 배선도랑의 측면 및 저부의 변질에 의해 수행되고 있기 때문에 CVD법이나 스퍼터링법으로 성막하는 경우는 비해서 배선도랑의 협화(狹化)가 작게 되고, 배선저항의 증가를 방지할 수 있다.
더욱이, 예컨대 대에칭막으로서 배선재료막 및 제2보호막보다도 연마되기 쉬운 것을 이용한다면, 배선재료막 및 제2보호막을 선택적으로 제거할 때의 절연막의 박막화 방지 할 수 있다.
3. 제1절연막 상에 제2절연막을 형성하는 공정과, 상기 제2절연막상에 내에칭막을 형성하는 공정, 상기 내에칭막 및 제2절연막을 에칭하고, 상기 제2절연막에 배선도랑을 형성하는 공정, 상기 배선도랑의 폭방향의 개구부의 치수가 상기 배선도랑 폭의 치수보다 큰 관통구멍 개구용의 레지스트 패턴을, 상기 배선도랑의 영역상에 형성하는 공정, 상기 레지스트 패턴 및 상기 내에칭막을 마스크로서 상기 제1절연막을 에칭하고, 관통구멍을 형성한는 공정, 상기 배선도랑 내부 및 상기 관통구멍의 내부에 배선재료를 매립하는 공정을 구비하는 반도체장치의 제조방법.
이 방법에 의하면, 상기 방법(1)과 마찬가지의 작용 효과 외에 이하와 같은 작용효과가 얻어진다. 즉, 이 방법에서는 관통구멍을 형성하기 위한 레지스트 패턴으로서 배선도랑의 폭방향 개구부의 치수가 상기 배선도랑 폭의 치수보다 큰 것을 이용하고 있다. 이 때문에, 레지스트 패턴이 배선도랑에 관련되는 것을 방지할 수 있다. 또한, 이와 같은 개구폭이 큰 레지스트 패턴을 이용해도, 이 레지스트 패턴과 동시에 스토퍼막도 마스크로서 이용되고 있기 때문에 레지스트 패턴에 대응한 폭이 넓은 관통구멍이 아니고, 배선도랑의 폭과 같은 폭의 관통구멍을 형성할 수 있다. 따라서, 종래와 같이 관통구멍의 일부가 배선도랑 바깥에 형성되어 신뢰성이 저하된다는 문제는 없다.
4. 단차가 있는 하부 상에 형성되고, 표면이 평탄하여 이 표면에 배선도랑이 형성된 절연막과, 상기 배선도랑으로부터 상기 하부에 이르는 상기 절연막에 형성된 깊이가 다른 복수의 개구부, 상기 각 개구부에 같은 막 두께로 매립된 복수의 접촉구멍, 저항이 상기 접촉층의 것보다도 낮고, 상기 각 개구부내의 접촉층상에 형성되면서, 상기 배선도랑에 매립된 배선층을 구비하는 반도체장치.
5. 단차가 있는 하부 상에 형성되고, 표면이 평탄하여 이 표면에 배선도랑이 형성된 절연막과, 상기 배선도랑으로부터 상기 하부에 이르는 상기 절연막에 형성된 깊이가 다른 개구부, 상기 각 개구부에 같은 막 두께로 매린된 복구의 접촉층, 저항이 상기 접촉층의 것보다도 낮고, 상기 각 개구부내의 접촉층상에 형성되면서 상기 배선도랑에 매립된 배선층, 상기 절연막과 상기 배선층 사이 및 상기 각 접촉층과 상기 배선층 사이에 형성되며, 상기 배선층의 구성물질 확산을 방지하는 확산방지막을 구비하는 반도체 장치.
이들 반도체장치(4,5)의 구성에서는, 예컨대 개구부의 도중까지 접촉층을 형성한 후, 배선으로 되는 배선층을 전면에 형성하고, 이 배선층의 전면을 에칭(또는 연마)하는 것에 의해 표면이 평탄한 매립배선이 얻어진다. 따라서, 이후 공정에서 형성하는 층간절연막의 평탄화가 용이하게 된다. 더욱이, 이들 반도체장치의 경우, 접촉층이 높이만 같으면서 배선의 저항이 접촉층의 것보다도 낮게 되기 때문에 개구부내의 저항이나 신뢰성을 같도록 할 수 있다.
6. 제1관통구멍을 갖추고, 이 제1관통구멍내에 도전층의 형성된 제1절연막과, 상기 제1절연막상에 형성되며, 상기 도전층의 표면에 이르는 배선도랑을 갖추고, 이 배선도랑 내에 배선층이 형성된 제2절연막, 상기 배선도랑의 저부의 상기 도전층 표면에 형성된 상기 도전층을 구성하는 재료의 질화물막 및 상기 배선도랑의 측부 표면에 형성된 질소를 포함하는 절연막을 구비하는 반도체 장치.
7. 제1절연막에 관통구멍을 형성하는 공정과, 상기 관통구멍내에 도전층을 형성하는 공정, 상기 제1절연막상에 제2절연막을 형성하는 공정, 상기 제2절연막에 상기 도전층의 표면에 이르는 배선도랑을 형성하는 공정, 질소를 포함하는 플라즈마 분위기하에서 상기 배선도랑의 저부와 상기 도전층의 표면 및 상기 배선도랑의 측부표면을 질화하는 공정 및, 상기 배선도랑 내에 배선층을 형성하는 공정을 구비하는 반도체장치의 제조방법.
이들의 반도체장치(6) 및 방법(7)에 의하면, 제2배선도랑의 측부에 질소를 포함하는 절연막이 형성되어 있기 때문에 이 플라즈마 질화막에 의해 제2절연막이 수분을 흠수하는 것을 방지할 수 있다. 또한, 상기 질소를 포함한 절연막 및 도전층의 표면을 변질(질소를 포함한 플라즈마 분위기하에서의 처리)하여 형성되는 것이 좋고, 이 경우 CVD법이나 스퍼터링법을 이용하여 질화막을 형성하는 경우와 같이 배선도랑의 폭이 좁아지게 된다는 문제는 생기지 않는다. 더욱이, 상술한 바와 같이 질소를 포함하는 절연막에 의해 상기 절연막의 수분흡수를 방지하고 있기 때문에 종래와 같이 비도우프의 절연막을 이용한 샌드위치 구로조 할 필요가 없어 절연막 전체의 용량이 증가한다는 문제는 생기지 않는다.
더욱이, 상기 질소를 포함한는 절연막은 장벽금속으로서도 기능하기 때문에 배선층의 구성원자가 도전층이나 제2절연막중에 확산하는 것을 방지할 수 있다. 따라서, 이들의 구성에 의하면 용량의 증가를 일으키는 것 없이 절연막의 수분흡수를 방지할 수 있고, 더욱이 신뢰성의 향상을 도모하도록 된다.

Claims (22)

  1. 반도체소자가 형성된 반도체 기판과, 이 반도체 기판상에 형성된 층간절연막, 층간절연막상에 형성되고, 배선층을 구성하는 금속의 확산을 방지하는 절연성 장벽층, 이 절연성 장벽층상에 형성되고, 상기 금속의 확산을 방지하는 도전성 장벽층 및, 이 도전성 장벽층상에 형성되고, 상기 금속으로 이루어진 배선층을 구비하여 구성된 것을 특징으로 하는 반도체장치.
  2. 제1항에 있어서, 상기 절연성 장벽층은 층간절연막 표면을 플라즈마 질화하여 얻어지는 병성층인 것을 특징으로 하는 반도체장치.
  3. 제2항에 있어서, 상기 변성층은 SixNy및 SixNyOz(x,y,z 은 정(正)의 실수)로 이루어진 군에서 선택된 적어도 1종류로 이울어진 것을 특징으로 하는 반도체장치.
  4. 제1항에 있어서, 상기 절연성 장벽층은 1㎚∼100㎚의 두께를 갖춘 것을 특징으로 하는 반도체 장치.
  5. 제1항에 있어서, 상기 도전성 장벽층은 Al, Ti, TiN, Nb, W, 이들 2층 이상의 적층체 및, 이들의2종류 이상의 합금으로 이루어진 군에서 선택된 금속으로 이루어진 것을 특징으로 하는 반도체 장치
  6. 제1항에 있어서, 상기 배선층의 측면 및 상면에 금속의 확산을 방지하는 다른 도전성 장벽층이 형성되고, 이 다른 도전성 장벽층상에 상기 금속의 확산을 방지하는 다른절연성 장벽층이 형성되어 있는 것을 특징으로 하는 반도체장치.
  7. 반도체 기판에 반도체 소자를 형성하는 공정과, 상기 반도체 기판상에 층간절연막을 형성하는 공정, 이 층간절연막을 플라즈마 질화처리하여 층간절연막의 표면을 변성하고, 배선층을 구성하는 금속의 확산을 방지하는 절연성 장벽층을 형성하는 공정, 이 절연성 장벽층상에 상기 금속의 확산을 방지하는 도전성 장벽층을 형성하는 공정 및, 이 도전성 장벽층상에 상기 금속으로 이루어진 배선층을 형성하는 공정을 구비하여 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  8. 제7항에 있어서, 상기 변성층은 SixNy및 SixNyOz(x,y,z 은 정(正)의 실수)로 이루어진 군에서 선택된 적어도 1 종류로 이루어진 것을 특징으로 하는 반도체 장치의 제조방법.
  9. 제7항에 있어서, 상기 변성층은 1㎚∼100㎚의 두께를 갖춘 것을 특징으로 하는 반도체장치의 제조방법.
  10. 제7항에 있어서, 상기 도전성 장벽층은 Al, Ti, TiN, Nb, W, 이들 2층 이상의 적층체 및, 이들 2종류 이상의 합금으로 이루어진 군에서 선택된 금속으로 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  11. 반도체 기판상에 형성되고, 배선도랑을 갖춘 절연막과, 플라즈마 질화처리에 의해 상기 배선도랑의 내면에 형성된 변성층 및, 이 변성층이 형성된 상기 배선도랑내에 형성된 배선층을 구비하여 구성된 것을 특징으로 하는 반도체장치.
  12. 제11항에 있어서, 상기 변성층은 SixNy및 SixNyOz(x,y,z 은 정(正)의 실수)로 이루어진 군에서 선택된 적어도 1종류로 이루어진 것을 특징으로 하는 반도체장치.
  13. 제11항에 있어서, 상기 변성층은 1㎚∼100㎚의 두께를 갖춘 것을 특징으로 하는 반도체 장치
  14. 제11항에 있어서, 상기 변성층와 배선층 사이에 상기 배선층을 구성하는 금속의 확산을 방지하는 도전성 장벽층이 형성되어 있는 것을 특징으로 하는 반도체 장치.
  15. 제14항에 있어서, 상기 도전성 장벽층은 Al, Ti, Tin, Nb, W, 이들 2층 이상의 적층체 및, 이들 2종류 이상의 합금으로 이루어진 군에서 선택된 금속으로 이루어진 것을 특징으로 하는 반도체 장치.
  16. 반도체 기판상에 절연막을 형성하는 공정과, 이 절연막에 배선도랑을 형성하는 공정, 상기 절연막 표면을 플라즈마 질화처리하여 상기 배선도랑의 내면에 변성층을 형성 하는 공정, 상기 변성층이 형성된 상기 배선도랑 내의 배선층을 형성하는 공정을 구비하여 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  17. 제16항에 있어서, 상기 변성층은 SixNy및 SixNyOz(x,y,z 은 정(正)의 실수)로 이루어진 군에서 선택된 적어도 1종류로 이러어진 것을 특징으로 하는 반도체장치의 제조방법.
  18. 제16항에 있어서, 상기 변성층은 1㎚∼100㎚의 두께를 갖춘 것을 특징으로 하는 반도체 장치의 제조방법.
  19. 제16항에 있어서, 상기 변성층상에 도전성 장벽층을 형성하는 공정을 더 구비하여 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  20. 제19항에 있어서, 상기 도전성 장벽층 Al, Ti, TiN, Nb, W, 이들 2층 이상의 적충체 및 이들 2 종류 이상의 합금으로 이루어진 군에서 선택된 금속으로 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  21. 제16항에 있어서, 상기 변성층이 상기 배선도랑내에 배선층을 형성하는 공정은, 상기 배선도랑내를 포함하는 상기 절연막상에 이방성 퇴적법에 의해 도전성 재료를 상기 배선도랑의 깊이보다도 얇은 막 두께로 퇴적하고, 이에 의해 상기 배선도랑내에 형성된 도전성 재료층과, 상기 배선도랑 이외의 절연막상에 형성된 도전성 재료층을 단절시키고, 다음에 상기 배선도랑 이외의 절연막상에 형성된 도전성 재료층을 선택적으로 제거하는 것으로 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
  22. 제16항에 있어서, 상기 변성층이 형성된 상기 배선도랑내에 배선층을 형성하는 공정은, 상기 배선도랑내를 포함하는 상기 절연막상에 이방성 퇴적법에 의해 도전성 재료를 상기 배선도랑의 깊이보다도 얇은 막 두께로 퇴적하고, 이에 의해 상기 배선도랑내에 형성된 도전성 재료층과, 상기 배선도랑 이외의 절연막상에 형성된 도전성 재료층을 단절시키며, 다음에 전면에 보호막을 형성하고, 상기 배선도랑 이외의 상기 절연막의 표면에 형성된 상기 도전성 재료층 및 상기 보호막을 선택적으로 제거하는 것으로 이루어진 것을 특징으로 하는 반도체장치의 제조방법.
KR1019940028068A 1993-10-29 1994-10-29 반도체장치 및 그 제조방법 KR0169283B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP27278493 1993-10-29
JP93-272784 1993-10-29
JP94-070156 1994-03-15
JP7015694 1994-03-15
JP94-249984 1994-09-19
JP24998494A JP3297220B2 (ja) 1993-10-29 1994-09-19 半導体装置の製造方法および半導体装置

Publications (1)

Publication Number Publication Date
KR0169283B1 true KR0169283B1 (ko) 1999-02-01

Family

ID=27300252

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940028068A KR0169283B1 (ko) 1993-10-29 1994-10-29 반도체장치 및 그 제조방법

Country Status (4)

Country Link
US (3) US5592024A (ko)
JP (1) JP3297220B2 (ko)
KR (1) KR0169283B1 (ko)
TW (1) TW294837B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990003485A (ko) * 1997-06-25 1999-01-15 김영환 반도체 소자의 금속 배선 형성 방법
KR19990003484A (ko) * 1997-06-25 1999-01-15 김영환 반도체 소자의 금속 배선 형성 방법
KR100451493B1 (ko) * 1998-09-02 2004-12-04 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
KR100469338B1 (ko) * 1997-12-30 2005-05-17 주식회사 하이닉스반도체 모스페트의금속막형성방법
KR100471404B1 (ko) * 1998-10-28 2005-05-27 주식회사 하이닉스반도체 화학적 기계적 연마 공정을 이용한 반도체 소자의 금속배선 형성 방법
KR20190073723A (ko) * 2017-12-19 2019-06-27 삼성전자주식회사 반도체 장치의 제조 방법

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US5622608A (en) * 1994-05-05 1997-04-22 Research Foundation Of State University Of New York Process of making oxidation resistant high conductivity copper layers
US5780908A (en) * 1995-05-09 1998-07-14 Matsushita Electric Industrial Co., Ltd. Semiconductor apparatus with tungstein nitride
US5665644A (en) * 1995-11-03 1997-09-09 Micron Technology, Inc. Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry
JPH09275142A (ja) * 1995-12-12 1997-10-21 Texas Instr Inc <Ti> 半導体の空隙を低温低圧で充填を行う処理方法
JP2814972B2 (ja) * 1995-12-18 1998-10-27 日本電気株式会社 半導体装置の製造方法
US6577007B1 (en) * 1996-02-01 2003-06-10 Advanced Micro Devices, Inc. Manufacturing process for borderless vias with respect to underlying metal
JP3063606B2 (ja) * 1996-02-13 2000-07-12 日本電気株式会社 半導体装置の製造方法
JPH09232423A (ja) * 1996-02-21 1997-09-05 Nec Corp 半導体装置およびその製造方法
KR100198678B1 (ko) * 1996-02-28 1999-06-15 구본준 금속 배선 구조 및 형성방법
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
JP3323055B2 (ja) * 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
US6100196A (en) * 1996-04-08 2000-08-08 Chartered Semiconductor Manufacturing Ltd. Method of making a copper interconnect with top barrier layer
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
JP3304754B2 (ja) * 1996-04-11 2002-07-22 三菱電機株式会社 集積回路の多段埋め込み配線構造
JP3448450B2 (ja) 1996-04-26 2003-09-22 三洋電機株式会社 発光素子およびその製造方法
US5756396A (en) * 1996-05-06 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
KR100215846B1 (ko) * 1996-05-16 1999-08-16 구본준 반도체장치의 배선형성방법
JP3563877B2 (ja) * 1996-06-21 2004-09-08 三菱電機株式会社 半導体装置
KR100325383B1 (ko) 1996-07-12 2002-04-17 니시무로 타이죠 반도체 장치 및 그 제조 방법
JP3607424B2 (ja) * 1996-07-12 2005-01-05 株式会社東芝 半導体装置及びその製造方法
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
JP3695000B2 (ja) * 1996-08-08 2005-09-14 株式会社ニコン 露光方法及び露光装置
US6091150A (en) * 1996-09-03 2000-07-18 Micron Technology, Inc. Integrated circuitry comprising electrically insulative material over interconnect line tops, sidewalls and bottoms
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
JPH10199881A (ja) * 1997-01-13 1998-07-31 Nec Corp 半導体装置の製造方法
US6031286A (en) 1997-02-28 2000-02-29 International Business Machines Corporation Semiconductor structures containing a micro pipe system therein
JP3285509B2 (ja) * 1997-03-18 2002-05-27 三菱電機株式会社 半導体装置
JP3105816B2 (ja) * 1997-03-31 2000-11-06 日本電気株式会社 半導体装置の製造方法
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5904566A (en) * 1997-06-09 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Reactive ion etch method for forming vias through nitrogenated silicon oxide layers
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6307267B1 (en) * 1997-12-26 2001-10-23 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
TW376351B (en) * 1998-03-17 1999-12-11 United Microelectronics Corp Polishing barrier structure of chemical mechanical polishing
US6455937B1 (en) * 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
JPH11283985A (ja) * 1998-03-27 1999-10-15 Seiko Epson Corp 半導体装置およびその製造方法
JPH11312680A (ja) * 1998-04-30 1999-11-09 Nec Corp 配線の形成方法
US6127263A (en) * 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6391771B1 (en) * 1998-07-23 2002-05-21 Applied Materials, Inc. Integrated circuit interconnect lines having sidewall layers
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6150257A (en) 1998-08-28 2000-11-21 Micron Technology, Inc. Plasma treatment of an interconnect surface during formation of an interlayer dielectric
US6225207B1 (en) 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
JP4095731B2 (ja) 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6472755B1 (en) * 1999-01-05 2002-10-29 Advanced Micro Devices, Inc. Semiconductor device comprising copper interconnects with reduced in-line copper diffusion
WO2000074135A1 (fr) * 1999-05-26 2000-12-07 Tadahiro Ohmi Circuit integre a structure de cablage a isolation gazeuse
KR20010001543A (ko) * 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
US6395607B1 (en) 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
DE19926499C2 (de) * 1999-06-10 2001-07-05 Infineon Technologies Ag Anordnung von Fuses bei Halbleiterstrukturen mit Cu-Metallisierung
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6403457B2 (en) * 1999-08-25 2002-06-11 Micron Technology, Inc. Selectively coating bond pads
US6251786B1 (en) * 1999-09-07 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to create a copper dual damascene structure with less dishing and erosion
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6734559B1 (en) 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
JP3783488B2 (ja) * 1999-10-18 2006-06-07 ソニー株式会社 半導体装置の製造方法
JP2001144090A (ja) * 1999-11-11 2001-05-25 Nec Corp 半導体装置の製造方法
US6573179B1 (en) 2000-02-01 2003-06-03 Advanced Micro Devices, Inc. Forming a strong interface between interconnect and encapsulation to minimize electromigration
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6613671B1 (en) 2000-03-03 2003-09-02 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby
US6528180B1 (en) * 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
JP2002057126A (ja) * 2000-08-10 2002-02-22 Fujitsu Ltd 半導体装置とその製造方法
US6657305B1 (en) * 2000-11-01 2003-12-02 International Business Machines Corporation Semiconductor recessed mask interconnect technology
JP3516941B2 (ja) * 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6399959B1 (en) * 2001-03-06 2002-06-04 United Microelectronics Corp. Thin film transistor with reduced metal impurities
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6638863B2 (en) * 2001-04-24 2003-10-28 Acm Research, Inc. Electropolishing metal layers on wafers having trenches or vias with dummy structures
US6506668B1 (en) 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
US20030087534A1 (en) * 2001-09-10 2003-05-08 Rensselaer Polytechnic Institute Surface modification for barrier to ionic penetration
JP3748410B2 (ja) * 2001-12-27 2006-02-22 株式会社東芝 研磨方法及び半導体装置の製造方法
US7183193B2 (en) * 2001-12-28 2007-02-27 Micrel, Inc. Integrated device technology using a buried power buss for major device and circuit advantages
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6911394B2 (en) * 2002-02-25 2005-06-28 Texas Instruments Incorporated Semiconductor devices and methods of manufacturing such semiconductor devices
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US6686662B2 (en) * 2002-05-21 2004-02-03 Agere Systems Inc. Semiconductor device barrier layer
US7185251B2 (en) 2002-05-29 2007-02-27 Freescale Semiconductor, Inc. Method and apparatus for affecting a portion of an integrated circuit
US6770491B2 (en) * 2002-08-07 2004-08-03 Micron Technology, Inc. Magnetoresistive memory and method of manufacturing the same
CN1296986C (zh) * 2002-08-30 2007-01-24 茂德科技股份有限公司 后端制作工艺整合的方法
JP4266901B2 (ja) * 2003-09-30 2009-05-27 三洋電機株式会社 半導体装置およびその製造方法
US6876028B1 (en) * 2003-09-30 2005-04-05 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabrication
US20050146048A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Damascene interconnect structures
KR100590205B1 (ko) * 2004-01-12 2006-06-15 삼성전자주식회사 반도체 장치의 배선 구조체 및 그 형성 방법
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
JP4556454B2 (ja) * 2004-03-15 2010-10-06 パナソニック電工株式会社 半導体装置の製造方法
JP3910973B2 (ja) * 2004-04-22 2007-04-25 株式会社東芝 半導体装置の製造方法
JP2006093330A (ja) * 2004-09-22 2006-04-06 Renesas Technology Corp 半導体装置およびその製造方法
US9744087B2 (en) 2005-02-22 2017-08-29 Roger P. Jackson Patient support apparatus with body slide position digitally coordinated with hinge angle
US7739762B2 (en) 2007-10-22 2010-06-22 Mizuho Orthopedic Systems, Inc. Surgery table apparatus
US9295433B2 (en) 2005-02-22 2016-03-29 Roger P. Jackson Synchronized patient elevation and positioning apparatus for use with patient positioning support systems
US9186291B2 (en) 2005-02-22 2015-11-17 Roger P. Jackson Patient positioning support structure with trunk translator
US7565708B2 (en) 2005-02-22 2009-07-28 Jackson Roger P Patient positioning support structure
US20150059094A1 (en) 2005-02-22 2015-03-05 Roger P. Jackson Patient positioning support structure
CN101238555B (zh) * 2005-06-20 2011-12-07 国立大学法人东北大学 层间绝缘膜、布线结构以及它们的制造方法
JP2008010801A (ja) * 2005-08-17 2008-01-17 Kobe Steel Ltd ソース−ドレイン電極、薄膜トランジスタ基板およびその製造方法、並びに表示デバイス
KR100645221B1 (ko) * 2005-12-28 2006-11-10 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US10869798B2 (en) 2006-05-05 2020-12-22 Warsaw Orthopedic, Inc. Patient positioning support apparatus with virtual pivot-shift pelvic pads, upper body stabilization and fail-safe table attachment mechanism
US9642760B2 (en) 2006-05-05 2017-05-09 Roger P. Jackson Patient positioning support apparatus with virtual pivot-shift pelvic pads, upper body stabilization and fail-safe table attachment mechanism
JP2007323938A (ja) * 2006-05-31 2007-12-13 Sanyo Electric Co Ltd 燃料電池および燃料電池モジュール
US20080001297A1 (en) * 2006-06-30 2008-01-03 Stefanie Lotz Laser patterning and conductive interconnect/materials forming techniques for fine line and space features
KR100799133B1 (ko) * 2006-08-21 2008-01-29 주식회사 하이닉스반도체 반도체소자의 리세스게이트 제조 방법
US7619310B2 (en) * 2006-11-03 2009-11-17 Infineon Technologies Ag Semiconductor interconnect and method of making same
KR100881620B1 (ko) * 2007-01-29 2009-02-04 삼성전자주식회사 반도체 장치 및 그 형성 방법
US7544609B2 (en) * 2007-02-09 2009-06-09 International Business Machines Corporation Method for integrating liner formation in back end of line processing
JP5245258B2 (ja) * 2007-02-21 2013-07-24 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5860580B2 (ja) * 2009-05-25 2016-02-16 日産自動車株式会社 半導体装置及びその製造方法
WO2012077330A1 (ja) * 2010-12-06 2012-06-14 シャープ株式会社 半導体装置及びその製造方法、固体撮像装置及びその製造方法、並びに電子情報機器
KR20120098095A (ko) * 2011-02-28 2012-09-05 에스케이하이닉스 주식회사 반도체장치 제조 방법
JP5909980B2 (ja) * 2011-10-12 2016-04-27 三菱電機株式会社 半導体装置及びその製造方法
WO2013058806A1 (en) 2011-10-17 2013-04-25 Jackson Roger P Patient positioning support structure
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US9561145B2 (en) * 2012-02-07 2017-02-07 Roger P. Jackson Fail-safe release mechanism for use with patient positioning support apparati
JP2013165224A (ja) * 2012-02-13 2013-08-22 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP5785523B2 (ja) * 2012-06-18 2015-09-30 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9295163B2 (en) 2013-05-30 2016-03-22 Dyi-chung Hu Method of making a circuit board structure with embedded fine-pitch wires
US12011399B2 (en) 2013-08-28 2024-06-18 Warsaw Orthopedic, Inc. Patient positioning support apparatus with fail-safe connector attachment mechanism
KR102312567B1 (ko) * 2013-10-07 2021-10-15 에이비비 파워 그리즈 스위처랜드 아게 전기 에너지의 생성, 분배 및/또는 이용을 위한 장치 및 그러한 장치를 위한 구성요소
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
JP2014057104A (ja) * 2013-12-16 2014-03-27 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP6188025B2 (ja) * 2014-05-16 2017-08-30 国立研究開発法人物質・材料研究機構 銀拡散障壁材料、銀拡散障壁、銀拡散障壁被覆
US9549863B2 (en) 2014-07-07 2017-01-24 Roger P. Jackson Surgical table with pivoting and translating hinge
WO2016007524A1 (en) 2014-07-07 2016-01-14 Jackson Roger P Single and dual column patient positioning and support structure
JP6939553B2 (ja) * 2016-05-25 2021-09-22 東レ株式会社 樹脂組成物
KR20180068595A (ko) * 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
TWI796358B (zh) * 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
JP2019079852A (ja) * 2017-10-20 2019-05-23 東芝メモリ株式会社 パターン形成方法
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4243865A (en) * 1976-05-14 1981-01-06 Data General Corporation Process for treating material in plasma environment
US4091406A (en) * 1976-11-01 1978-05-23 Rca Corporation Combination glass/low temperature deposited Siw Nx Hy O.sub.z
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5342792A (en) * 1986-03-07 1994-08-30 Canon Kabushiki Kaisha Method of manufacturing semiconductor memory element
JPS62242331A (ja) * 1986-04-14 1987-10-22 Sony Corp 半導体装置
US4900396A (en) * 1987-08-19 1990-02-13 Agency Of Industrial Science And Technology Method of forming modified layer and pattern
JPS6482653A (en) * 1987-09-25 1989-03-28 Nec Corp Semiconductor integrated circuit
US4764484A (en) * 1987-10-08 1988-08-16 Standard Microsystems Corporation Method for fabricating self-aligned, conformal metallization of semiconductor wafer
US4832789A (en) * 1988-04-08 1989-05-23 American Telephone And Telegrph Company, At&T Bell Laboratories Semiconductor devices having multi-level metal interconnects
JPH0278769A (ja) * 1988-09-13 1990-03-19 Fuji Heavy Ind Ltd エンジンの点火時期制御装置
US5093710A (en) * 1989-07-07 1992-03-03 Seiko Epson Corporation Semiconductor device having a layer of titanium nitride on the side walls of contact holes and method of fabricating same
JPH03270256A (ja) * 1990-03-20 1991-12-02 Nippon Precision Circuits Kk 半導体装置
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5135878A (en) * 1990-08-28 1992-08-04 Solid State Devices, Inc. Schottky diode
FR2670605B1 (fr) * 1990-12-13 1993-04-09 France Etat Procede de realisation d'une barriere de diffusion electriquement conductrice a l'interface metal/silicium d'un transistor mos et transistor correspondant.
JP3185150B2 (ja) * 1991-03-15 2001-07-09 日本テキサス・インスツルメンツ株式会社 半導体装置の製造方法
US5177588A (en) * 1991-06-14 1993-01-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including nitride layer
US5334554A (en) * 1992-01-24 1994-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment to prevent field device leakage in VLSI processing
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JPH05343541A (ja) * 1992-06-09 1993-12-24 Oki Electric Ind Co Ltd 半導体素子の構造
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5272117A (en) * 1992-12-07 1993-12-21 Motorola, Inc. Method for planarizing a layer of material
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JPH08170174A (ja) * 1994-12-14 1996-07-02 Nec Corp TiN膜の形成方法
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5756404A (en) * 1995-12-07 1998-05-26 Micron Technologies, Inc. Two-step nitride deposition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990003485A (ko) * 1997-06-25 1999-01-15 김영환 반도체 소자의 금속 배선 형성 방법
KR19990003484A (ko) * 1997-06-25 1999-01-15 김영환 반도체 소자의 금속 배선 형성 방법
KR100469338B1 (ko) * 1997-12-30 2005-05-17 주식회사 하이닉스반도체 모스페트의금속막형성방법
KR100451493B1 (ko) * 1998-09-02 2004-12-04 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
KR100471404B1 (ko) * 1998-10-28 2005-05-27 주식회사 하이닉스반도체 화학적 기계적 연마 공정을 이용한 반도체 소자의 금속배선 형성 방법
KR20190073723A (ko) * 2017-12-19 2019-06-27 삼성전자주식회사 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
US6794286B2 (en) 2004-09-21
US6090699A (en) 2000-07-18
JP3297220B2 (ja) 2002-07-02
US20020173116A1 (en) 2002-11-21
US5592024A (en) 1997-01-07
TW294837B (ko) 1997-01-01
JPH07307338A (ja) 1995-11-21

Similar Documents

Publication Publication Date Title
KR0169283B1 (ko) 반도체장치 및 그 제조방법
JP2811131B2 (ja) 半導体装置の配線接続構造およびその製造方法
US5266525A (en) Microelectronic interlayer dielectric structure and methods of manufacturing same
US7186642B2 (en) Low temperature nitride used as Cu barrier layer
US6650017B1 (en) Electrical wiring of semiconductor device enabling increase in electromigration (EM) lifetime
US5834369A (en) Method of preventing diffusion between interconnect and plug
US5514624A (en) Method of manufacturing a microelectronic interlayer dielectric structure
KR100571417B1 (ko) 반도체 소자의 듀얼 다마신 배선 및 그 제조 방법
JP2720796B2 (ja) 半導体装置の製造方法
JPH10335458A (ja) 半導体装置及びその製造方法
JPH0964034A (ja) 半導体装置およびその製造方法
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
KR100527673B1 (ko) 반도체 소자의 금속배선 형성방법
KR100632115B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP3403058B2 (ja) 配線形成方法
US4884120A (en) Semiconductor device and method for making the same
JP3087692B2 (ja) 半導体装置の製造方法
JPH08139190A (ja) 半導体装置の製造方法
KR100191710B1 (ko) 반도체 소자의 금속 배선 방법
JP2000332108A (ja) 半導体装置及びその製造方法
KR100443363B1 (ko) 반도체 소자의 금속배선 형성방법
JPH0586653B2 (ko)
JP2790514B2 (ja) 半導体装置の製造方法
KR19990060824A (ko) 반도체 소자의 비트 라인 형성 방법
KR20020032698A (ko) 반도체 소자의 구리 배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20130925

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 17

EXPY Expiration of term