JP2000216212A - 基板処理装置 - Google Patents

基板処理装置

Info

Publication number
JP2000216212A
JP2000216212A JP11017267A JP1726799A JP2000216212A JP 2000216212 A JP2000216212 A JP 2000216212A JP 11017267 A JP11017267 A JP 11017267A JP 1726799 A JP1726799 A JP 1726799A JP 2000216212 A JP2000216212 A JP 2000216212A
Authority
JP
Japan
Prior art keywords
foup
storage shelf
storage
wafer
shelf
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11017267A
Other languages
English (en)
Other versions
JP2987148B1 (ja
Inventor
Akinari Hayashi
昭成 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP11017267A priority Critical patent/JP2987148B1/ja
Application granted granted Critical
Publication of JP2987148B1 publication Critical patent/JP2987148B1/ja
Priority to TW089101095A priority patent/TW465007B/zh
Priority to US09/491,491 priority patent/US6582174B1/en
Priority to KR1020000003664A priority patent/KR100361130B1/ko
Publication of JP2000216212A publication Critical patent/JP2000216212A/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】回転収納棚に必要数の収納容器を効率よく収納
させ、装置全体の大型化を伴うことなく収納容器の各種
搬送方式に対応可能とする。 【解決手段】被処理基板が装填される収納容器13と、
該収納容器を複数収納し回転可能な回転収納棚36と、
該回転収納棚に前記収納容器を搬送する搬送機22とを
具備した基板処理装置に於いて、前記複数の収納容器が
前記回転収納棚の回転中心を通る放射中心線上に配置さ
れ、前記回転収納棚に配置された収納容器の向きが、前
記放射中心線に対して所要角度オフセットし、回転収納
棚に空きスペースが出来るだけ生じない様に前記収納容
器を前記回転収納棚に効率良く収納する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はシリコンウェーハ等
被処理基板に成膜処理等各種プロセス処理を施して半導
体素子を形成させる基板処理装置に関するものである。
【0002】
【従来の技術】基板処理装置には被処理基板を1枚或は
複数枚ずつ処理する枚葉式のものと1度に多数の被処理
基板を処理するバッチ式のものとがある。バッチ式の基
板処理装置に於いては、通常被処理基板は開放型収納容
器である基板カセット或は密閉型収納容器であるFOU
P(Front Opening Unified Pod )に25枚ずつ装填さ
れた状態で装置内に搬送され1度のプロセス処理(以降
1バッチと称す)で100枚のウェーハが処理される。
この場合、スループットを向上させる為、装置内には製
品用ウェーハの他、ダミーウェーハ、モニタウェーハ、
フィルダミーウェーハ等を含めて2バッチ分計14個の
収納容器が装置内に収納される。
【0003】先ず、図9に於いて被処理基板を基板カセ
ットに収納して搬送する場合の従来の基板処理装置を説
明する。
【0004】筐体1内の後方上部には反応炉2が設けら
れ、該反応炉2には下方から図示しないボートエレベー
タによりボート3が装入引出し可能となっている。下降
状態の該ボート3の前方にはウェーハ移載機4が設けら
れ、該ウェーハ移載機4の前方には上下に上カセット収
納棚5、下カセット収納棚6が設けられている。前記上
カセット収納棚5は2列3段で6個のウェーハカセット
7を収納可能であり、前記下カセット収納棚6は2列4
段で8個の前記ウェーハカセット7を収納可能となって
おり、前記上カセット収納棚5と下カセット収納棚6と
で合計14個のウェーハカセット7を収納可能となって
いる。
【0005】前記上カセット収納棚5及び下カセット収
納棚6の前方にはカセット搬送機8が設けられ、該カセ
ット搬送機8の前方にカセットステージ9が設けられて
いる。該カセットステージ9の上方にはカセットサブ収
納棚10が設けられ、又、前記筐体1の前面には前記カ
セットステージ9に臨接するカセット搬入搬出口11が
設けられている。
【0006】ウェーハ12の搬送は前記ウェーハカセッ
ト7に装填された状態で行われ、該ウェーハカセット7
は床上を走行するAGV(Automated Guided Vehicle)
(図示せず)により前記カセット搬入搬出口11を介し
て前記カセットステージ9上に搬送される。前記カセッ
ト搬送機8は昇降動、回転動、横行動の協働で前記ウェ
ーハカセット7を前記カセットステージ9から前記上カ
セット収納棚5、下カセット収納棚6或は前記カセット
サブ収納棚10に搬送する。
【0007】前記ウェーハ移載機4は昇降動、回転動、
進退動の協働で前記下カセット収納棚6に搬送された前
記ウェーハカセット7内のウェーハ12を下降状態の前
記ボート3に移載し、該ボート3に所要数の前記ウェー
ハ12が装填されると、前記ボートエレベータ(図示せ
ず)は前記ボート3を上昇させ前記反応炉2内に装入す
る。
【0008】前記反応炉2内で前記ウェーハ12に所要
の処理が施されると、前記ボートエレベータ(図示せ
ず)は前記ボート3を降下させ前記反応炉2より引出
す。前記ボート3、ウェーハ12を炉外で冷却した後、
前記ウェーハ移載機4は前記ウェーハ12を前記下カセ
ット収納棚6の前記ウェーハカセット7へ移載する。
【0009】更に、次に処理されるウェーハ12が前記
ウェーハ移載機4により前記ボート3に装填され、上記
したのと同様の手順で前記ウェーハ12に所要の処理が
繰返される。又、所要の処理が完了し前記ウェーハカセ
ット7に移載された前記各ウェーハ12は上記した手順
と逆の手順で前記カセット搬送機8、カセットステージ
9、カセット搬入搬出口11を介して外部へ搬出され
る。
【0010】上記した様に前記ウェーハ12を前記ウェ
ーハカセット7に収納して搬送した場合、搬送中に前記
ウェーハ12の表面に大気中のパーティクルが付着し、
或は無用の酸化膜が生成することがあり、製品の品質に
影響を及ぼす虞れがある。そこで、製品の品質の向上の
為、最近では前記ウェーハ12を密閉型収納容器である
FOUP13(後述)に収納し、大気を遮断して搬送す
ることも行われている。
【0011】該FOUP13は図10及び図11に示す
様に外形が半長円柱状であり、一側面がウェーハ12挿
脱用の開口面14となっており、該開口面14は蓋15
により気密に閉塞される様になっている。又、前記FO
UP13の前記開口面14に平行な方向の幅は該開口面
14に垂直な方向の長さより長くなっている。
【0012】次に、図10及び図11に於いて被処理基
板の収納容器として前記FOUP13が使用された従来
の基板処理装置を説明する。
【0013】筐体16の内部は隔壁17により気密に仕
切られ、該隔壁17により前方部分が大気雰囲気のカセ
ット搬送室18、前記隔壁17より後方部分が不活性ガ
ス雰囲気のウェーハ移載室19となっている。
【0014】前記筐体16の前面にはFOUP搬入搬出
口20が設けられ、該搬入搬出口20の前方にFOUP
ステージ21が設けられている。
【0015】前記カセット搬送室18には前記FOUP
搬入搬出口20の後方にFOUP搬送機22が設けら
れ、該FOUP搬送機22の前方で前記FOUPステー
ジ21の上方にはFOUPサブ収納棚23が設けられて
いる。又、前記FOUP搬送機22の後方にFOUP授
受ユニット24が設けられると共に該FOUP授受ユニ
ット24の上方に回転可能なFOUP回転収納棚25が
設けられている。
【0016】該FOUP回転収納棚25は鉛直に設けら
れた回転機構体26と該回転機構体26に設けられた3
段の棚板27とで構成されている。該各段の棚板27に
はFOUP13が4個ずつ収納可能であり、前記FOU
P回転収納棚25には合計12個のFOUP13が収納
可能となっている。該各段のFOUP13は前後に2個
ずつ並列に配置され、後側に配置された2個のFOUP
13の前記開口面14は前方に向き、前側に配置された
他の2個のFOUP13の前記開口面14は後方に向く
様になっており、4個のFOUP13は左右前後の2方
向に対称的な配置となっている。
【0017】前記隔壁17にはウェーハ搬入搬出口28
が設けられ、該ウェーハ搬入搬出口28は図示しない蓋
開閉機構により開閉される様になっている。
【0018】前記ウェーハ移載室19には後方上部に反
応炉29が設けられ、該反応炉29には下方から図示し
ないボートエレベータによりボート30が装入引出し可
能となっている。下降状態の該ボート30と前記ウェー
ハ搬入搬出口28との間にはウェーハ移載機31が設け
られている。
【0019】ウェーハ12の搬送は前記FOUP13に
装填され密閉の状態で行われ、該FOUP13は床上を
走行するAGV(図示せず)により前記開口面14を後
方(図10中右側)に向けた状態で前記FOUPステー
ジ21上に搬送される。前記FOUP回転収納棚25は
回転し、次のFOUP13の収納位置を前方のFOUP
授受位置に移動させた状態で待機する。前記FOUP搬
送機22は昇降動、回転動、横行動の協働で前記FOU
Pステージ21から前記FOUP搬入搬出口20を通し
て前記FOUP回転収納棚25或はFOUPサブ収納棚
23に前記FOUP13を搬送し、該FOUP13は前
記FOUP回転収納棚25或はFOUPサブ収納棚23
に収納される。以上の動作が繰返され、所要数のFOU
P13が前記FOUP回転収納棚25、FOUPサブ収
納棚23に搬送される。
【0020】次にボート30へウェーハ12を移載する
場合は、前記FOUP回転収納棚25が回転し、移載の
対象となっているFOUP13を前記FOUP授受位置
に移動させた状態で待機する。前記FOUP搬送機22
は再び昇降動、回転動、横行動の協働により前記FOU
P回転収納棚25から前記FOUP授受ユニット24に
前記FOUP13を搬送し、或はFOUPサブ収納棚2
3から前記FOUP授受ユニット24に搬送する。該F
OUP13は前記開口面14を後方に向けた状態で前記
FOUP授受ユニット24に載置される。
【0021】前記FOUP13の開口面14を前記ウェ
ーハ搬入搬出口28の周縁部に密着させた後、前記蓋開
閉機構(図示せず)は該ウェーハ搬入搬出口28を開放
すると共に前記蓋15を前記FOUP13から取外す。
前記ウェーハ移載機31は昇降動、回転動、進退動の協
働で前記FOUP13内のウェーハ12を下降状態の前
記ボート30に移載する。ウェーハ12の移載は予定さ
れた枚数となる迄複数のFOUP13に対して実行され
る。該ボート30に所要数の前記ウェーハ12が装填さ
れると、前記ボートエレベータ(図示せず)は前記ボー
ト30を上昇させ前記反応炉29内に装入する。
【0022】前記反応炉29内で前記ウェーハ12に所
要の処理が施されると、前記ボートエレベータ(図示せ
ず)は前記ボート30を降下させ前記反応炉29より引
出す。前記ボート29、ウェーハ12が所要温度に冷却
された後、処理済みのウェーハ12は上記した手順と逆
の手順で外部へ搬出される。
【0023】次に、図10及び図12に於いて各段にF
OUP13が5個ずつ収納可能なFOUP回転収納棚3
2を具備した従来の基板処理装置を説明する。
【0024】尚、図12中、図11中と同等のものには
同符号を付し、説明は省略する。
【0025】前記FOUP回転収納棚32は3段の棚板
33を有し、該各段の棚板33には前記FOUP回転収
納棚32の回転中心に対して放射状に正五角形を形成す
る様にFOUP13が5個ずつ載置され、前記FOUP
回転収納棚32全体で合計15個のFOUP13が収納
可能となっている。
【0026】前記FOUP回転収納棚32と前記FOU
P授受ユニット24間の前記FOUP13の搬送手順
は、前記FOUP回転収納棚32の各段にFOUP13
を4個ずつ収納した場合と同様であるので説明は省略す
る。
【0027】
【発明が解決しようとする課題】冒頭で述べた様に通
常、装置内には2バッチ分で合計14個の前記FOUP
13を収納させる必要がある。
【0028】ところが、上記した様に各段に前記FOU
P13が4個ずつ収納可能な前記FOUP回転収納棚2
5を具備した従来の基板処理装置では、該FOUP回転
収納棚25に前記FOUP13を最大で12個迄しか収
納できず、又、前記FOUP回転収納棚25の下方には
前記FOUP授受ユニット24、蓋開閉機構(図示せ
ず)が設置され前記FOUP回転収納棚25の下方に別
のFOUP収納棚を設置するスペースを確保できないの
で、前記FOUPステージ21の上方に前記FOUPサ
ブ収納棚23を設置することが必要であった。然し、前
記FOUP13の装置への搬送は床上を走行する前記A
GV搬送による他、天井に付設されたレールに沿って走
行するOHT(Over Head Transfer)搬送により行われる
場合もあり、該OHT搬送の場合には前記FOUP13
は前記FOUPステージ21の上方から該FOUPステ
ージ21に搬送されるので、前記FOUPステージ21
の上方に前記FOUPサブ収納棚23を設置することは
できない。従って、前記OHT搬送が可能な装置とする
には、前記FOUP回転収納棚25の段数を増やす他に
手段がなく、該FOUP回転収納棚25の段数を増やす
と装置全体の高さが高くなり過ぎて、該装置の高さ制限
を超えたり、該装置搬送時の分解、組立作業が煩雑にな
ったり、該装置の設置場所が制限される等の問題が生じ
る虞れがあった。
【0029】又、各段に前記FOUP13を5個ずつ収
納可能な前記FOUP回転収納棚32を具備した従来の
基板処理装置では、前記FOUP13が正五角形を成す
様に配置されているが、該FOUP13は前記開口面1
4に平行な方向の幅の方が該開口面14に垂直な方向の
長さ(奥行)より長く、又前記開口面14が相互に干渉
しない様配置されるので、前記FOUP回転収納棚32
の平面寸法は前記FOUP13の幅、奥行によって決定
される。斯かる配置では前記FOUP回転収納棚32の
中心部分が無駄な空スペースとなり、従って、前記FO
UP回転収納棚32の平面寸法が大きくなり過ぎて、該
FOUP回転収納棚32の回転円の直径により装置全体
の幅寸法が決定され、該装置が大型化するという問題が
あった。
【0030】本発明は斯かる実情に鑑み、回転収納棚に
必要数のFOUP等の収納容器を効率良く収納させ、装
置全体の大型化を伴うことなくAGV搬送及びOHT搬
送を可能とするものである。
【0031】
【課題を解決するための手段】本発明は、被処理基板が
装填される収納容器と、該収納容器を複数収納し回転可
能な回転収納棚と、該回転収納棚に前記収納容器を搬送
する搬送機とを具備した基板処理装置に於いて、前記複
数の収納容器が前記回転収納棚の回転中心を通る放射中
心線上に配置され、前記回転収納棚に配置された収納容
器の向きが、前記放射中心線に対して所要角度オフセッ
トされている基板処理装置に係り、又前記収納容器が前
記収納容器授受位置で前記搬送機に正対する様に前記回
転収納棚に配置された基板処理装置に係り、又前記収納
容器授受位置に於ける収納容器の向きを、収納容器搬入
出部上の載置される収納容器の向きと同一とした基板処
理装置に係り、又前記放射中心線は全周を4等分するも
のである基板処理装置に係り、又前記放射中心線は全周
を5等分するものである基板処理装置に係り、又前記放
射中心線は全周を6等分するものである基板処理装置に
係り、回転収納棚に空きスペースが出来るだけ生じない
様に前記収納容器を前記回転収納棚に効率良く収納す
る。
【0032】
【発明の実施の形態】以下、図面を参照しつつ本発明の
実施の形態を説明する。
【0033】先ず、図1〜図3に於いて本発明の第1の
実施の形態を説明する。
【0034】尚、図1〜図3中、図11〜図13中と同
等のものには同符号を付し、説明は省略する。
【0035】カセット搬送室18には筐体16の前面に
沿ってFOUP搬送機22が設けられ、該FOUP搬送
機22の後方上部に回転可能なFOUP回転収納棚36
が設けられると共に該FOUP回転収納棚36の下方に
FOUP授受ユニット24が設けられている。
【0036】該FOUP回転収納棚36は回転機構体3
7と該回転機構体37に回転可能に支持された3段の棚
板38とで構成されている。一段の棚板38には該棚板
38の回転中心点Oを通り、円周を5等分した放射中心
線A上にFOUP13が各1個、計5個収納可能であ
り、前記FOUP回転収納棚36には合計15個の前記
FOUP13が収納可能となっている。
【0037】前記各棚板38には該FOUP13の平面
上の図形中心Pを通り該FOUP13の開口面14に垂
直な線Bと前記放射中心線Aとの成す角度αが、例えば
33.7°を成す様前記各FOUP13が配置されてい
る。即ち、FOUP13は、前記放射中心線Aに対し、
角度α=33.7°だけオフセットにした位置で配置さ
れている。
【0038】この角度αは、図3に見られる様に、前記
FOUP回転収納棚36がFOUPの授受位置に停止し
た状態で、授受の対象となっているFOUP13が前記
FOUP搬送機22に正対する様な角度であり、又前記
FOUP搬送機22によるFOUPステージ21と(収
納容器搬入出部)FOUP回転収納棚36間でのFOU
P13の授受を行う場合にFOUP13の搬送経路上に
隣接するFOUP13が突出しない角度である。更にこ
の角度αはFOUP回転収納棚36の停止回転角度、即
ち前記授受の対象となっているFOUP13を通る前記
放射中心線Aと中心点Oを通る装置の中心線A′との成
す角度βと等しい。即ち、FOUP13は装置の中心線
A′より前記角度β(=α)だけ側方にずれた位置で授
受が行われる。
【0039】前記したFOUP13の配置により、棚板
38上の空間の利用率が大きくなり、前記各棚板38に
前記FOUP13を効率良く収納することができる。
又、前記棚板38へのFOUP13の配置位置は、FO
UP13間の円周方向のピッチが前記FOUP13の長
手方向の寸法によって決定されなくなるので、FOUP
回転収納棚36の平面寸法の直径を小さくすることが可
能となる。
【0040】以下、図4〜図7に於いて前記回転機構体
37を概説する。
【0041】ベース46に中空の固定軸47が立設さ
れ、該固定軸47に回転支柱体40が回転自在に取付け
られ、該回転支柱体40に前記棚板38が固定されてい
る。前記固定軸47を貫通する回転軸48が該固定軸4
7に回転自在に設けられ、前記回転軸48の上端は連結
ブロック49に固着され、更に該連結ブロック49は前
記回転支柱体40に固着されている。而して、前記回転
軸48と前記棚板38は前記連結ブロック49、回転支
柱体40を介して連結され、一体に回転する様になって
いる。
【0042】又、前記回転軸48の下端には減速部39
を介して駆動モータ41が連結されている。
【0043】前記減速部39は第1減速器42と第2減
速器43から成り、前記第1減速器42は動力伝達部に
ハーモニック減速器(商品名)、第2減速器43はウォ
ーム44、ウォームホイール45をそれぞれ有する。
【0044】前記ハーモニック減速器は剛性の高い上下
一対の内歯リングギア60、内歯リングギア61と該両
内歯リングギア60、内歯リングギア61に対し小径の
薄肉で可撓性を有する円筒外歯ギア62と、該円筒外歯
ギア62に内嵌する楕円形状のロータ63とから成り、
該ロータ63の存在で前記円筒外歯ギア62は前記ロー
タ63の長径部で前記内歯リングギア60、内歯リング
ギア61と部分的に噛合する。前記内歯リングギア60
を固定し、前記ロータ63を回転すると、前記内歯リン
グギア60、内歯リングギア61と前記円筒外歯ギア6
2との歯数の差分だけずれて前記円筒外歯ギア62が回
転し、更に前記内歯リングギア61が回転する。而し
て、前記ロータ63の回転は大きく減速されて前記内歯
リングギア61に伝達される。前記ハーモニック減速器
は大きな減速比と、高い噛合い率を有する。
【0045】前記駆動モータ41の出力軸65を前記ウ
ォーム44に連結し、ウォームホイール45の回転軸6
6を前記ロータ63に連結する。前記内歯リングギア6
1はカップリング67を介して前記回転軸48に連結さ
れている。而して、前記駆動モータ41の回転は第1減
速器42と第2減速器43の2段で減速されて前記回転
軸48に伝達される。又、前記ハーモニック減速器は高
い噛合い率を有しバックラッシュが少なく、更に高い減
速比を有するので前記ウォーム44、ウォームホイール
45間のバックラッシュは前記回転軸48には殆ど伝達
されず、前記棚板38の位置決め、停止精度は高いもの
となる。更に、モータをサーボモータ等の制御可能なも
のを使用することで、前記FOUP回転収納棚36は高
精度の間欠回転が可能となる。
【0046】前記回転軸48に回転位置検出板68が設
けられ、前記ベース46には位置検出センサ69が設け
られることで、該位置検出センサ69によりFOUP回
転収納棚36のホーミングポジションを検出することが
できる。而して、該ホーミングポジションを基準に前記
FOUP回転収納棚36は前記放射中心線が所要角度α
となる様回転位置決めが行われる。
【0047】以下、作用を説明する。
【0048】ウェーハ12の搬送は前記FOUP13に
装填された状態で行われ、該FOUP13はAGV搬送
或はOHT搬送により前記開口面14を後方に向けた状
態で前記FOUPステージ21上に搬送される。該FO
UPステージ21の上方にはサブ収納棚等はなく、該F
OUPステージ21の前方及び上方に搬送空間を確保で
きるので、AGV搬送或はOHT搬送のどちらにも対応
可能である。
【0049】前記FOUP搬送機22は前記FOUPス
テージ21から前記開口面14を後方に向けた状態で前
記FOUP13を受載した後、昇降動、回転動、横行動
の協働で前記FOUP搬入搬出口20を通して前記FO
UP回転収納棚36の前記FOUP授受位置に対峙す
る。
【0050】前記FOUP搬送機22の搬送動作と併行
して、前記駆動モータ41を駆動し、減速部39、回転
軸48を介して前記棚板38を回転する。該棚板38の
FOUP未収納位置が前記FOUPステージ21に対峙
する位置で棚板38を停止させる。前記FOUP搬送機
22は前記開口面14を後方に向けた状態で前記FOU
P13を前記収納位置に収納する。斯かる搬送動作の繰
返しにより、FOUP回転収納棚36へ所定数のFOU
P13の搬入が行われる。
【0051】次に、前記FOUP回転収納棚36を駆動
し、ウェーハ移載の対象となっているFOUP13を授
受位置に位置決めし、前記FOUP搬送機22により、
前記FOUP回転収納棚36から前記FOUP授受ユニ
ット24に搬送する。図1に示す装置では、該FOUP
授受ユニット24へのFOUP13の移載は2個行われ
る。
【0052】前記FOUP13の蓋15が開けられ、前
記ウェーハ搬入搬出口28を通し前記ウェーハ移載機3
1により、FOUP13から前記ボート30へのウェー
ハ12の移載が行われる。前記FOUP13のウェーハ
12がなくなると、前記FOUP授受ユニット24上の
FOUP13は前記FOUP回転収納棚36に戻され、
更に新たなFOUP13が前記FOUP授受ユニット2
4に搬送され、前記ボート30へ所定のウェーハ12の
移載が完了する迄、上記作動が繰返される。
【0053】前記ボート30が前記反応炉29に装入さ
れ、ウェーハ12に処理が行われる。処理の完了したウ
ェーハは上記した作動とは逆の作動により、前記FOU
P授受ユニット24上のFOUP13へのウェーハ12
の移載、更にFOUP授受ユニット24とFOUP回転
収納棚36間のFOUP13の搬送が行われる。
【0054】前記FOUP回転収納棚36からFOUP
13を外部に搬出する場合は、前記搬入動作と逆の手順
が行われる。
【0055】前記FOUP搬送機22の1回の動作によ
り前記FOUP回転収納棚36へ収納或は取出し可能な
前記FOUP13の数は1個だけであるが、前記FOU
P搬送機22及び前記FOUP回転収納棚36に蓋開閉
機構(図示せず)の開閉動作、前記ウェーハ移載機31
のウェーハ12移載動作と併行して動作させることによ
り、スループットを向上させる。
【0056】次に、図7に於いて本発明の第2の実施の
形態を説明する。
【0057】尚、図7中、図1〜図6中と同等のものに
は同符号を付し、説明は省略し、又、作用は第1の実施
の形態と同様であるので説明を省略する。
【0058】FOUP回転収納棚51には3段の棚板5
2が設けられ、該各段の棚板52には前記FOUP回転
収納棚51の回転中心Oを通る4本の放射中心線A上に
FOUP13が4個ずつ収納可能であり、前記FOUP
回転収納棚51には合計12個の前記FOUP13が収
納可能となっている。前記各棚板52には該FOUP1
3の図心Pを通り該FOUP13の開口面14に垂直な
線Cと前記FOUP回転収納棚51の回転中心Oとを通
る中心線Aとの成す角度αが、例えば45°を成す様前
記各FOUP13が配置されている。即ち、FOUP1
3は、前記放射中心線Aに対し、角度α=45°だけオ
フセットした位置で配置されている。該第2の実施の形
態では前記FOUP13の授受位置は中心線A′より4
5°だけ側方にずれた位置で授受が行われる。
【0059】次に、図8に於いて本発明の第3の実施の
形態を説明する。
【0060】尚、図8中、図1〜図6中と同等のものに
は同符号を付し、説明は省略し、又、作用は第1の実施
の形態と同様であるので説明を省略する。
【0061】FOUP回転収納棚53には3段の棚板5
4が設けられ、該各段の棚板54にはFOUP13が6
個ずつ収納可能であり、前記FOUP回転収納棚54に
は合計18個の前記FOUP13が収納可能となってい
る。前記各棚板54には該FOUP13の図心Pを通り
該FOUP13の開口面14に垂直な線Dと前記FOU
P回転収納棚53の回転中心Oとを通る中心線Aとの成
す角度αが、例えば29.3°を成す様前記各FOUP
13が配置されている。即ち、FOUP13は、前記中
心線Aに対し、角度α=29.3°だけオフセットした
位置で配置されている。そして、第3の実施の形態で
は、前記FOUP13の授受位置は中心線A′より2
9.3°だけ側方にずれた位置で授受が行われる。
【0062】尚、上記実施の形態に於いては、前記ウェ
ーハ12の搬送を前記FOUP13に装填した状態で行
う場合について説明したが、前記ウェーハ12をウェー
ハカセット等の開放型収納容器或は前記FOUP13以
外の密閉型収納容器に装填して搬送する場合についても
実施可能であることは言う迄もない。
【0063】又、前記FOUP回転収納棚36,51,
53の各段への前記FOUP13の収納数は上記した数
に限るものではなく、3個以下或は7個以上であっても
よい。
【0064】更に又、上記第1の実施の形態、第2の実
施の形態、第3の実施の形態中で示した放射中心線とF
OUP13の向きの角度は放射中心線の分割数に一義的
に決定されるものではなく、FOUP13の形状によっ
て変わる可能性がある。要は、FOUPステージ21、
FOUP搬送機22に正対し、その位置で搬送する際に
隣接するFOUP13に干渉しない角度ということとな
る。又、FOUP13が非対称の形をしている場合等、
前記放射中心線は必ずしもFOUP13の図心を通過す
るとは限らないことは勿論である。
【0065】
【発明の効果】以上述べた如く本発明によれば、回転収
納棚の平面寸法が収納容器の開口面の幅の寸法によって
決定されることがなく、収納容器を回転収納棚に平面的
に無駄なスペースがなく効率良く収納できるので、該回
転収納棚の回転円の直径を小さくでき、該回転収納棚の
小型化が図れ、装置の設置場所の省スペース化が図れ
る。
【0066】又、各段に4個の前記収納容器が収納可能
な前記回転収納棚に於いては、2バッチ分の収納容器の
数量が12個以下である場合には前記回転収納棚以外に
収納棚を設置する必要がなく、又、各段に5個以上の前
記収納容器が収納可能な前記回転収納棚に於いては、通
常の処理で必要な収納容器数である14個より多くの収
納容器を装置内に収納可能であり、通常の処理の場合だ
けでなく1バッチ分の被処理基板の枚数が増加した場合
にも前記回転収納棚以外に収納棚を設置する必要がな
い。従って、前記収納容器の前記装置への搬送を上方及
び前方から行えるので、同一装置で前記収納容器の各種
搬送方式に柔軟に対応することが可能となる等種々の優
れた効果を発揮する。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態を示す斜視図であ
る。
【図2】該実施の形態を示す側面図である。
【図3】該実施の形態を示す平面図である。
【図4】該実施の形態に於ける回転収納棚の回転機構体
を示す断面図である。
【図5】図4のX矢視図である。
【図6】図4のY矢視図である。
【図7】本発明の第2の実施の形態を示す平面図であ
る。
【図8】本発明の第3の実施の形態を示す平面図であ
る。
【図9】ウェーハをウェーハカセットに収納して搬送す
る場合の従来例を示す側面図である。
【図10】ウェーハをFOUPに収納して搬送する場合
の従来例を示す側面図である。
【図11】該従来例の平面図である。
【図12】FOUP回転収納棚の各段にFOUPを5個
ずつ収納可能な場合の従来例を示す平面図である。
【符号の説明】
1 筐体 12 ウェーハ 13 FOUP 21 FOUPステージ 22 FOUP搬送機 24 FOUP授受ユニット 29 反応炉 30 ボート 31 ウェーハ移載機 36 FOUP回転収納棚 37 回転機構体 38 棚板 39 減速部 41 駆動モータ 51 FOUP回転収納棚 53 FOUP回転収納棚

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 被処理基板が装填される収納容器と、該
    収納容器を複数収納し回転可能な回転収納棚と、該回転
    収納棚に前記収納容器を搬送する搬送機とを具備した基
    板処理装置に於いて、前記複数の収納容器が前記回転収
    納棚の回転中心を通る放射中心線上に配置され、前記回
    転収納棚に配置された収納容器の向きが、前記放射中心
    線に対して所要角度オフセットされていることを特徴と
    する基板処理装置。
  2. 【請求項2】 前記収納容器が前記収納容器授受位置で
    前記搬送機に正対する様に前記回転収納棚に配置された
    請求項1の基板処理装置。
  3. 【請求項3】 前記収納容器授受位置に於ける収納容器
    の向きを、収納容器搬入出部上の載置される収納容器の
    向きと同一とした請求項1又は請求項2の基板処理装
    置。
  4. 【請求項4】 前記放射中心線は全周を4等分するもの
    である請求項1の基板処理装置。
  5. 【請求項5】 前記放射中心線は全周を5等分するもの
    である請求項1の基板処理装置。
  6. 【請求項6】 前記放射中心線は全周を6等分するもの
    である請求項1の基板処理装置。
JP11017267A 1999-01-26 1999-01-26 基板処理装置 Expired - Lifetime JP2987148B1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP11017267A JP2987148B1 (ja) 1999-01-26 1999-01-26 基板処理装置
TW089101095A TW465007B (en) 1999-01-26 2000-01-24 Substrate processing apparatus and substrate processing method
US09/491,491 US6582174B1 (en) 1999-01-26 2000-01-25 Substrate processing apparatus and substrate processing method
KR1020000003664A KR100361130B1 (ko) 1999-01-26 2000-01-26 기판 처리 장치 및 기판 처리 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11017267A JP2987148B1 (ja) 1999-01-26 1999-01-26 基板処理装置

Publications (2)

Publication Number Publication Date
JP2987148B1 JP2987148B1 (ja) 1999-12-06
JP2000216212A true JP2000216212A (ja) 2000-08-04

Family

ID=11939205

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11017267A Expired - Lifetime JP2987148B1 (ja) 1999-01-26 1999-01-26 基板処理装置

Country Status (4)

Country Link
US (1) US6582174B1 (ja)
JP (1) JP2987148B1 (ja)
KR (1) KR100361130B1 (ja)
TW (1) TW465007B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100788198B1 (ko) * 2006-07-03 2007-12-26 주식회사 에스에프에이 구동용 회로기판 공급장치 및 구동용 회로기판 공급장치용카세트 하우징
JP2010147499A (ja) * 2000-04-17 2010-07-01 Hitachi Kokusai Electric Inc 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法
US8277161B2 (en) 2007-06-26 2012-10-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of a semiconductor device
US9997386B2 (en) 2008-09-30 2018-06-12 Canon Anelva Corporation Substrate holder mounting device and substrate holder container chamber
KR20180066232A (ko) 2015-11-27 2018-06-18 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
KR20230109089A (ko) 2022-01-12 2023-07-19 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 전환 방법, 반도체 장치의 제조 방법 및 프로그램

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
JP3832292B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷保管設備
JP3832295B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷取り扱い設備
KR20040047911A (ko) * 2001-10-19 2004-06-05 모노젠, 인크. 시료 바이알 밀봉 장치 및 방법
US6663340B1 (en) * 2002-08-30 2003-12-16 Motorola, Inc. Wafer carrier transport system for tool bays
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
DE10350517A1 (de) * 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
JP4215079B2 (ja) * 2006-07-31 2009-01-28 村田機械株式会社 クリーンストッカと物品の保管方法
JP2008060513A (ja) * 2006-09-04 2008-03-13 Tokyo Electron Ltd 処理装置及び処理方法
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009266962A (ja) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8882433B2 (en) * 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
KR101716524B1 (ko) * 2009-05-18 2017-03-14 크로씽 오토메이션, 인코포레이티드 기판 컨테이너 보관 시스템
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8759084B2 (en) 2010-01-22 2014-06-24 Michael J. Nichols Self-sterilizing automated incubator
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8888434B2 (en) 2011-09-05 2014-11-18 Dynamic Micro System Container storage add-on for bare workpiece stocker
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10177020B2 (en) 2015-02-07 2019-01-08 Kla-Tencor Corporation System and method for high throughput work-in-process buffer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP6895133B2 (ja) * 2017-11-27 2021-06-30 村田機械株式会社 保管装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102158828B1 (ko) * 2018-11-28 2020-09-22 세메스 주식회사 원통형 선반 장치 및 원통형 선반 장치의 구동 유닛 조립 방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102645892B1 (ko) 2021-06-16 2024-03-11 주식회사 인찬 어린이 보호 구역 안전 표지판 장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114551296B (zh) * 2022-01-28 2023-02-28 弥费科技(上海)股份有限公司 分区管理方法、装置、计算机设备和存储介质
CN117049179A (zh) * 2023-07-11 2023-11-14 上海稷以科技有限公司 半导体自动化设备及自动化控制方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4886412A (en) * 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
KR930002562B1 (ko) * 1986-11-20 1993-04-03 시미즈 겐세쯔 가부시끼가이샤 클린룸내에서 사용되는 방진저장 캐비넷장치
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
JPH02139947A (ja) 1988-11-19 1990-05-29 Dainippon Screen Mfg Co Ltd 熱処理炉への基板装填搬送装置
JPH0440534A (ja) 1990-06-07 1992-02-10 Fujitsu Ltd 予備装置切換方式
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5697749A (en) * 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
JP2952748B2 (ja) 1994-11-24 1999-09-27 光洋リンドバーグ株式会社 熱処理装置
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6029759A (en) * 1997-04-04 2000-02-29 Smith International, Inc. Hardfacing on steel tooth cutter element

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147499A (ja) * 2000-04-17 2010-07-01 Hitachi Kokusai Electric Inc 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法
JP2010161389A (ja) * 2000-04-17 2010-07-22 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法および半導体装置の製造方法
JP4581032B2 (ja) * 2000-04-17 2010-11-17 株式会社日立国際電気 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法
JP4581031B2 (ja) * 2000-04-17 2010-11-17 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
KR100788198B1 (ko) * 2006-07-03 2007-12-26 주식회사 에스에프에이 구동용 회로기판 공급장치 및 구동용 회로기판 공급장치용카세트 하우징
US8277161B2 (en) 2007-06-26 2012-10-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of a semiconductor device
US9997386B2 (en) 2008-09-30 2018-06-12 Canon Anelva Corporation Substrate holder mounting device and substrate holder container chamber
KR20180066232A (ko) 2015-11-27 2018-06-18 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
KR20200020989A (ko) 2015-11-27 2020-02-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US10703565B2 (en) 2015-11-27 2020-07-07 Kokusia Electric Corporation Substrate processing apparatus, substrate container transport system and operation mechanism
US11203488B2 (en) 2015-11-27 2021-12-21 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR20230109089A (ko) 2022-01-12 2023-07-19 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 전환 방법, 반도체 장치의 제조 방법 및 프로그램

Also Published As

Publication number Publication date
TW465007B (en) 2001-11-21
US6582174B1 (en) 2003-06-24
KR20000053623A (ko) 2000-08-25
JP2987148B1 (ja) 1999-12-06
KR100361130B1 (ko) 2002-11-18

Similar Documents

Publication Publication Date Title
JP2987148B1 (ja) 基板処理装置
US5248886A (en) Processing system
KR100310249B1 (ko) 기판처리장치
EP1094921B1 (en) Transferring substrates with different holding end effectors
US20010016307A1 (en) Processing method for substrate
US8277163B2 (en) Substrate transfer apparatus, substrate process system, and substrate transfer method
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JPH0964150A (ja) 半導体製造装置及び該半導体製造装置に於けるウェーハカセット内のウェーハ位置ずれ修正方法及びウェーハカセット搬送方法
JPH09104982A (ja) 基板処理装置
US4498832A (en) Workpiece accumulating and transporting apparatus
TW202105566A (zh) 包含多個對準器的設備前端模組、組件及方法
JPH0294647A (ja) ウェーハ処理装置
JP2000311935A (ja) 基板処理装置
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP6704423B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2000058619A5 (ja)
JPH09104983A (ja) 基板処理装置
JPH05326666A (ja) 搬送装置
JPH10189685A (ja) 基板処理装置
JP2001298011A (ja) 基板洗浄装置
JP4097358B2 (ja) 基板処理装置および基板処理方法
JP3040991B2 (ja) 半導体製造装置
JP2003115523A (ja) 基板処理装置および基板処理方法
JP3361085B2 (ja) 半導体製造装置
JPH0616462U (ja) バッファ付クラスタ形薄膜処理装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081001

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091001

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101001

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111001

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121001

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131001

Year of fee payment: 14

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371