JP2003526218A - 傾斜薄膜 - Google Patents

傾斜薄膜

Info

Publication number
JP2003526218A
JP2003526218A JP2001565432A JP2001565432A JP2003526218A JP 2003526218 A JP2003526218 A JP 2003526218A JP 2001565432 A JP2001565432 A JP 2001565432A JP 2001565432 A JP2001565432 A JP 2001565432A JP 2003526218 A JP2003526218 A JP 2003526218A
Authority
JP
Japan
Prior art keywords
thin film
phase reactant
layer
source gas
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001565432A
Other languages
English (en)
Other versions
JP5016767B2 (ja
Inventor
クリスチャン ジェイ. ワークホーヴェン
イーフォ ラーエイマイケルス
スヴィ ピー. ハウカ
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2003526218A publication Critical patent/JP2003526218A/ja
Application granted granted Critical
Publication of JP5016767B2 publication Critical patent/JP5016767B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 薄膜が原子層堆積によって形成され、これによって、該膜の組成は、自己制限成分の交互パルスを含む、サイクル(301)または(450,455,460,470)の間、単層ごとに変化され得る。例示される実施形態において、変化量の不純物ソース(306または460)が、サイクルプロセスの間に導入される。2nmほど薄い傾斜ゲート誘電体(72)は、純粋な酸化ケイ素からオキシニトリドへ窒化ケイ素へ変化され得る。同様に、ゲート誘電体(72)は、酸化アルミニウムから酸化アルミニウムおよびより高い誘電性材料(例えば、ZnO 2)の混合物へ純粋な高k材料へそして戻って酸化アルミニウムへ変化され得る。別の実施形態において、金属窒化物(432)(例えば、WN)が、先ず、ライニングのデュアルダマシントレンチおよびビアのためのバリアとして形成される。交互堆積プロセスの間、銅が例えば別々のパルスで導入され得、そして銅ソースパルス(460)が、徐々に頻度が増加し得、傾斜遷移領域(434)を形成し、その後、純粋な銅(436)が上部表面上に形成される。有利には、傾斜組成は、これらおよび種々の他の文脈において、シャープな材料界面で生じ得る非抵抗電気接触、エレクトロマイグレーションおよびエッチング速度制御のような問題を回避するために役立つ。

Description

【発明の詳細な説明】
【0001】 発明の分野 本発明は、一般的に、集積回路における層を形成すること、そしてより詳細に
は傾斜された不純物濃度を有する薄膜を堆積することに関する。
【0002】 発明の背景 今日の集積回路(IC)の開発に関与する多数の半導体プロセス工程が存在す
る。シリコン基板の最初の製造から最終のパッキング及び検査まで、集積回路製
造は、フォトリソグラフィー、ドーピング、エッチングおよび薄膜堆積を含む、
多くの製造工程を含む。これらのプロセスの結果として、集積回路は、微細デバ
イスおよび多層中配線(wiring amid multiple layers)から形成される。
【0003】 集積回路の基本的な構築ブロックは、薄膜トランジスタ(TFT)である。ト
ランジスタは、“金属”層と半導体基板との間に挟まれたゲート誘電体層(gate
dielectric layer)、従って金属酸化物半導体についての頭文字“MOS”を
含む。現実には、ゲート電極(gate electrodes)は、典型的に、金属よりむし
ろ導電的にドープされたシリコンから形成される。最も一般的に使用されるゲー
ト誘電体(gate dielectric)は、SiO2、即ち二酸化ケイ素である。
【0004】 今日の市場は、より強力かつより迅速な集積回路を要求する。このようなスピ
ードおよびより低い電力消費を追求して、デバイスパッキング密度は、デバイス
寸法を縮小することによって、連続して増加されている。今日までのところ、こ
のスケーリング(scaling)は、0.25μm未満へゲート電極幅を減少させた
。現在、0.18μm以下のゲート幅または臨界寸法(critical dimensions)
を使用する市販品が、入手可能である。これらの小さなデバイスへ適用されるス
ケーリング則は、非常に薄いゲート酸化物層を必要とし、これは、MOS集積回
路の各世代に伴ってより小さくなった。ゲート酸化物の厚みは、可能な限り小さ
く作製され、それによってスイッチング速度が増加される。慣用のゲート酸化物
層は、寸法が連続して縮小される(scaled)ので、いくつかの点で不適当であり
得る。
【0005】 極端に薄い二酸化ケイ素ゲート誘電体は、量子力学トンネリングのような望ま
しくない現象を示す。古典的意味において、酸化物は、3.1eV未満の運動エ
ネルギーを有する場合、ケイ素の伝導バンドへの電子の注入に対する比較的貫く
ことが出来ないバリアを示す。しかし、電子は、電子が十分な運動エネルギーを
有さない場合でさえ、バリアを貫通する限られた見込みを示す。この見込みは、
ゲート電場が大きくなるにつれておよび/またはゲート酸化物が薄くなるにつれ
て増加する。3nmより薄い酸化物の厚みについて、直接トンネル電流(direct
tunneling current)は、それが熱発生によって供給され得るよりも速くキャリ
アを除去するに十分に大きくなる。結果として、二酸化ケイ素ゲート誘電体は、
約1.5nm〜2nmのより低いスケーリング・リミットに達しやすい。
【0006】 薄いゲート酸化物に伴う別の問題は、重ね合わせるゲート電極からのドーパン
ト拡散に対するそれらの感受性である。ポリシリコンゲート電極層は、典型的に
その促進された伝導性のためにホウ素でドープされる。ゲート酸化物厚みが縮小
されるにつれて、ホウ素は、容易にゲート酸化物に浸透し得、デバイス特性にお
ける不安定を生じさせる。ゲート誘電体へのホウ素浸透は、閾値電圧の正シフト
、閾値下スイング(sub-threshold swing)の増加、電荷トラップ(charge trap
ping)の増加、低場孔移動度(low-field hole mobility)の減少、およびp−
MOSFETにおけるポリシリコン消耗(depletion)に起因するカレントドラ
イブの劣化のような望ましくない結果を有する。
【0007】 二酸化ケイ素の欠点に取り組む努力としては、ゲート誘電体への窒素組み込み
が挙げられる。窒化ケイ素(Si34)は、SiO2よりも高い誘電率を有し、
理論的にはトンネル制限(tunnel-limited)されないゲート誘電体のためのより
薄い等価酸化物厚を可能にし、そして更に不純物拡散に対して有効なバリアとし
て役立つ。しかし、窒化ケイ素膜と下にある半導体基板との間の界面は、一般的
に品質に乏しく、高密度の電荷トラップ部位およびピンホール、および付随する
電流漏れを生じさせる。結果として、ゲート誘電体としての使用のために、Si
2およびSi34のハイブリッド(例えば、シリコンオキシニトリド膜(silic
on oxynitride film))を作製する試みがなされた。しかし、窒素を酸化ケイ素
ゲート誘電体へ組み込む慣用方法は、特に将来世代デバイスの超薄ゲート誘電体
について、制御することが困難である。
【0008】 スケーリング問題についての他の解決法としては、五酸化タンタル、ストロン
チウムビスマスタンタレート(SBT)、バリウムストロンチウムタンタレート
(BST)などの高誘電率材料(高K)の使用が挙げられる。非常に増加した誘
電強度を示す一方、これらの材料は、現存の製造技術と統合することが困難であ
る。
【0009】 集積回路寸法の連続するスケーリングによって生じる別の問題は、集積回路内
の電気回路を配線するための十分に導電性の金属線を製造する困難性である。メ
タライゼーションの方法を簡単にする1つの方法は、ダマシン技術を使用するこ
とによる。ブランケット金属層を堆積しそしてワイヤリングパターンを残すため
に過剰の金属をエッチングするよりむしろ、ダマシン処理は、絶縁層におけるト
レンチによって配線のためのテンプレートを形成することを含む。金属はトレン
チを過剰に充填し(overfilled)、そしてポリッシング工程が、トレンチの外の
過剰な金属を除去する。このようにして金属は、トレンチ内に所望の配線パター
ンで残される。トレンチのフロアから下部導電性エレメントへ延びるコンタクト
ホールまたはビアが、同時に金属で充填される場合、該方法はデュアルダマシン
プロセッシングとして公知である。
【0010】 不幸にも、スケーリングは、特に銅のような迅速に拡散する金属が金属線およ
びコンタクトのために使用される場合、ダマシンプロセスに伴う困難性をもたら
す。周囲の絶縁体から金属線の剥離を防止するため、そして線に渡る短絡を引き
起こす拡散スパイク(diffusion spikes)を防止するために、1以上のライニン
グ層が、金属充填の前に、トレンチ(およびビア、デュアルダマシンプロセッシ
ングにおいて)内に形成される。典型的に、金属付着層および金属窒化物バリア
層が使用される。金属シード層がまた、トレンチが電気めっきによって充填され
る場合、必要とされ得る。
【0011】 これらのライニング層は、利用可能なトレンチの相当な容積を占め、より高い
導電性の金属フィラーに利用可能な空間を減少させる。従って、導電性が、金属
で完全に充填された同一のトレンチに比べて減少される。その上、金属窒化物ラ
イナー(liners)を使用することは、有利に金属フィラーを含有しそして短絡を
防止するが、空間(voids)およびさらに金属線に沿う減少された伝導率に至る
、エレクトロマイグレーションを回路作動の間に誘発することが公知である。
【0012】 発明の要旨 上述および他の要求は、本発明のいくつかの局面によって満たされる。
【0013】 本発明の1局面によれば、薄膜が、集積回路に提供される。該膜は、薄い厚み
を有し、上部表面と下部表面との間に規定される。制御された、種々の組成が、
この薄い厚みにわたって提供される。典型的な厚みは、好ましくは約100Å未
満、より好ましくは約50Å未満であり、そして10Åのオーダーであり得る。
【0014】 1実施形態によれば、該膜は、集積薄膜トランジスタのためのゲート誘電体(
gate dielectric)を含む。1アレンジメントにおいて、酸化ケイ素層に、傾斜
濃度(graded concentration)の窒素が提供される。層の厚みに関わらず、この
ような勾配(gradient)が維持され得る。有利には、比較的純粋な二酸化ケイ素
が高品質チャネル界面のためにより低いレベルで提供され、一方上部表面の高窒
素含有量が、ポリシリコンゲート電極からのホウ素拡散を妨げる助けとなる。別
のアレンジメントにおいて、他の誘電性材料が、ゲート誘電体内に望ましくない
シャープな(sharp)界面を有さずに、1材料から所望の界面特性そして別の材
料から所望のバルク特性を得るために、傾斜様式(graded fashion)で混合され
得る。例えば、Al23は高誘電率および所望の界面特性を有し、一方ZrO2
は、ゲート誘電体の“バルク”に望ましいより高い誘電率を有する。
【0015】 第2の実施形態によれば、該膜は、バリア膜とより導電性の配線材料との間に
遷移層(transition layer)を含む。例示される実施形態において、薄い金属窒
化物層に、銅の傾斜濃度が提供される。窒化物層は非常に薄く作製され得、例え
ばダマシントレンチ内により導電性の金属のためにより多くの空間を残す。有利
には、金属窒化物を有する有効な拡散バリアが、下部表面に提供され得、一方上
部表面の高銅含有量が、電気メッキシード層(electroplating seed layer)と
しての使用のために必要な導電率を提供する。徐々の遷移がまた、シャープなバ
リア−金属界面を有する構造と比較した場合、エレクトロマイグレーションを減
少させる。
【0016】 本発明の別の局面によれば、その厚みにわたって組成が変化する、薄膜を集積
回路内に形成するための方法が提供される。該方法は、交互に少なくとも第1化
学種および第2化学種を、複数の堆積サイクルの各々で基板へ導入し、一方該基
板を反応チャンバ内で支持している。第3化学種を、複数の堆積サイクルで基板
へ導入する。第3化学種の量は、それが導入される種々のサイクルで変化し得る
。あるいは、第3化学種が、それ自体のソースガスパルスで供給され、このパル
スは、薄膜堆積が進行するにつれて、増加または減少する頻度で利用される(例
えば、第1段階の間なし、第2段階の間4サイクルごと、第3段階の間1サイク
ルごと、など)。
【0017】 有利には、不純物量は、初期堆積サイクル間の0と後期堆積サイクル間の最大
量との間で変化する。1例において、ケイ素ソースガスが、各サイクルの第1フ
ェーズで基板上に吸着し、一方サイクルの第2フェーズにおけるオキシダントソ
ースガスが酸化ケイ素を形成する。比較的純粋な酸化ケイ素が基板表面をカバー
した後、少量の窒素ソースガスが第2フェーズの間に導入される。窒素ソースガ
スの量は、その後の各サイクルにつれて増加する。第2フェーズの間にオキシダ
ントの量がまた減少し得、その結果純粋な窒化ケイ素上部表面が最も好ましくは
生じ、誘電体の上部表面と下部表面との間の傾斜窒素含有量を伴う。同様に、第
2の例において、タングステン、還元および窒素ソースが、第1〜第3フェーズ
において、金属窒化物を提供する。第4および第5フェーズにおける銅ソースお
よび還元剤が銅を提供する。連続サイクルにおいて、第1〜第3フェーズ(約1
以下のWN単層を製造する)および第4〜第5フェーズ(約1以下のCu単層を
製造する)の相対的割合は変化した。増加/減少は、段階的に、例えば2サイク
ル毎、3サイクル毎、5サイクル毎などに、変化され得る。
【0018】 本発明の別の局面によれば、選択的に導入された不純物フェーズまたはパルス
が、熱力学的に好ましい置換反応で、前フェーズの原子を置換し得る。傾斜(gr
ading)には、原子層堆積プロセスにわたって不純物フェーズの頻度を変化させ
ることにより達成され得る。あるいは、不純物フェーズの頻度は一定に保たれ、
一方で不純物の期間が堆積プロセスの間中変化されるか、あるいは種々の頻度お
よび期間の組合せが利用され得る。
【0019】 原子層堆積によって提供される優れた制御のために、この傾斜は、非常に薄い
層で提供され得る。その上、プロセスの間の低温が、所望の不純物含有量プロフ
ィールの維持を可能にする。
【0020】 好ましい実施形態の詳細な説明 集積トランジスタスタックにおける傾斜ゲート誘電体層およびバリアから金属
層への傾斜遷移の文脈において記載されるが、当業者は、多数の他の文脈におけ
る本明細書で開示される原理(principals)についての用途を容易に見出すであ
ろう。本明細書で開示される方法および層構造は、層厚みにわたって調整された
(tailored)濃度の不純物を有する非常に薄い層が望まれる場合に、特別な有用
性を有する。
【0021】 集積回路における膜厚みにわたって傾斜された(graded)かまたはそうでなけ
れば種々の組成を提供することがまた、しばしば望ましい。種々の層間のシャー
プな境界は、不都合に、乏しい付着、望ましくない電気的品質、プロセス制御の
欠如などを実証し得る。
【0022】 例えば10nmよりも薄い、非常に薄い膜のために、慣用製造方法で正確に調
整されたプロフィールを実現することは非常に困難である。しかし、好ましい実
施形態は、単層ごとに薄膜形成を促進する原子層堆積(ALD)を利用する。実
際、制御は、1サイクル当たり1未満の単層を作製する嵩高いソース化学分子の
立体障害のために、単層よりも小さなスケールに存在する。原子的に薄膜単層を
層化する能力は、下部表面(例えば、ゲート酸化物/Si基板界面)から上部表
面(例えば、ゲート電極/ゲート誘電体界面)へのより正確な濃度勾配を形成す
ることを可能にする。
【0023】 従って、好ましい実施形態は、集積回路内に形成される薄層中の不純物含有量
をより正確に調整する方法を提供する。従って、以下に記載される例示される実
施形態は、材料の単層の別個の工程において薄膜を構築する方法を含み、そして
従って種々の原子層堆積(ALD)のものである。各別個の層の組成は、堆積さ
れる各単層について所望の成分を選択的に導入することによって調整され得る。
例えば、ALDによって、特定の組合せの導入されたガスが、堆積成分自体の性
質によってプロセスが自己終結する(self-terminates)まで、ワークピース(w
orkpiece)と反応するか、この上に堆積するかまたは吸着する。曝露(exposure
)の長さに関わらず、プロセスガスは、更に堆積に寄与しない。引き続いての単
層を堆積させるために、異なる化学的組合せが、前に形成された単層と反応する
かまたはこの上に吸着するように、プロセスチャンバに導入される。望ましくは
、第2成分または引き続いての成分が、自己制限様式でまた、別の単層を形成す
る。これらの自己制限単層は、好適な厚みの膜を形成するに所望な回数だけ交互
にされる。
【0024】 この方法のまさにその性質は、各別個のサイクルについて成分変化を可能にす
る。従って、生じる薄膜の組成は、例えば、各サイクルにおいて、各第2サイク
ルにおいて、または任意の他の所望の進行において、徐々に変化され得る。更に
、ALDは慣用の熱酸化および慣用のCVD法と比較して非常に低い温度で行わ
れ得るので、プロセスの間の拡散が効果的に制限され得る。酸化物厚みと対応す
る数の層との間の比を示すために、例えば、2nmの酸化ケイ素の薄膜は、約七
(7)単層を含む。例示される実施形態によれば、7単層の酸化ケイ素が、約1
8〜22サイクルのALDプロセスで形成され得る。従って、このような非常に
薄い層についてでさえ、組成が変化され得、その結果、第7単層へ組み込まれる
ものと比べて異なる不純物濃度が、第1単層へ組み込まれ得る。
【0025】 好ましいプロセスリアクター 図1は、好ましい実施形態に従って行われ、そしてここで開示される方法が特
に有用である、クォーツプロセスまたは反応チャンバ12を備える、化学気相堆
積法(chemical vapor deposition)(CVD)リアクター10を示す。示され
るリアクター10は、遠隔プラズマソースを含むように適応された、アリゾナ州
フェニックスのASM America,Inc.製の商品名EpsilonTM
で市販させるプロセスモジュールを備える。好ましい実施形態が単一基板(sing
le-substrate)CVDリアクターの文脈で議論されるが、開示されるプロセスは
、ここで議論されるものと異なる形状の反応チャンバを有する、他のタイプのC
VDリアクターにおける用途を有することが理解されるであろう。他のアレンジ
メントにおいて、好ましいプロセスは、特にALDのために設計された、フィン
ランドのASM Microchemistry,Ltd.製の商品名Puls
arTM2000で市販されるリアクターにおいて行われ得る。
【0026】 複数の放射熱源が、クォーツチャンバ12壁によるかなりの吸収なしにチャン
バ12へ熱エネルギーを提供するように、チャンバ12の外側に支えられる。好
ましい実施形態は半導体ウェハを処理するための“コールドウォール(cold wal
l)”CVDリアクターの文脈において記載されるが、ここで記載されるプロセ
ッシング方法は、誘導(inductive)または抵抗加熱を使用するような他の加熱
/冷却システムと合わせての有用性を有することが理解されるであろう。
【0027】 示される放射熱源は、細長いチューブタイプ放射加熱エレメント13の上部加
熱アセンブリを含む。上部加熱エレメント(upper heating elements)13は、
好ましくは、間隔を隔てた(spaced-apart)平行関係に配置され、そしてまた下
にある反応チャンバ12を通る反応物ガス流路と実質的に平行である。下部加熱
アセンブリは、好ましくは上部加熱エレメント13に対して横断方向に配置され
る、反応チャンバ12の下に類似の細長いチューブタイプ放射加熱エレメント1
4を含む。望ましくは、放射熱の一部は、それぞれ上部および下部ランプ13、
14の上および下の粗い鏡面反射プレート(rough specular reflector plates
)によってチャンバ12へ拡散的に反射される。更に、複数のスポットランプ1
5は、濃縮された熱を、ウェハ支持構造の下部へ供給し、反応チャンバ12の下
部を通って延びる冷却支持構造によって作製される放熱作用を妨げる。
【0028】 各々の細長いチューブタイプ加熱エレメント13、14は、好ましくは、ハロ
ゲンガス(例えば、ヨウ素)を含有する透明クォーツエンベロープ(transparen
t quartz envelope)を有する高強度タングステンフィラメントランプである。
このようなランプは、かなりの吸収なしに、反応チャンバ12の壁を通して透過
されるフル−スペクトル(full-spectrum)放射熱エネルギーを生成する。半導
体プロセッシング装置の分野において公知であるように、種々のランプ13、1
4、15のパワーが、温度センサーに応答して独立的にまたはグループ化ゾーン
で制御され得る。
【0029】 好ましくはシリコンウェハ16を含むワークピースまたは基板が、基板または
ウェハ支持構造18上の反応チャンバ12内に支持されている状態で示される。
例示される実施形態の基板が単結晶シリコンウェハであるが、用語“基板”は、
層が堆積される任意の構造をいうことが理解されることに注意すること。支持構
造18は、サセプタ20、シャフト24からぶら下がり(depending)チューブ
26を通って延びるクォーツ支持スパイダー(quartz support spider)22、お
よびウェハ16を横切る層ガス流および均一な温度を促進する多数の周囲エレメ
ントを含む。
【0030】 示される反応チャンバ12は、反応物およびキャリアガスの注入のための入口
ポート40を含み、そしてウェハ16はまた、それを通して受容され得る。出口
ポート42は、チャンバ12の反対側にあり、ウェハ支持構造18は、入口40
と出口42との間に配置されている。
【0031】 入口コンポーネント44は、入口ポート40を囲むように適応されて反応チャ
ンバに取り付けられており、そしてウェハ16が挿入され得る水平に細長いスロ
ット45を含む。スロット45は、操作の間、選択的にゲートバルブ(図示せず
)によって密封される。ほぼ垂直な入口46は、遠隔のソースからガスを受容し
、そしてスロット45および入口40とこのようなガスを連結する。
【0032】 リアクターはまた、付属の安全および制御バルブを用いてガスラインを介して
入口46とつながるプロセスガスの遠隔ソース(示さず)、ならびに当業者に理
解されるように、ガスパネルに統合される質量フローコントローラー(mass flo
w controllers)(“MFC”)を含む。
【0033】 最初に例示される実施形態について、ガスソースは、ケイ素−含有ガス、好ま
しくはシラン(例えば、モノシラン(SiH4))、四塩化ケイ素(SiCl4
、ジクロロシラン(DCSまたはSiH2Cl2)、トリクロロシラン(TCSま
たはSiHCl3)、あるいは他のシランまたはハロシランケイ素ソース;オキ
シダントソースガス(例えば、O2、O3、Oラジカル、H2O、NOまたはN2
);および窒素ソースガス(NH3など)を保持するタンクを含む。金属ソース
ガスはまた、高k金属酸化物の堆積のために使用され得る。第2実施形態につい
て、ソースガスは、1以上の金属ソースガス(例えば、WF6、TiCl4、Cu
Clなど)、窒素ソースガス(例えば、NH3)および還元剤(例えば、トリエ
チルボロンまたはTEB)を含む。
【0034】 ケイ素ソースは、ガス形態で反応チャンバへより効率的にケイ素含有ガス分子
を輸送するために、液体溶液(例えばTCS)を通してH2をバブリングするた
めのバブラー(bubbler)およびガスラインを含み得る。多くの金属ソースは、
同様に、液体溶液およびバブラーを含み得る。リアクター10はまた、他のソー
スガス、例えば、ホスフィン(PH3)、アルシン(AsH3)、および/または
ジボラン(B26)を含むドーパントガス(dopant gases);リアクター壁をク
リーニングするためのエッチャント(例えばHCl);ドーピングまたはSiG
e膜の形成のためのゲルマニウムソースなどを含み得る。
【0035】 例示される実施形態において、一般的に遠隔プラズマジェネレータ(remote p
lasma generator)60という、励起化学種の任意のジェネレータが、反応エリ
アから離れてまたは上流に、そして好ましくはチャンバ12の上流に提供される
。典型的な遠隔励起化学種ジェネレータは、ドイツ,ミュンヘンのRapid
Reactive Radicals Technology GmbH製の商品
名TR−850で商業的に利用可能である。当業者に公知であるように、ジェネ
レータ60は、ガスへパワーを連結し、励起化学種を発生させる。例示される実
施形態において、ジェネレータ60は、ガスライン62に沿ってアプリケーター
においてマグネトロンから流動ガスへマイクロ波エネルギーを組み合わせる。前
駆ガス63のソースを、励起化学種ジェネレータ60への導入のためにガスライ
ン62へ連結させる。キャリアガス64のソースもまた、ガスライン62へ連結
させる。1以上の更なるブランチライン65がまた、更なる反応物のために提供
され得る。当該技術分野に公知であるように、ガスソース63、64は、反応物
化学種の形態および揮発性に依存して、ガスボンベ、バルブなどを備え得る。各
ガスラインは、示されるように、別々の質量フローコントローラー(MFC)お
よびバルブを装備し得、ジェネレータ60へそしてそこから反応チャンバ12へ
導入されるキャリアおよび反応物化学種の相対量の選択を可能にする。
【0036】 出口成分48は、排気開口部(exhaust opening)49が出口ポート42と一
列に並びそして排気導管50へ至るように、プロセスチャンバ12へ搭載される
。導管50は、次に、チャンバ12を通ってプロセスガスを抜き出しそして所望
ならば減圧するに好適な真空手段(示さず)と連結する。
【0037】 傾斜ゲート誘電体 上述のように、集積回路製造における動向は、デバイスを更に小型化すること
である。デバイスが小さくなるにつれて、ゲート酸化物層のような薄層を慣用手
段によって堆積することは、益々困難になっている。更に、酸化ケイ素層の性質
は、ゲート誘電体の所望の電気特徴に取り組むために、変化する必要がある。
【0038】 集積トランジスタにおけるゲート誘電体は、低い欠陥密度(low defect densi
ties)を有するだけでなく、重ね合わせるゲート電極からゲート誘電体への不純
物の拡散に抵抗すべきである。酸化ケイ素は、ゲート誘電材料として現在数十年
間首尾よく使用されているが、今日の回路設計は、ますます薄い層の使用を課す
る。より薄い層の結果として、ドーパント(例えば、ホウ素)拡散は、より問題
となる。
【0039】 ゲート誘電体膜への窒素の組み込みは、効率的に、ホウ素拡散を減少させ得る
。しかし、当該分野の他の場合に認識されたように、チャネル界面での窒化物は
、乏しい界面特性および従って乏しい電気的性能をもたらす。従って、得られる
誘電体構造は、チャネル界面で純粋な酸化ケイ素を有し、そしてより高いレベル
で窒化ケイ素を有する。
【0040】 慣用的には、酸化ケイ素ゲート誘電体フィルムは、下にあるシリコン基板の熱
酸化によって作製される。窒素を組み込むために、窒素含有ガスが、主要酸素流
へ添加され得、および/または堆積後処理が、窒素含有ガスまたは窒素注入(ni
trogen implantation)で行われ得る。このような方法は、窒素を酸化物材料へ
組み込んでシリコンオキシニトリド(silicon oxynitride)(SiOxy)を形
成し得るか、または酸化物上にSi34層を形成し得る。いずれの場合において
も、ゲート誘電性材料が非常に薄い場合(例えば、7nm未満)、特に集積回路
の現在または将来の集積回路デバイス世代について、膜中の窒素含有量を制御す
ることは困難である。このような超薄誘電体について、窒素をゲート誘電体へ組
み込む慣用方法は、基板との界面での窒素含有量をなお最小化すると同時に、基
板の両端で均一な電気的特徴を生成するように制御され得ない。
【0041】 第1実施形態は、交互層酸化ケイ素プロセスで前に吸着された単層の酸化を伴
う、シリコンの約1以下の単層の交互吸着を含む。酸化段階の間、窒素がまた選
択的に組み込まれ得る。本質的に、これら2つのガスを混合することによって、
酸素対窒素の任意の所望の比を有するオキシニトリド膜が、成長され得る。好ま
しい実施形態において、サイクルプロセスの間に反応物比を変化させて、各サイ
クルによって形成される組成が調整され得る。最も好ましくは、堆積は、厚みに
わたる任意の所望の傾斜(grading)を伴って、純粋な酸化ケイ素に始まりそし
て純粋な窒化ケイ素に終わる。
【0042】 堆積が生じる基板が、最初に、自己制限(self-limiting)堆積プロセスのた
めに調製される。例示される実施形態において、基板は、半導体材料であり、こ
こにトランジスタチャネルが形成される。半導体基板は、エピタキシャル層から
形成され得るか、または固有に(intrinsically)ドープされたシリコンウェハ
の上部部分から形成され得る。他のアレンジメントにおいて、基板は、代替材料
(例えば、III−V半導体)を含み得る。
【0043】 表面調製は、望ましくは、好ましいALD法において第1反応物と容易に反応
する表面末端(surface termination)を残す。誘電体層が単結晶シリコン層ま
たはウェハ上に形成される、例示される実施形態において、裸の(bare)シリコ
ン表面は、好ましくはヒドロキシル(OH)テイル(tails)で終結する。当業
者に明らかであるように、このような表面終結は、ウェハ清浄後、単にクリーン
ルーム雰囲気への曝しによって容易に得られ得る。
【0044】 好ましい実施形態によれば、少なくとも1つのワークピースまたはウェハが、
プロセスチャンバへロードされ、そしてプロセシングのために準備される。パー
ジガスが、好ましくは、大気汚染物質を除去するために、チャンバを通って流さ
れる。
【0045】 温度および圧力プロセスパラメータが、所望の膜特徴を達成するために、調節
され得る。必要ならば、ウェハは、ランプ13、14および15へのパワー出力
を増加させることによって、所望のプロセス温度へ上昇される。しかし、有利に
は、例示される自己制限反応は、低温で行われ得、その結果、リアクターが、ワ
ークピース交換の間に上昇することなしに、反応温度で一定に維持され得る。大
気以外である場合、所望の圧力レベルが、当業者に公知のような慣用真空ポンプ
を使用して、達成され得る。本シリコンオキシニトリドプロセスについて、例え
ば、約100℃〜500℃、より好ましくは約200℃〜400℃、最も好まし
くは約300℃〜400℃のプロセス温度に維持することが好ましい。望ましく
は、プロセスはまた、圧力に対して比較的鈍感であるが、好ましい圧力範囲は約
1Torr〜100Torrであり、そしてより好ましくは約5Torr〜15
Torrである。
【0046】 本発明の代替の実施形態において、自己制限反応は、なおより低い温度で生じ
得る。遠隔−プラズマ励起(remote-plasma excited)酸素および/または窒素
ソースを使用して、室温プロセッシングでさえもっともらしい。従って、別個の
層の間の拡散(inter-diffusion)が回避され得、そして高温での後処理が酸素
または窒素含有ガスの環境において行われない限り、堆積される組成プロフィー
ルはインタクトのままである。上述のように、図1のプラズマジェネレータ60
は、流動反応物ガスへマイクロ波エネルギーを連結させて、プラズマを引き起こ
し得る。望ましくは、イオン化学種は、プロセスチャンバへ入る前に再度結合さ
れ、それによってワークピースおよびチャンバ自体への損傷を最小化し、一方、
NおよびOのようなラジカルは、生き残って、プロセスの酸素および/またはN
フェーズに対して高められた反応性を提供する。
【0047】 ワークピースが所望の反応温度にありかつチャンバが所望の圧力レベルにある
と、次いで、プロセスおよびキャリアガスがプロセスチャンバへ送られる。従っ
て、未反応プロセスおよびキャリアガスならびに任意のガス状反応副生成物が、
排気される。キャリアガスは、任意の多数の公知の非反応性ガス(例えば、H2
、N2、Ar、Heなど)を含み得る。例示される実施形態において、N2が、キ
ャリアガスとして使用される。
【0048】 次いで、第1化学種が、調製された堆積基板上に吸着される。例示される実施
形態において、第1化学種は、ケイ素含有化学種を含み、そしてケイ素含有化学
種の自己終結単層(self-terminating monolayer)を生じる少なくとも1つの他
のリガンドを含む。例えば、酸化ケイ素の堆積のためのケイ素ソースガスとして
は、以下が挙げられ得る:式Sim2m+2のシラン(ここで、mは1〜3の整数
である);式Siyy-12y+2のシロキサン(ここで、yは2〜4の整数である
);および式Siy(NH)y-12y+2のシラザン(silazanes)(ここで、yは
2〜4の整数である)。これらの式において、各Lは独立して、H、F、Cl、
Br、I、アルキル、アリール、アルコキシ、ビニル(−CH=CH2)、シア
ノ(−CN)、イソシアネート(−NCO)、アミノ、シリル(H3Si−)、
アルキルシリル、アルコキシシリル、シリレンまたはアルキルシロキサンであり
得、ここでアルキルおよびアルコキシ基は、直鎖または分枝鎖であり得、そして
少なくとも1つの置換を含み得る。揮発性シラノールおよび環状ケイ素化合物は
、他の好適なケイ素ソース化合物の例である。
【0049】 これらのケイ素化合物の中でも、好ましくはシランおよびシラザンが、純粋な
窒化ケイ素の堆積のために使用され、何故ならばシロキサンは相当に強いSi−
O結合を有するからである。ケイ素化合物は、例えばGelest,Inc.(
William Leigh Drive, Tullytown, PA 19007-6308, United States of America
)から購入され得る。
【0050】 最も好ましくは、ケイ素ソースガスは、キャリアガスフローへ注入されるジク
ロロシラン(DCS)またはトリクロロシラン(TCS)を含む。好ましいリア
クターにおいて、ケイ素ソースガスが、約10sccm〜500sccm、より
好ましくは約100sccm〜300sccmの速度で流される。ケイ素ソース
ガスは、約0.1秒〜1秒間、好ましい温度および圧力条件下で、そしてより好
ましくは約0.3秒〜0.7秒間、維持される。ケイ素の単層は、クロリドテイ
ルまたはリガンドで終結されたシリコン基板表面上に化学吸着する(chemisorbs
)。表面終結は、望ましくは、ケイ素ソースガスおよびキャリアガスとの更なる
反応を阻害する。
【0051】 第1化学種のパルス後、第2化学種が、基板へ供給される。例示される実施形
態において、第2化学種は、オキシダントを含み、最も好ましくは純粋なH2
蒸気を含む。H2Oは、好ましくは、キャリアガスフローへ、約10sccm〜
500sccm、より好ましくは約100sccm〜300sccmの速度で注
入される。好ましい温度および圧力条件下で、H2Oパルスは、好ましい温度お
よび圧力条件下で約0.1秒〜1秒間、そしてより好ましくは約0.3秒〜0.
7秒間維持される。オキシダントパルスがオフされた後、キャリアガスが、好ま
しくは、次の反応物パルス前にチャンバからオキシダントをパージするに十分な
時間、流される。他のアレンジメントにおいて、チャンバが、第2反応物を除去
するために、真空にされ得ることが理解される。
【0052】 第2反応物パルスの間、オキシダントは、前のパルスのクロリド末端と反応し
、リガンドの代わりに酸素原子を残す。望ましくは、化学量論または化学量論に
近いSiO2が残される。
【0053】 原子層堆積の原理によれば、ケイ素ソースガスの第2パルスが、次いで、キャ
リアガスフローへ注入され、パルスが停止され、そしてケイ素ソースガスがチャ
ンバから除去され、第2オキシダントソースガスパルスが続き、次いでこれが次
に停止され、そしてチャンバから除去される。次いで、これらのパルスは、誘電
体層が所望の厚みを達成するまで、連続して交互にされる。
【0054】 不純物ソースガスがまた、交互プロセスでサイクルの少なくとも1つへ提供さ
れる。示される誘電体実施形態において、不純物は、好ましくは、窒素を含み、
そして不純物ソースガスは、好ましくは、交互プロセスに添加されるアンモニア
(NH3)またはヒドラジン(N24)を含み得る。アンモニアおよびヒドラジ
ンの両方は、かなり反応性のガスであり、それらを低温ALDプロセッシングに
好適にする。以下の図9〜13の開示される実施形態を考慮して、1実施形態に
おいて、アンモニアが、ケイ素フェーズに続いて、異なるアンモニアフェーズ(
各々は、アンモニアパルスおよびパージパルスを含む)で添加される。アンモニ
アフェーズは、徐々にオキシダントソースガスフェーズを置換し、例えば10サ
イクル毎に1回、徐々に他のサイクル毎に1回へ増加させ、そして好ましくはオ
キシダントフェーズの完全な置換で終わる。従って、交互プロセスは、酸化ケイ
素を堆積することから始まり(ケイ素およびオキシダントフェーズを交互させる
ことによる);プロセスの中間部分における窒素レベルを増加させながら、傾斜
(graded)シリコンオキシニトリドを堆積させ(窒素そして特にアンモニアフェ
ーズでオキシダントフェーズの増加部分を徐々に置換することによる);そして
、所望の誘電体厚みが到達されるまで、交互プロセスが窒化ケイ素を堆積させる
(ケイ素およびアンモニアフェーズを交互させることによる)。
【0055】 しかし、例示される実施形態において、アンモニアが酸素フェーズへ添加され
る。異なる量のNH3が、プロセスの間中、異なるオキシダントソースガスパル
スへ添加される。従って、所望の量の窒素が、二酸化ケイ素の各単層へ選択的に
組み込まれ得、そしてシリコンオキシニトリド層が、調整された窒素含有量プロ
フィールで生じる。
【0056】 当業者は、本開示を考慮して、アンモニアとケイ素コンプレックス(complex
)との間の反応は、オキシダントとケイ素コンプレックスとの間の反応と比べて
、種々の熱力学的有利性(favorability)を有することを理解する。従って、オ
キシダントに対するアンモニアの割合は、得られるシリコンオキシニトリドにお
ける酸素に対する窒素の割合と必ずしも等しくない。当業者は、容易に、所望の
レベルの窒素組み込みについて好適なパラメータを決定するために、ルーチンの
実験によって熱力学的競合を容易に考慮し得る。特に酸素活性化学種と組み合わ
せて、遠隔プラズマジェネレータによって窒素活性種を提供することは、窒素ソ
ースに対する酸素ソースの比を変化させる効果を最大化し得る。
【0057】 図7は、典型的な自己制限堆積シーケンスにおける第1の4サイクル301a
〜301dを例示する、1実施形態に従うガスフローダイヤグラムである。例示
されるシーケンスは、キャリアガス300の一定流を含む。示されるように、ケ
イ素ソースガスの第1パルスまたはスパイク(spike)302aが、提供されて
、第1自己終結ケイ素単層を形成する。第1パージ工程303(この間、キャリ
アガスが、ケイ素ソースガスがチャンバから除去されるまで流れ続ける)後、第
1オキシダントソースガスパルスまたはスパイク304aが提供される。第2パ
ージ303後、第2ケイ素ソースガスパルス302bが提供され、第2オキシダ
ントソースガス304b、第3ケイ素ソースガスパルス302c、第3オキシダ
ントソースガスパルス304cなどが、パージ工程303によって分離される交
互パルスで続く。
【0058】 示されるように、第1サイクル301a(第1酸化ケイ素単層を形成する)の
あるポイントで、第1不純物ソースガスパルス306bが、好ましくはオキシダ
ントソースガスパルス304bの間に提供される。望ましくは、比較的低い割合
の不純物ソースガス(好ましくは、NH3を含む)が、この第1パルス306b
の間に提供される。引き続いてのオキシダントソースガスパルス304c、30
4dなどの間、不純物ソースガスの次第に多くなる流れが、パルス306c、3
06dなどで提供される。不純物ソースガスの次第に多くなる流れが使用される
場合、オーバーヘッドシャワーヘッド(overhead showerhead)の様式によって
のように、基板表面に対して垂直に反応物を供給することが有利であり得る。2
つのソース化学物質の競合吸着の場合、すべての基板表面は、好ましくは、ガス
混合物へ同時に曝される。従って、基板の入口側から排気側への濃度勾配が、回
避され得る。
【0059】 図7は単に概略的であり、そして一定の縮尺率で描かれていないことに注意す
ること。さらに、好ましいプロセス条件は、実際に、複数のサイクル後に形成さ
れる完全単層(full monolayer)を生じさせる。理論的に、反応物は、ワークピ
ースの曝露層上の各利用可能な部位で化学吸着すると同時に、該吸着された(そ
して特に終結リガンドを有する)化学種の物理的サイズは、一般的に、単層のフ
ラクションへ各サイクルでのカバレッジ(coverage)を制限する。例示される実
施形態において、平均して約1ÅのSiO2が1サイクル当たり形成するのに対
して、SiO2の真の単層は厚み約3Åであり、その結果、完全単層が、概して
3サイクル毎に有効に形成され、ここで各サイクルは、ケイ素ソースガスおよび
オキシダントガスパルスの対によって示される。
【0060】 従って、第1不純物ソースガスパルス306bは、好ましくは、3オキシダン
トソースガスパルスで交互にされる3ケイ素ソースガスパルス後に行われる。こ
の様式において、少なくとも完全単層の二酸化ケイ素が、窒素ドーピングの導入
前に提供される。より好ましくは、第1アンモニアパルス306bが、6サイク
ル後に提供され、それによって基板−誘電体界面への窒素拡散に対する更なる保
険を提供する。例示される実施形態において、アンモニアが約0sccm〜10
sccm、より好ましくは約0sccm〜5sccmで、第1パルス306bに
おいて流される。その後、アンモニアパルスが、約50sccmだけ各サイクル
において増加される。
【0061】 例示されないが、オキシダントソースガスパルス304a、304bなどが、
不純物ソースガスフローを増加させる間に、減少され得る。従って、反応物シリ
コンオキシニトリド誘電体層における窒素含有量は、下部表面で0パーセントか
ら上部表面で化学量論んのSi34まで増加され得る。
【0062】 図2は、好ましい実施形態に従って構築された、部分的に製造された集積回路
におけるトランジスタ構造70の概略断面図を示し、そしてこれについてここで
開示される方法は特に有用性を有する。ゲート誘電体層72は、ゲート電極74
と半導体基板76との間に挟まれる。従って、ゲート誘電体72は、基板界面7
8と電極界面80との間に延びる。例示される実施形態において、ゲート電極7
4は、ポリシリコン層を含む。基板76は、任意の好適な半導体材料を含み、そ
して例示される実施形態において、固有に(intrinsically)ドープされた単結
晶ケイ素の層を含む。1実施形態において、ゲート誘電体72は、種々のそして
好ましくはその厚みにわたって傾斜された窒素含有量を有する酸化ケイ素を含む
。他の実施形態において、Al23は、より高い誘電率材料(例えば、ZrO2
)へ傾斜されて、より高い全体的な誘電率を提供する、ケイ素との純粋な界面と
して役立ち得る。ゲート電極74は、更に、側壁スぺーサー82および誘電体キ
ャップ層84によって保護され、これら各々は、慣用的絶縁材料(例えば、酸化
ケイ素または窒化ケイ素)を含み得る。ゲート電極74はまた、より速い側方シ
グナル伝達ために、高導電性ストラッピング層(high conductivity strapping
layer)(例えば、金属窒化物、金属ケイ化物、および純粋な金属)を含み得る
【0063】 図3〜5は、一度に1単層で好ましいゲート誘電体72を形成するシーケンス
を示す。図は概略図のみであることに注意すること。一般的に、各単層における
不純物の濃度は、望ましいように、変化し得る。例示される実施形態において、
不純物濃度の線形プロフィールが好ましい。他のアレンジメントにおいて、不純
物濃度は、薄膜の厚みわたって、工程機能などによって、急激に変化し得る。
【0064】 図3は、半導体基板76の表面上に直接形成される酸化ケイ素の第1単層10
2を例示する。図7に関して上述される、好ましいプロセッシング条件によれば
、このような単層は、ケイ素およびオキシダントソースガスパルスを交互するA
LDの平均約3サイクル後に形成され得る。望ましくは、第1または基板界面単
層102は、ほとんど或いは全く不純物濃度を有さず、好ましくは約0.1%未
満の不純物を有し、そしてより好ましくは単層102が純粋なSiO2から形成
される。
【0065】 図4は、酸化ケイ素の第1単層102の表面上に直接形成された、酸化ケイ素
の第2単層104を示す。第2単層104は、好ましくは、低不純物濃度(好ま
しい実施形態において窒素)を有し、しかし前の単層102における濃度よりも
高い。
【0066】 図5を参照して、第3単層106が、第2単層104の表面上に直接堆積され
る。例示される実施形態において、しかし、第3単層106は、第2単層104
よりも高い不純物(窒素)濃度を有する。同様に、複数の更なる単層が、所望の
最終厚みが達成されるまで、一度に1つで堆積される。各単層は、異なる不純物
濃度を有し得、そして膜の厚みにわたる不純物プロフィールは、それに応じて調
整され得る。
【0067】 図6を参照すると、最終単層114が堆積されて、ゲート誘電体層の形成を完
全にする。従って、最終単層114は、その上に堆積される導電性材料とのゲー
ト電極界面80を規定する。当然ながら、図6は単に概略的であり、そして例示
される7よりもより多い多くの単層が、所望の最終厚みを形成するために使用さ
れ得ることが理解される。その上、個々の単層102〜114は、概略図に反し
て、最終構造において、はっきりと規定可能でないだろう。
【0068】 例示される実施形態において、不純物濃度は、基板界面78での最小濃度から
、ゲート電極界面80での最大濃度まで変化するように制御される。好ましくは
、ゲート誘電体72は、約0.1%未満の、そしてより好ましくは約0%の基板
界面78での窒素濃度を有する。他方、ゲート電極界面80での窒素含有率は、
好ましくは約5%より大きく、より好ましくは約8%より大きく、そして最も好
ましくは約10%よりも大きい。これら2つの界面78、80の間の窒素含有量
は、ほぼ線形的に傾斜される。しかし、任意の他の所望の傾斜プロフィール(例
えば、放射状、指数的、長円形状など)が、各酸化フェーズの間の窒素ソースガ
スの割合を調整することによって達成され得ることが理解されるだろう。
【0069】 得られる薄膜は、約7nm未満の実際の厚みを有する。好ましくは、ゲート誘
電体は、約6nm未満、より好ましくは約5nm未満の実際の厚みを有し、そし
て例示される実施形態において、約2nmの厚みを有し、約7単層を含む。例示
されるゲート誘電体72は顕著な窒素含有量を組み込むので、それは、好ましく
は、2.0nm未満、より好ましくは約1.7nm未満、そして最も好ましくは
約1.6nm未満の等価酸化物厚み(equivalent oxide thickness)を示す。例
示される線形的に傾斜されるオキシニトリドは、約1.7nmの等価酸化物厚み
を有する。
【0070】 図8は、好ましい実施形態に従って構築された誘電体層の理論的なリバースオ
ーガープロフィール(theoretical reverse Auger profile)であり、半導体基
板界面からの距離の関数として、誘電体層における不純物含有量の割合を示す。
示されるように、好ましい実施形態において、半導体基板界面でまたはその附近
で、不純物含有量350(即ち、窒素)は最小であり、一方、酸素含有量340
は最大である。半導体基板界面からの距離が遠くなるにつれて、不純物濃度35
0はほぼ線形的に最大値へと増加し、一方酸素含有量340は最小値へと減少す
る。
【0071】 従って、基板界面で、ゲート誘電体は、好ましくは、ほぼ純粋な二酸化ケイ素
(SiO2)を含み、一方層の上部附近(ゲート電極界面)で、ゲート誘電体は
、ほぼ純粋な窒化ケイ素(Si34)を含む。このような構造は図7と類似のA
LD法によって作製され得ることが理解されるが、オキシダントパルス振幅は、
サイクル毎または数サイクル毎で、減少する。
【0072】 従って、好ましいゲート誘電体の極端に低い厚みに関わらず、厚みにわたって
正確に制御された不純物含有量が達成され得る。従って、例示される実施形態に
おいて、二酸化ケイ素の界面特性は基板表面で得られ、一方、窒素がゲート誘電
体の残りへ組み込まれて、ホウ素浸透を減少させて、そしてゲート誘電体の全体
的に有効な誘電率を増加させる。ALDを使用することは、原子層レベルでの正
確な制御を可能にする。その上、堆積に含まれる低温は、相互拡散無しに、厚み
における種々のポイントでの任意の所望の不純物濃度の維持を可能にする。対照
的に、慣用技術は、そのように正確に制御されず、そしてプロセッシングの間の
拡散および/またはこのような薄いゲート誘電体層形成中の制御の固有の欠如に
起因して、このような薄膜における任意の不純物の拡散さえ生じる傾向にある。
【0073】 その上、層の厚みにわたる傾斜(grading)は、有利に、後のプロセッシング
のより良い制御を可能にする。例えば、ゲート誘電体は、基板のこれらの領域へ
の電気接触を形成するために、典型的に活性領域(active areas)(例えば、ト
ランジスタのソースおよびドレイン領域)上でエッチングされる。ゲート誘電体
の上部表面から基板界面への窒素含有量の徐々の(gradual)変化は、当業者に
理解されるように、このようなエッチプロセスに渡ってより大きな制御を可能に
する。当業者は、集積回路において使用される薄膜における傾斜プロフィール(
grading profiles)に対する他の利点を認識するだろう。
【0074】 例示される例は、酸化ケイ素層における窒素濃度を傾斜することを含む一方、
当業者は、ここでの開示のために、ALDよってゲート誘電体材料における傾斜
プロフィールを形成することに、同一の原理が適用され得ることを容易に理解す
るだろう。例えば、本発明者らは、酸化アルミニウムが、有利に、高誘電率(k
)を実証し、そしてまた、酸化ケイ素および/またはシリコン基板との良好な界
面特性を有することを見出した。従って、純粋な酸化アルミニウム(Al23
層は、アルミニウムソースガスおよびオキシダントの交互パルスを使用するAL
Dによって、先ず形成され得る。
【0075】 典型的なアルミニウムソースガスとしては、アルキルアルミニウム化合物、例
えばトリメチルアルミニウム (CH33Al、トリエチルアルミニウム (CH 3 CH23Al、トリ−n−ブチルアルミニウム (n−C493Al、ジイソ
ブチルアルミニウムハイドライド (i−C492AlH、ジエチルアルミニウ
ムエトキシド (C252AlOC25、エチルアルミニウムジクロリド (C252AlCl2、エチルアルミニウムセスキクロリド (C253Al2Cl3
、ジイソブチルアルミニウムクロリド (i−C492AlClおよびジエチル
アルミニウムヨージド (C252AlIが挙げられる。これらの化合物は、例
えばAlbemarle Corporation,USAから商業的に入手可
能である。他のアルミニウムソースガスとしては、Al−O−C結合を含むアル
ミニウムアルコキシド、例えばエトキシドAl(OC253、アルミニウムイ
ソプロポキシド Al[OCH(CH323およびアルミニウムs−ブトキシド
Al(OC493が挙げられる。これらの化合物は、例えばStrem Ch
emicals,Inc.,USAから商業的に入手可能である。アルミニウム
ソースはまた、アルミニウムベータ−ジケトナート、例えばアルミニウムアセチ
ルアセトナート Al(CH3COCHCOCH33(しばしば、Al(acac
3と短縮される)、およびトリス−(2,2,6,6−テトラメチル−3,5
−ヘプタンジオナト)アルミニウム(通常、Al(thd)3、Al(TMHD
3またはAl(DPM)3と短縮される)を含み得る。揮発性ハロゲン化アルミ
ニウムベータ−ジケトナートはまた商業的に入手可能であり、例えばアルミニウ
ムヘキサフルオロアセチルアセトナート Al(CF3COCHCOCF33(し
ばしば、Al(hfac)3と短縮される)。これらの化合物は、例えばStr
em Chemicals,Inc.,USAから商業的に入手可能である。揮
発性の、純粋に無機のハロゲン化アルミニウム(例えば、塩化アルミニウム A
lCl3またはAl2Cl6、臭化アルミニウム AlBr3、及びヨウ化アルミニ
ウム AlI3)がまた、前駆体として使用され得る。低基板温度で、無水硝酸ア
ルミニウムが、ALDのためのアルミニウムソース化学物質として使用され得る
。無水Al(NO33の合成は、G. N. Shirokova, S. Ya. Zhuk およびV. Ya.
Rosolovskiiによって、Russian Journal of Inorganic Chemistry, vol. 21, 19
76, pp. 799-802において記載され、この開示は本明細書中で参考として援用さ
れる。硝酸アルミニウム分子は、それがエーテルのような有機化合物と接触する
と、酸化アルミニウムを破壊する。
【0076】 典型的な酸素ソースガスとしては、酸素、水、過酸化水素、オゾン、アルコー
ル(例えば、メタノール、エタノール、イソプロパノール)などが挙げられる。
【0077】 典型的なプロセスは、トリメチルアルミニウムまたはTMAと、水、パージパ
ルスまたはその間の排気工程とを交互にすることを含む。各パルスは、約0.5
秒の持続時間を有し得、そして基板は、約300℃に維持され得る。このプロセ
スはAl23層を堆積させ、これに、より所望のバルク特性(例えば、より高い
誘電率)を生じるソースガスをALDプロセスへ徐々に添加することによる傾斜
(grading)が続く。例えば、TMAパルスは、純粋な二酸化ジルコニウム(Z
rO2)が形成されるまで頻度を増加させながら、数サイクル毎にジルコニウム
ソースガスパルスの代わりに用いられ得る。典型的なプロセスにおいて、ZrC
4は、ジルコニウムソースガスとして役立ち、そして酸化アルミニウムALD
プロセスと同一温度(例えば、300℃)で堆積され得る。あるいは、ジルコニ
ウムソースガスが、同時に、そして金属パルス(これは、オキシダントパルスと
連続して交互にされる)の間のアルミニウムソースガスの増加する割合として導
入され得る。この場合、当業者は、ルーチン実験によってジルコニウムソースガ
スに対するアルミニウムソースガスのどのくらい割合が、層中に所望の材料割合
を得るために使用されるべきであるかを決定し得る。同様に、当業者は、容易に
、他の誘電体材料もまたこの様式で作製され得ることを認識するだろう。
【0078】 酸化アルミニウムおよび酸化ジルコニウムの上記の例において、酸化アルミニ
ウムは、良好な電気界面特性を有する良好なバリア拡散として役立ち、一方二酸
化ジルコニウムは、誘電体のためにより高い全体的な誘電率値を提供する。ゲー
ト誘電体はまた、酸化アルミニウムが上部界面のために形成してゲート電極から
ゲート誘電体への下向きのホウ素(B)拡散に対する良好な拡散バリアを提供す
るまで、ZrO2から傾斜され得る。
【0079】 バリアと金属層との間の傾斜界面 図9〜13は、本発明の第2の実施形態を例示する。誘電体層よりもむしろ、
第2実施形態は、傾斜導電性膜(graded conductive film)、特にバリア層(例
えば、金属窒化物)とより導電性のフィラー層(filler layer)(例えば、元素
金属)との間に傾斜遷移(graded transition)を含む。
【0080】 図9および10を最初に参照して、好ましい実施形態に従って構築されたデュ
アルダマシン構造400が示される。特に、上部絶縁層402および下部絶縁層
404は、導電性回路エレメント(conductive circuit element)406の上に
形成される。絶縁層402、404は、テトラエチルオルトシリケート(TEO
S)またはボロホスホシリケートガラス(BPSG)からの酸化物のような慣用
的酸化物を含み得るか、またはそれらは、進歩したプロセス技術に従う“低k”
誘電体を含み得る。下部回路エレメント406は、典型的に、下部金属層または
ランディングパッド(landing pad)を含むが、いくつかの場合においては半導
体層を含み得る。
【0081】 構造400はまた、絶縁層402、404の間にエッチ停止層(etch stop la
yer)408とともに示され、これは、当業者によって認識されるように、デュ
アルダマシン構造の形成においてハードマスク(hard mask)として役立ち得る
。下部絶縁バリア層410はまた、下部絶縁層404と下部導電層406との間
に示される。このような層は、下部導電性エレメント406または重ね合わせる
金属層が銅(これは、容易に、典型的な層間誘電体を通って拡散しそして短絡を
引き起こし得る)を含む場合に、特に賢明である。ハードマスク408およびバ
リア410は、窒化ケイ素またはシリコンオキシニトリドを含み得る。
【0082】 デュアルダマシン構造400は、上部絶縁層402にトレンチ420を提供す
ることによって形成される。トレンチ420は、典型的に、ワークピースにわた
って所望のパターンで形成される。複数のコンタクトビア422(1つ示される
)が、トレンチ420に沿って別個の配置でトレンチ420から下方へ延び、下
にある回路ノード(circuit nodes)を曝す。トレンチ420およびコンタクト
ビア422は共に、経路に(in paths)配置されて、集積回路設計に従って下に
ある回路エレメントおよび重ね合わせる回路エレメントを配線(interconnect)
する。トレンチおよびコンタクトは、導電性材料で充填されて、これらの配線を
形成する。トレンチ420を充填する導電性材料は、金属ランナー(metal runn
ers)と言われ、一方コンタクトビア422を充填する部分は、コンタクト(con
tacts)と言われる。デュアルダマシンスキームにおいて、示されるように、ト
レンチ420およびビア422の両方が、同時に充填されるが、他のスキームに
おいて、コンタクトおよびランナーは別々に形成され得る。
【0083】 典型的に、デュアルダマシントレンチおよびビアは、先ず、ライニング層(li
ning layers)424でライニングされ、次いで、高導電性材料426で充填さ
れる。例示される実施形態において、ライナー424がトレンチ420およびビ
ア422の全表面に形成される場合、ライナー424は導電性である。他のアレ
ンジメントにおいて、ライナーが選択的に絶縁表面上のみに形成される場合、ラ
イナーは導電性である必要がない。ライニング層は、付着層(adhesion layers
)、バリア層および/またはシード層(seed layers)を含み得る。好ましくは
、ライニング層424は、少なくとも2つの付着、バリアおよびシード層を含み
、交互層堆積(ALD)によって製造された傾斜領域を含む層中の少なくとも1
つ界面領域を伴う。
【0084】 図10を参照して、例示される実施形態のライニング層424は、デュアルダ
マシン構造の絶縁表面402、404、408、410(図9を参照のこと)と
の良好な付着を特徴とする、任意の付着層430を含む。付着層は、Raaij
makersらの仮特許出願第60/159,799(1999年10月15日出願、そし
てCONFORMAL LINING LAYERS FOR DAMASCENE METALLIZATIONという名称)、およ
び対応のRaaijmakersらのユーティリティ出願第09/644,416(200
0年8月23日出願、同一名称)に開示されるように、ALD法によって形成さ
れ得る。'799出願および対応の'416米国ユーティリティ出願が、本明細書中で参
考として援用される。
【0085】 例示されるライニング層424は、更に、バリア領域432、遷移領域(tran
sition region)434およびシード層領域436を含む。好ましくは、バリア
領域432は、導電性窒化物、特に金属窒化物(例えば、WN、TiN、TaN
など)を含む。遷移領域434はまた、導電性窒化物を含むが、その厚みにわた
って種々のレベルの窒素および/または異なる金属含有量を伴う。シード領域4
36は、好ましくは、その上にフィラー金属426を電気めっきするに好適な導
電性を有する、高導電性“元素”金属を含む。
【0086】 例示される実施形態において、付着層430は、タングステン(W)を含み;
バリア領域432は窒化タングステン(WNx)を含み;遷移領域434は、窒
化タングステン銅[(WNxyCuz](ここで、yおよびzは、遷移領域43
4の厚みによって変化する)の傾斜層(graded layer)を含み;そしてシード領
域436は銅(Cu)を含む。最も好ましくは、バリア432、遷移434およ
びシード436領域は、反応チャンバからワークピースを除去することなしに、
連続プロセスで形成され、そしてプロセス観点から、その厚みにわたって種々の
組成を有する単一堆積層438内の領域が考慮され得る。
【0087】 領域432、434、436は、特定の適用に好適な任意の所望の厚みを有し
得る。好ましいデュアルダマシンコンテクストについて、ライナー(liners)は
可能な限り薄く、一方それら各々の目的を達成する。特に、バリア領域432は
、拡散バリアとして役立つが、好ましくは可能な限り少ないトレンチおよびビア
を占める。従って、バリア領域432は、好ましくは、約20Å〜200Å、よ
り好ましくは約40Å〜80Åであり、WNについての典型的な厚みは約50Å
である。遷移領域434は、金属窒化物から純粋な金属へ遷移し、一方、望まし
くは、回路作動間のエレクトロマイグレーションおよびシャープな金属/金属窒
化物境界の他の欠陥を回避し、そして全体的な厚みを最小化する。従って、遷移
領域434は、好ましくは、約7Å〜200Å、より好ましくは約10Å〜80
Åである。1実施形態において、遷移領域は、約10Åの厚み、およびバリア領
域432との境界で約0%の銅含量、およびシード領域436との(または、シ
ード層が存在しない場合、銅フィラーとの)界面で約50%の銅含量を有する。
シード領域436は、ワークピースにわたる均一な電気めっきのために十分な導
電性を提供するべきである。厚過ぎるシード領域436は機能的不利ではないが
、一方スループットが、ALDによって最小量の銅を堆積することによって増加
され得、一方電気めっきによって充填を完全にする。従って、シード領域436
は、好ましくは約100Åよりも大きく、Cuの典型的な厚みは約150Åであ
る。各々の層は、デュアルダマシントレンチおよびビアの非常に良好なステップ
カバレッジ(coverage)を有し、好ましくは約90%(フィールドカバレッジに
対するサイドウォールカバレッジの比)よりも大きく、より好ましくは約93%
よりも大きく、そして最も好ましくは約97%よりも大きい。
【0088】 図11を参照して、理論的なオーガープロフィール(theoretical Auger prof
ile)が、図10の典型的な遷移領域434について示される。グラフの右側は
、遷移領域434の下部表面を示し、なぜならそれは下にあるWNバリア領域4
32に融合する。グラフの左側は、遷移領域434の上部表面を示し、なぜなら
それは重ね合わせたCuシード領域436に融合する。示されるように、遷移領
域は、徐々に減少させるWおよびN含有率を有し、同時にCu濃度を増大させな
がら右から左へ動く。カーブの形状は任意の所望の形状を取り得、示される含有
率の傾斜は単なる例示であると理解される。
【0089】 有利には、該プロセスは中間還元フェーズを使用し金属と窒素ソースフェーズ
の間のハライドテイルを除く。この中間還元フェーズは銅のような後に形成され
るべき金属に有害であり得るハロゲン化水素の生成を回避する。しかしながら、
他のアレンジメントにおいて中間還元フェーズは省略され得ることが理解される
であろう。
【0090】
【表1】
【0091】 上の表を参照して、バリア、遷移及びシード領域を含む所望の傾斜層を形成す
るための典型的プロセスレシピを以下に述べる。5つのフェーズ(各フェーズは
、例示されている実施態様において、反応物パルスに続くパージを含むものとし
て規定される)を述べる: (1)第1金属フェーズ(例えば、WF6パルス+パージ); (2)第1還元フェーズ(例えば、TEBパルス+パージパルス); (3)窒素フェーズ(例えば、NH3パルス+パージパルス); (4)第2金属フェーズ(例えば、CuClパルス+パージパルス);及び (5)第2還元フェーズ(例えば、TEBパルス+パージパルス)。
【0092】 これらのフェーズの割合の変更は、堆積プロセスの段階に応じて連続堆積プロ
セスの間に利用される。例証された実施態様において、バリア段階の間は、例え
ば、WNの約1以下の単層を残す1つのサイクルを示すのと一緒に、フェーズ(1)
-(3)のみが使用される。遷移段階において、フェーズ(1)-(3)及び(4)-(5)の
変化された割合が使用される。シード段階中に、Cuの約1以下の単層を残す1つの
サイクルを示すのと一緒に、フェーズ(4)-(5)のみが使用される。
【0093】 これらの段階をより詳細に以下に記載されるであろう。
【0094】 バリア堆積段階 最初のバリア堆積段階の間は、バリア材料のみ、好ましくは金属窒化物が堆積
される。例証された実施態様において、表中のフェーズ(1)−(3)のみが交互
される。約120-180サイクルにおいて、約50ÅのWNが作られる。各サイクルは同
一でありうる。
【0095】 第1サイクルの第1フェーズ(1)において、例証された実施態様において予め
形成された金属窒化物を含む下にある基板上にWF6が化学吸着される。金属窒化
物は、最も好ましくは、類似のALD法によって形成される。第1の金属ソースガス
は、好ましくは十分な割合のキャリアフローを含み、他のプロセスパラメータが
与えられたとすると、下にあるバリア層を飽和させるのに十分な時間提供される
。タングステン複合体の約1以下の単層はバリア層上に残り、この単層はフルオ
ライドテイルで自己終結する。上述したように、典型的に1未満の単層であるが
、この複合体は本明細書において便宜上“単層”という。
【0096】 WF6の流れが停止し、キャリアガスの連続した流れによってパージされた後、
還元ガス(TEB)のパルスを含む第2フェーズ(2)は、ワークピースに供給され
る。有利には、還元ガスはタングステン複合体からフルオライドテイルを取り除
き、銅をエッチングし得るハロゲン化水素の生成を避ける。他のアレンジメント
においては、この還元フェーズが必要でないかもしれないことは理解されるだろ
う。
【0097】 TEBの流れが停止し、パージされた後、窒素ソースガス(NH3)のパルスを含む
第3フェーズ(3)がワークピースに提供される。この第3フェーズにおいて、好
ましくは、アンモニアが十分な割合のキャリアフローを含み、、他のプロセスパ
ラメータが与えられたとすると、金属含有単層の表面が飽和するのに十分な時間
が提供される。NH3は、還元フェーズによって曝されて残ったタングステンと容
易に反応し、タングステン窒化物(WN)の単層を形成する。反応は自己制限的で
ある。アンモニア及びキャリアガスの両者とも、得られたタングステン窒化物単
層とは更には反応せず、単層は窒素及びNHX架橋末端とともに残される。更に、
好ましい温度及び圧力パラメータは、金属単層を通してアンモニアの拡散を抑制
する。
【0098】 窒素フェーズ(3)に続いて、即ち、窒素ソースガスがチャンバーから除去さ
れた後、好ましくは連続したキャリアガスフローでパージすることにより、新た
なサイクルが、第1フェーズ(1)、即ち、第1金属ソースガス(WF6)のパルスで
始まる。
【0099】 望ましくは、この3フェーズのサイクル(1)−(3)は、好ましくは約20Å〜2
00Å、より好ましくは約40Å〜80Åの間、約50Åの典型的な厚さの十分なバリア
材料が形成されるまで繰り返される。有利には、この薄層は優れたステップカバ
レージを備える。
【0100】 例証された実施態様において、キャリアガスは、各サイクルの全フェーズの間
一定の速さで流れ続ける。しかし、反応物が、交互ガスパルスの間、チャンバー
の排気によって除かれ得ることは理解されるであろう。1つのアレンジメントに
おいて、好ましいリアクターは、パルスされた堆積の間一定の圧力を維持するた
めにハードウェア及びソフトウェアを組み込む。ポサ(Posa)の1988年5月31日
に発行された米国特許第4,747,367及びコンガー(Conger)らの1988年8月2日に
発行された米国特許第4,761,269の開示は、参考として本明細書に援用される。
【0101】 遷移堆積段階 バリア領域の形成に続いて、連続プロセスにおいて、サイクルは、遷移領域の
形成の間、新たなフェーズを組み込むために変えられる。特に、例証された第4
及び第5フェーズ(4)、(5)は、サイクルに導入され、それにより銅を遷移領
域に導入する。少なくとも2、好ましくは10を越えるサイクルは、フェーズ(4)
及び(5)を含む。
【0102】 導入は徐々にである。例えば、2つのサイクルは、上述したようにフェーズ(1
)−(3)のみを含むことができ、WNを生成し、全5フェーズ(1)−(5)を含む
第3サイクルを続け、WN及びCuの混合物を生成し、再度フェーズ(1)−(3)の
みを含む2つのサイクルを続ける。徐々にCu導入の頻度が増加する。あるポイン
トにおいて、連続的ないくつかのサイクルは全5フェーズ(1)−(5)を含むか
もしれない。
【0103】 2つのそのような5フェーズサイクルは図12に示され、上の表は、タングステン
窒化物(WN)及び銅(Cu)の傾斜層の堆積のためのALDプロセスの1サイクルのパ
ラメータを示す。好ましくは、該層はトレンチ及びデュアルダマシン構造のコン
タクトビアにおけるタングステン窒化物バリア層及び銅シード層との間における
界面として役立つ。典型的プロセスレシピにおいて、第1の金属ソースガスはタ
ングステンヘキサフルオライド(WF6)を含み;キャリアガスは窒素(N2)を含
み;第1還元剤はトリエチルボロン(TEB)を含み、窒素ソースガスはアンモニア
(NH3)を含み;第2金属ソースガスは塩化銅(CuCl)を含み;第2還元剤はトリ
エチルボロン(TEB)を含む。
【0104】 第1の5フェーズサイクル450は、図12に示される。最初の3サイクル(1)−(3
)は、バリア領域の形成に関して上述したように行われる。窒素フェーズ(3)
に続いて、即ち、好ましくは連続するキャリアガスフローでパージすることによ
って窒素ソースガスがチャンバから除去された後、、第4フェーズ(4)は第2金
属ソースガスを流すことを含む。塩化銅は、好ましくは十分な量のキャリアフロ
ーを含み、前のフェーズによって残った表面を飽和するのに十分な時間与えられ
る。自己終結した金属複合体、特に塩素終結銅の約1以下の単層は、前の2つのフ
ェーズによって形成された金属窒化物上に残る。第2の金属ソースガスは次いで
チャンバから除去され、好ましくは連続したキャリアガスフローによりパージさ
れる。
【0105】 第5フェーズ(5)において、塩素終結表面は還元剤を流すことによって還元さ
れる。好ましくは、TEBは前のフェーズにより残された塩素テイルを除去するた
めに流される。
【0106】 次のサイクル455において、第1のフェーズ(1)は再度第1金属ソースガスを導
入し、該ガスは銅の単層表面と容易に反応し、フルオライド終結タングステン層
を残す。第2サイクルの第2から第5フェーズは、サイクル450に関して述べられ
たようなものであり得る。これらのサイクルは、エレクトロマイグレーションを
避けるために、銅及び金属窒化物の十分な混合を確実にするために所望のように
しばしば繰り返され得る。高い導電性の層は、任意の適した方法で界面材料上に
堆積され得る。
【0107】 より好ましくは、WN形成を省いたいくつかのサイクルが導入され、フェーズ(
4)及び(5)のみが含まれる。図12において、これは省略されたサイクル460に
よって表され、該サイクルはWNフェーズを省き、その代わりにフェーズ(4)−
(5)からなり、元素銅の1以下の単層を生成する。次いで該プロセスは、もう1
つの5フェーズサイクル470を用いて続き、WNをCuと混合する。
【0108】 WNフェーズ(1)−(3)の頻度は、進行するサイクルの間徐々に減少されるこ
とができ、それにより、成長している層のCuの割合が増加する。最終的には、Cu
の堆積のみが生じる。遷移領域におけるWNのCuに対する相対的な割合及びそのプ
ロフィールは、Cuフェーズ(4)−(5)と比較したWNフェーズ(1)−(3)の相
対的頻度を制御することによって精巧に制御され得ることは理解されるであろう
。従って、任意の所望の含有量プロフィールは、本明細書中に開示された方法に
よって達成され得る。
【0109】 有利には、この遷移領域は、材料の非常に小さな厚さを通して組成のバリエー
ションを有し得る。好ましくは、金属窒化物バリア領域及び金属シード領域の間
の例証された実施態様の遷移領域は、約7Å及び200Åの間であり、より好ましく
は約10Å及び80Åの間であり、特に約50Å未満である。
【0110】 金属/金属窒化物遷移領域の典型的厚さは約10Åである。有利には、この薄層
は優れたステップカバレージを備える。
【0111】 シード堆積段階 遷移領域の形成の後、連続プロセスにおいて、シード層は遷移領域上にインサ
イチュ(in situ)で堆積され得る。例証された実施態様において、銅フィルがデ
ュアルダマシントレンチ及びコンタクトビア内において望ましい場合、シード層
は電気めっき前に望まれる。従って、例証されたALDプロセスの第4及び第5フェ
ーズは、界面が形成された後に繰り返される。このように、銅が、混合された又
はコンパウンド層の界面上(即ち、遷移領域上)にALDによって堆積され得、均
等な厚さの電気めっきシード層が提供される。
【0112】 望ましくは、次いで電気めっきシード層として役立つのに十分な厚さの銅層が
形成されるまで、2フェーズサイクルが第1の金属及び窒素フェーズなしに続けら
れる。このシード層は、好ましくは約50Åよりも大きく、より好ましくは約100
Åよりも大きく、典型的な実施態様においては約150Åである。
【0113】 ウェハは、次いでチャンバから除かれ得、トレンチ及びコンタクトビアは高い
導電性金属で充填される。好ましくは、銅は銅シード層上に電気めっきされる。
【0114】 このようにして、金属窒化物バリア、傾斜された界面又は遷移領域及び銅シー
ド領域は全て、同じ温度及び圧力条件下で、連続プロセスにおいてインサイチュ
(in situ)で堆積され得る。有利には、混合されそしてより好ましくは傾斜され
た界面又は遷移領域は、集積回路の電気的操作の間にシャープな金属/金属窒化
物界面に起こり得るエレクトロマイグレーションの問題を回避する。
【0115】 いくつかのアレンジメントにおいて、図7に関して開示されるように、反応物
の相対的レベルは、単一の反応フェーズの成分を変更することによって制御し得
ることを当業者は理解するであろう。しかしながら、ALDプロセスにおいて同時
に曝された反応物間の熱力学的競合の複雑性のために、図12に示すように、連続
プロセスの各サイクルにおけるフェーズの数及び/又はタイプを変更することに
よって、成長している層に成分のバリエーションを導入することが更に好ましい
【0116】 置換反応を用いたグレーディング(grading) 第1の上述した実施態様において、一次反応物(primary reactant)の1つとして
ガスフェーズに導入されるような不純物が記載される(例えば、図7のプロセス
におけるオキシダントと同じ時間提供される窒素の割合を増大すること)。第2
の実施態様において、ALDプロセスの選択されたサイクルにおいて、不純物が分
離したパルスによって導入される。不純物パルスは、最初のプロセスのパルスの
代わりになることができ、又は一次反応物に加えて提供されることができ、そし
て、これらのパルスの導入は、プロセスを通して頻度の上昇が提供され得る(例
えば、銅ソースガスパルスは、図12のプロセスにおいてタングステン及び窒素ソ
ースガスパルスに添加されるか、又はそれに代わることができる。)。
【0117】 更に、不純物が、成長しているフィルムにおける既に吸着された化学種の熱力
学的に有利な置換によって導入され得ることを、本発明者は見出した。例えば、
ALDによるTiO2層の成長プロセスにおいて、塩化アルミニウム(AlCl3)ガスパル
スの導入は、Ti-O結合をAl-O結合に置き換えることができ、それらは熱力学的に
有利であり、そして該プロセスにおいて揮発性TiCl4ガスを放出する。同様に、A
lCl3のパルスは表面のSiO2をAl2O3に変換し、SiCl4ガスを放出する。他の例にお
いて、成長層の表面のZrO2はAlCl3に曝され、Al2O3を形成することができる。バ
ルクTiO2、SiO2又はZrO2の誘電体とその上に形成されるゲート電極との間の上部
界面の形成に、これらの例は特に有利である。
【0118】 置換反応は熱力学的に有利であるので、延長された曝露は、より有利でない酸
化物の1又は2分子層をAl2O3に置換し得る。そのような全層の置換がグレーディ
ングプロセスにおいて望ましいなら、そのような曝露はまれに堆積初期のALDプ
ロセスに導入されることができ、そのプロセスの終わりに向って頻度を大きくし
、大部分または純粋なAl2O3上部表面を作る。逆に、そのような曝露はALDプロセ
スの初期にしばしば導入され得、バルク誘電体に傾斜を付けられた大部分または
純粋なAl2O3の下部表面を作るプロセスの後期において頻度が減少する。
【0119】 あるいは、TiO2、SiO2又はZrO2のAl2O3への完全ではない置換は、各AlCl3パル
スにおいてAlCl3パルスのための短いタイムスパンを選択することによって達成
し得る。置換反応のための曝露時間は、プロセスの間の各サイクル又は数サイク
ル毎に徐々に増加され得、成長している誘電体層の上部表面でのAl2O3の大きな
割合を達成する。グレーディングが曝露時間を変化するよって達成される場合、
オーバーヘッドシャワーヘッドインレット(overhead showerhead inlet)のよ
うなものによって、反応物ガスを基板に垂直に供給することが有利である。そう
することによって、基板のインレット側から排気口側への濃度勾配を避けること
ができる。
【0120】 置換反応のための正のギブス(Gibb's)の自由エネルギー値を用いてさえも、
置換ソースガスへの十分に長い曝露は、成長している誘電体の表面分子層の最終
的な置換を生じ得る。Jarkko Ihanus、Mikko Ritala、Markku Leskela及びEero
Rauhalaの“ALE growth of ZnS1-XSeX thin films by substituting surface su
lfur with elemental selenium”、APPLIED SURFACE SCIENCE, Vol.112, pp.154
-158(1997)を参照。その場合、スルフィド又は-SH表面末端の純粋なセレニウム
への曝露は、硫黄原子のセレニウムへの置換という結果になることが示された。
【0121】 同様の置換反応もまた、上述の傾斜バリア層ごとに異なる金属を有する金属窒
化物のような導電性材料をグレーディングするために行われ得ることは理解され
るであろう。
【0122】 前述の発明は、特定の好ましい実施態様に関して述べられたが、本明細書の開
示を考慮すると他の実施態様も当業者には明らかであろう。特に、各サイクルの
ためのフェーズの数は変更され得る。例えば、中間還元フェーズは、いくつかの
アレンジメントにおいては必要でないかもしれない。更に、1つの実施態様がデ
ュアルダマシン構造をライニングする導電性薄膜に関連して開示され、他の実施
態様が超薄ゲート誘電体膜に関連して開示されているが、多くの異なる文脈にお
いて本明細書に開示された原則の用途を当業者は容易に見出すであろう。
【0123】 従って、本発明は好ましい実施態様の詳述によって限定しようとするものでは
なく、単に付随の(dependent)クレームを参照することにより定義しようとす
るだけである。
【図面の簡単な説明】
本発明のこれらおよび更なる局面は、上記の説明および添付の図面から当業者
に容易に明らかとなるであろう。
【図1】 図1は、本発明の好ましい実施形態と合わせての使用のための、いくつかの周
囲リアクターコンポーネントを含む、単一基板反応チャンバの部分概略断面図で
ある。
【図2】 図2は、ゲート電極と半導体層との間に挟まれたゲート誘電体層を示す、部分
的に製造された集積回路の概略断面図である。
【図3】 図3〜6は、本発明の好ましい実施形態に従う、ゲート誘電体の単層ごとの堆
積(monolayer-by-monolayer)を概略的に示す。例示される実施形態において、
“単層”が、交互サイクルプロセスにおいて、数サイクルごとに形成される。
【図4】 図3〜6は、本発明の好ましい実施形態に従う、ゲート誘電体の単層ごとの堆
積(monolayer-by-monolayer)を概略的に示す。例示される実施形態において、
“単層”が、交互サイクルプロセスにおいて、数サイクルごとに形成される。
【図5】 図3〜6は、本発明の好ましい実施形態に従う、ゲート誘電体の単層ごとの堆
積(monolayer-by-monolayer)を概略的に示す。例示される実施形態において、
“単層”が、交互サイクルプロセスにおいて、数サイクルごとに形成される。
【図6】 図3〜6は、本発明の好ましい実施形態に従う、ゲート誘電体の単層ごとの堆
積(monolayer-by-monolayer)を概略的に示す。例示される実施形態において、
“単層”が、交互サイクルプロセスにおいて、数サイクルごとに形成される。
【図7】 図7は、超薄傾斜誘電体層を堆積する好ましい方法に従う例示的ガスフローダ
イヤグラムである。
【図8】 図8は、好ましい実施形態に従って構築された傾斜誘電体層の理論的なリバー
スオーガープロフィール(theoretical reverse Auger profile)である。
【図9】 図9は、それぞれバリアおよび金属層を含む、デュアルダマシントレンチおよ
びビアにおいて形成されたワイヤおよびコンタクトの概略断面図である。
【図10】 図10は、バリアと金属層との間に形成された傾斜遷移層を示す、図9におけ
る断面10−10の拡大図である。
【図11】 図11は、好ましい実施形態に従って構築された、傾斜バリア−金属遷移領域
(graded barrier-to metal transition region)の理論的なオーガープロフィ
ールである。
【図12】 図12は、傾斜導電層を堆積するための1実施形態に従う例示的ガスフローダ
イヤグラムである。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成14年3月25日(2002.3.25)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
請求項59不純物の傾斜された濃度が下部表面の約0から上部表
面の最大値まで上昇する、請求項58に記載の方法。
請求項60層が誘電体(diielectric)化合物を含む請求項58に記 載の方法。
請求項61不純物が窒素を含む請求項60に記載の方法。
請求項62層が金属合金を含む請求項58に記載の方法。
請求項63不純物が銅を含む請求項62に記載の方法。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CR,CU,CZ,DE,DK ,DM,DZ,EE,ES,FI,GB,GD,GE, GH,GM,HR,HU,ID,IL,IN,IS,J P,KE,KG,KP,KR,KZ,LC,LK,LR ,LS,LT,LU,LV,MA,MD,MG,MK, MN,MW,MX,MZ,NO,NZ,PL,PT,R O,RU,SD,SE,SG,SI,SK,SL,TJ ,TM,TR,TT,TZ,UA,UG,UZ,VN, YU,ZA,ZW (72)発明者 ラーエイマイケルス イーフォ オランダ国 エンエル−3723 ハーデー ビルトフェン スストディイェセヴェク 387 (72)発明者 ハウカ スヴィ ピー. フィンランド国 エフアイエヌ−00560 ヘルシンキ キミンティエ 42A Fターム(参考) 4K030 AA03 AA04 AA06 AA13 BA01 BA20 BA29 BA38 BA42 BA44 BB12 CA04 FA01 FA10 JA01 JA06 LA15 5F058 BA11 BA20 BC03 BC04 BC09 BC10 BC11 BC20 BF02 BF21 BF36 【要約の続き】 および種々の他の文脈において、シャープな材料界面で 生じ得る非抵抗電気接触、エレクトロマイグレーション およびエッチング速度制御のような問題を回避するため に役立つ。

Claims (58)

    【特許請求の範囲】
  1. 【請求項1】 集積回路において種々の組成を有する薄膜を形成する方法で
    あって、以下: 反応チャンバに基板を配置すること; 第1および第2気相反応物を、交互および一時的に分離されたパルスで、該基
    板へ、複数の堆積サイクルで導入すること; 種々の量の第3気相反応物を、該複数の堆積サイクルの間、該基板へ導入する
    こと、 を含む、方法。
  2. 【請求項2】 前記第1気相反応物がケイ素ソースガスを含む、請求項1に
    記載の方法。
  3. 【請求項3】 前記ケイ素ソースガスが、式Sim2m+2のシラン類、式S
    yy-12y+2のシロキサン類、および式Sy(NH)y-12y+2のシラザン類か
    らなる群から選択され、ここでmおよびyは整数でありそしてLはリガンドであ
    る、請求項2に記載の方法。
  4. 【請求項4】 前記ケイ素ソースガスにおけるリガンドが、H、F、Cl、
    Br、I、アルキル、アリール、アルコキシ、ビニル、シアノ、イソシアネート
    、アミノ、シリル、アルキルシリル、アルコキシシリル、シリレンおよびアルキ
    ルシロキサン基からなる群から選択される、請求項3に記載の方法。
  5. 【請求項5】 前記第2気相反応物がオキシダントソースガスを含み、そし
    て前記第3気相反応物が窒素ソースガスを含む、請求項3に記載の方法。
  6. 【請求項6】 前記オキシダントソースガスが水蒸気を含み、そして前記窒
    素ソースガスがアンモニアを含む、請求項5に記載の方法。
  7. 【請求項7】 前記第1気相反応物が第1金属ソースガスを含む、請求項1
    に記載の方法。
  8. 【請求項8】 前記第2気相反応物がオキシダントであり、そして第3気相
    反応物が、前記第1金属ソースガスとは異なる第2金属ソースガスを含む、請求
    項7に記載の方法。
  9. 【請求項9】 前記第1および第2気相反応物が、ゲート誘電体のための界
    面層を作製し、そして前記第3気相反応物がより高い誘電率材料を生成する、請
    求項8に記載の方法。
  10. 【請求項10】 前記界面層が酸化アルミニウムを含む、請求項9に記載の
    方法。
  11. 【請求項11】 より高い誘電率材料が酸化ジルコニウムを含む、請求項1
    0に記載の方法。
  12. 【請求項12】 前記第1気相反応物が第1金属ソースガスであり、前記第
    2気相反応物が窒素ソースガスであり、そして前記第3気相反応物が第2金属ソ
    ースガスである、請求項1に記載の方法。
  13. 【請求項13】 前記薄膜が、金属窒化物バリア層とより導電性の材料との
    間に、傾斜遷移層(graded transition layer)を含む、請求項12に記載の方
    法。
  14. 【請求項14】 前記第3気相反応物が銅ソースガスである、請求項12に
    記載の方法。
  15. 【請求項15】 前記薄膜が、ダマシントレンチにおいて、金属窒化物バリ
    ア層と銅フィルとの間に、傾斜遷移層を形成する、請求項14に記載の方法。
  16. 【請求項16】 導入が、堆積サイクルへ徐々により多くの銅ソースガスを
    添加することを含む、請求項15に記載の方法。
  17. 【請求項17】 種々の量の前記第3気相反応物を導入することが、前記堆
    積が進行するにれて、より高い頻度で、堆積サイクルへ、銅ソースガスパルスを
    提供することを含む、請求項16に記載の方法。
  18. 【請求項18】 種々の量の前記第3気相反応物を導入することが、前記遷
    移層の下部表面から前記遷移層の上部表面へ銅濃度を変化させることを含む、請
    求項14に記載の方法。
  19. 【請求項19】 前記銅濃度が、前記下部表面で最小であり、そして前記上
    部表面で最大である、請求項18に記載の方法。
  20. 【請求項20】 種々の量の前記第3気相反応物を導入することが、前記下
    部表面から前記上部表面へ前記銅濃度を線形的に傾斜させることを含む、請求項
    19に記載の方法。
  21. 【請求項21】 種々の量の前記第3気相反応物を導入することが、前記下
    部表面に0%銅、そして前記上部表面に約50%銅を提供するすることを含む、
    請求項19に記載の方法。
  22. 【請求項22】 種々の量の前記第3気相反応物を導入することが、前記下
    部表面に純粋な金属窒化物、そして前記上部表面に純粋な銅層を形成することを
    含む、請求項19に記載の方法。
  23. 【請求項23】 50Å未満の厚みを有する前記傾斜遷移層を形成する、請
    求項19に記載の方法。
  24. 【請求項24】 約10Åの厚みを有する前記傾斜遷移層を形成する、請求
    項19に記載の方法。
  25. 【請求項25】 種々の量の前記第3気相反応物を導入することが、堆積が
    進行するにつれて、種々の頻度で、前記堆積サイクルへ、該第3気相反応物のパ
    ルスを提供することを含む、請求項1に記載の方法。
  26. 【請求項26】 種々の量の前記第3気相反応物を導入することが、前記堆
    積が進行するにつれて、より高い頻度で、前記堆積サイクルへ、該第3気相反応
    物のパルスを提供することを含む、請求項25に記載の方法。
  27. 【請求項27】 種々の量の前記第3気相反応物を導入することが、前記堆
    積が進行するにつれて、より低い頻度で、前記堆積サイクルへ、該第3気相反応
    物のパルスを提供することを含む、請求項25に記載の方法。
  28. 【請求項28】 種々の量の前記第3気相反応物を導入することが、前記堆
    積が進行するにつれて、該堆積サイクルの各々において、該第3気相反応物のレ
    ベルを変化させることを含む、請求項1に記載の方法。
  29. 【請求項29】 前記第3気相反応物のレベルを変化させることが、各サイ
    クルにおいて、前記第2気相反応物と同時に提供される、請求項28に記載の方
    法。
  30. 【請求項30】 前記第3気相反応物のレベルを変化させることが、異なる
    持続時間の別々のパルスで提供される、請求項28に記載の方法。
  31. 【請求項31】 前記第3気相反応物のレベルを変化させることが、前のパ
    ルスからの薄膜の表面で原子を置換する、請求項28に記載の方法。
  32. 【請求項32】 約50Å未満の厚みを有する傾斜薄膜を形成する、請求項
    1に記載の方法。
  33. 【請求項33】 種々の量の前記第3気相反応物が、前記堆積の間、前記層
    へ組み込まれる不純物の量を線形的に変化させることを含む、請求項32に記載
    の方法。
  34. 【請求項34】 上部表面と下部表面との間に規定される100Å未満の厚
    みを有する集積回路における薄膜であって、該上部表面と該下部表面との間に制
    御されたそして種々の組成を有する、薄膜。
  35. 【請求項35】 厚みが80Åおよび約7Å未満である、請求項34に記載
    の薄膜。
  36. 【請求項36】 前記厚みが50Å未満である、請求項35に記載の薄膜。
  37. 【請求項37】 前記種々の組成物が、傾斜濃度の不純物を含む、請求項3
    6に記載の薄膜。
  38. 【請求項38】 前記不純物の濃度が、前記下部表面で約0.1%未満であ
    る、請求項37に記載の薄膜。
  39. 【請求項39】 前記不純物の濃度が、前記下部表面で約0%である、請求
    項38に記載の薄膜。
  40. 【請求項40】 前記不純物の濃度が、前記上部表面で約5%よりも大きい
    、請求項37に記載の薄膜。
  41. 【請求項41】 前記不純物の濃度が、前記上部表面で約10%よりも大き
    い、請求項40に記載の薄膜。
  42. 【請求項42】 トランジスタゲート誘電体を形成する、請求項34に記載
    の薄膜。
  43. 【請求項43】 約2.0nm未満の等価酸化物厚み(eeequivalent oxide
    thickness)を実証する、請求項42に記載の薄膜。
  44. 【請求項44】 約1.7nm未満の等価酸化物厚みを実証する、請求項4
    3に記載の薄膜。
  45. 【請求項45】 前記下部表面に酸化ケイ素の少なくとも1つの分子単層を
    含む、請求項42に記載の薄膜。
  46. 【請求項46】 前記上部表面に窒化ケイ素の少なくとも1つの分子単層を
    含む、請求項45に記載の薄膜。
  47. 【請求項47】 前記上部および下部表面の1つに酸化アルミニウム、なら
    びにそこへ隣接するより高い誘電率材料を含む、請求項42に記載の薄膜。
  48. 【請求項48】 前記酸化アルミニウムが、半導体基板に直に隣接する前記
    下部表面に形成され、該酸化アルミニウムが前記より高い誘電率材料で傾斜され
    ている、請求項47に記載の薄膜。
  49. 【請求項49】 より高い誘電率材料が酸化ジルコニウムを含む、請求項4
    8に記載の薄膜。
  50. 【請求項50】 前記種々の組成物が、前記下部表面から前記上部表面へ変
    化する窒素濃度を含む、請求項34に記載の薄膜。
  51. 【請求項51】 前記窒素濃度が、前記下部表面での最小濃度から、そして
    前記上部表面での最大濃度に徐々に変化される、請求項50に記載の薄膜。
  52. 【請求項52】 前記下部表面から前記上部表面へ線形的に傾斜される窒素
    濃度を含む、請求項51に記載の薄膜。
  53. 【請求項53】 前記窒素濃度が、前記下部表面で約0.1%未満、そして
    前記上部表面で約5%より大きい、請求項52に記載の薄膜。
  54. 【請求項54】 前記下部表面に実質的に純粋な二酸化ケイ素および前記上
    部表面に実質的に純粋な窒化ケイ素を含む、請求項53に記載の薄膜。
  55. 【請求項55】 前記種々の組成物が、前記下部表面から前記上部表面へ増
    加する銅濃度を含む、請求項34に記載の薄膜。
  56. 【請求項56】 前記種々の組成物が、前記下部表面から前記上部表面へ減
    少する金属窒化物濃度を含む、請求項55に記載の薄膜。
  57. 【請求項57】 約7Å〜80Åの厚みを有する、請求項56に記載の薄膜
  58. 【請求項58】 集積回路の作製方法であって、基板を気相反応物の交互表
    面反応へ曝すことによって、下部表面から上部表面へ不純物の傾斜された濃度を
    有する層を堆積させることを含む、方法。
JP2001565432A 2000-03-07 2001-03-02 傾斜薄膜の形成方法 Expired - Lifetime JP5016767B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US18742300P 2000-03-07 2000-03-07
US60/187,423 2000-03-07
PCT/US2001/006746 WO2001066832A2 (en) 2000-03-07 2001-03-02 Graded thin films

Publications (2)

Publication Number Publication Date
JP2003526218A true JP2003526218A (ja) 2003-09-02
JP5016767B2 JP5016767B2 (ja) 2012-09-05

Family

ID=22688920

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001565432A Expired - Lifetime JP5016767B2 (ja) 2000-03-07 2001-03-02 傾斜薄膜の形成方法

Country Status (8)

Country Link
US (3) US6534395B2 (ja)
EP (1) EP1266054B1 (ja)
JP (1) JP5016767B2 (ja)
KR (1) KR100803770B1 (ja)
AU (1) AU2001245388A1 (ja)
DE (1) DE60125338T2 (ja)
TW (1) TW490739B (ja)
WO (1) WO2001066832A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005124847A1 (ja) * 2004-06-21 2005-12-29 Matsushita Electric Industrial Co., Ltd. 半導体装置及びその製造方法
JP2009246318A (ja) * 2008-04-01 2009-10-22 Hitachi Kokusai Electric Inc 成膜方法
JP2015119045A (ja) * 2013-12-18 2015-06-25 大陽日酸株式会社 窒化ケイ素含有薄膜の形成方法
WO2017150212A1 (ja) * 2016-03-01 2017-09-08 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
JP2017206734A (ja) * 2016-05-17 2017-11-24 株式会社フィルテック 膜形成方法
JP2021006916A (ja) * 2008-09-19 2021-01-21 株式会社半導体エネルギー研究所 半導体装置

Families Citing this family (899)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP2001177101A (ja) * 1999-12-20 2001-06-29 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
KR100321178B1 (ko) * 1999-12-30 2002-03-18 박종섭 TaON박막을 갖는 커패시터 제조방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
JP4556282B2 (ja) * 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6617206B1 (en) * 2000-06-07 2003-09-09 Micron Technology, Inc. Method of forming a capacitor structure
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
TW531803B (en) 2000-08-31 2003-05-11 Agere Syst Guardian Corp Electronic circuit structure with improved dielectric properties
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US7482283B2 (en) * 2000-12-12 2009-01-27 Tokyo Electron Limited Thin film forming method and thin film forming device
US6794705B2 (en) * 2000-12-28 2004-09-21 Infineon Technologies Ag Multi-layer Pt electrode for DRAM and FRAM with high K dielectric materials
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US7112543B2 (en) * 2001-01-04 2006-09-26 Micron Technology, Inc. Methods of forming assemblies comprising silicon-doped aluminum oxide
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
RU2269545C2 (ru) * 2001-02-23 2006-02-10 Дзе Гейтс Корпорейшн Способ для непосредственного связывания каучука, по меньшей мере, со второй подложкой и получаемое при этом изделие
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6696700B2 (en) * 2001-03-09 2004-02-24 National University Of Singapore P-type transparent copper-aluminum-oxide semiconductor
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6908639B2 (en) 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US6963103B2 (en) 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6548422B1 (en) * 2001-09-27 2003-04-15 Agere Systems, Inc. Method and structure for oxide/silicon nitride interface substructure improvements
JP2003109956A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置およびその製造方法
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
TW518712B (en) * 2002-01-25 2003-01-21 Taiwan Semiconductor Mfg Manufacture method of low resistance barrier layer of copper metallization process
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US6781126B2 (en) * 2002-02-04 2004-08-24 Applied Materials, Inc. Auger-based thin film metrology
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6764966B1 (en) * 2002-02-27 2004-07-20 Advanced Micro Devices, Inc. Spacers with a graded dielectric constant for semiconductor devices having a high-K dielectric
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
WO2003083167A1 (en) * 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
CN1675402A (zh) 2002-07-12 2005-09-28 哈佛学院院长等 氮化钨的汽相沉积
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US20050012089A1 (en) * 2002-07-19 2005-01-20 Yoshihide Senzaki Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
KR100480756B1 (ko) * 2002-08-02 2005-04-06 한국화학연구원 산화알루미늄 박막 제조 방법
GB0218417D0 (en) 2002-08-08 2002-09-18 Seagate Technology Llc Combined atomic layer deposition and damascene processing for definition of narrow trenches
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
JP3594947B2 (ja) * 2002-09-19 2004-12-02 東京エレクトロン株式会社 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7252773B2 (en) 2002-10-11 2007-08-07 Texas Instruments Incorporated Clean for high density capacitors
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6713407B1 (en) * 2002-10-29 2004-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Method of forming a metal nitride layer over exposed copper
US6869876B2 (en) 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
ITUD20020238A1 (it) * 2002-11-11 2004-05-12 New System Srl Metodo per la stesura controllata a getto d'inchiostro di polimeri per isolamento e/o protezione di circuiti stampati
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7192866B2 (en) * 2002-12-19 2007-03-20 Sharp Laboratories Of America, Inc. Source alternating MOCVD processes to deposit tungsten nitride thin films as barrier layers for MOCVD copper interconnects
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US20060225642A1 (en) * 2003-03-31 2006-10-12 Yoshihiko Kanzawa Method of forming semiconductor crystal
JP4536333B2 (ja) * 2003-04-03 2010-09-01 忠弘 大見 半導体装置及び、その製造方法
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
DE60311016T2 (de) * 2003-07-30 2007-08-02 Infineon Technologies Ag Dielektrischer film mit hohem k, herstellungsverfahren dafür und diesbezügliches halbleiterbauelement
JP2007516599A (ja) 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
JP3954998B2 (ja) * 2003-08-11 2007-08-08 ローム株式会社 半導体装置およびその製造方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
DE102004021578A1 (de) 2003-09-17 2005-04-21 Aixtron Ag Verfahren und Vorrichtung zur Abscheidung von ein-oder mehrkomponentigen Schichten und Schichtfolgen unter Verwendung von nicht-kontinuierlicher Injektion von flüssigen und gelösten Ausgangssubstanzen über eine Mehrkanalinjektionseinheit
KR20060079201A (ko) * 2003-09-17 2006-07-05 아익스트론 아게 불연속 주입을 이용한 층의 증착 방법 및 증착 장치
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
JP4059183B2 (ja) * 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US7098537B2 (en) * 2003-11-21 2006-08-29 International Business Machines Corporation Interconnect structure diffusion barrier with high nitrogen content
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3808866B2 (ja) * 2003-12-05 2006-08-16 株式会社東芝 半導体装置
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050130438A1 (en) * 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6849541B1 (en) * 2003-12-19 2005-02-01 United Microelectronics Corp. Method of fabricating a dual damascene copper wire
KR100515370B1 (ko) * 2003-12-31 2005-09-14 동부아남반도체 주식회사 반도체 소자의 플러그 제조 방법
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7160758B2 (en) * 2004-03-31 2007-01-09 Intel Corporation Electronic packaging apparatus and method
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7119600B2 (en) * 2004-04-20 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Wide common mode high-speed differential receiver using thin and thick gate oxide MOSFETS in deep-submicron technology
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2005353832A (ja) * 2004-06-10 2005-12-22 Toshiba Corp 半導体装置及びその製造方法
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7115959B2 (en) * 2004-06-22 2006-10-03 International Business Machines Corporation Method of forming metal/high-k gate stacks with high mobility
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7863179B2 (en) * 2006-10-31 2011-01-04 Lam Research Corporation Methods of fabricating a barrier layer with varying composition for copper metallization
JP2008506617A (ja) * 2004-07-15 2008-03-06 アイクストロン、アーゲー SiとGeを含有する膜の堆積方法
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7955646B2 (en) * 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7300869B2 (en) * 2004-09-20 2007-11-27 Lsi Corporation Integrated barrier and seed layer for copper interconnect technology
US20060068099A1 (en) * 2004-09-30 2006-03-30 Sharp Laboratories Of America, Inc. Grading PrxCa1-xMnO3 thin films by metalorganic chemical vapor deposition
KR100580771B1 (ko) * 2004-10-01 2006-05-15 주식회사 하이닉스반도체 플래쉬 메모리소자의 형성방법
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7253050B2 (en) 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7345370B2 (en) * 2005-01-12 2008-03-18 International Business Machines Corporation Wiring patterns formed by selective metal plating
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
EP1691383A1 (en) * 2005-02-14 2006-08-16 TDK Corporation Capacitor, method of making the same, filter using the same, and dielectric thin film used for the same
EP1693889B1 (en) * 2005-02-16 2017-05-24 Imec Method to enhance the initiation of film growth
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
EP1693888A1 (en) * 2005-02-16 2006-08-23 Interuniversitair Microelektronica Centrum ( Imec) Method to enhance the initiation of film growth
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7160781B2 (en) * 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8012847B2 (en) * 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US20060226500A1 (en) * 2005-04-06 2006-10-12 Po-Lun Cheng Gate dielectric layer and method of forming the same
WO2006110750A2 (en) * 2005-04-07 2006-10-19 Aviza Technology, Inc. Multilayer, multicomponent high-k films and methods for depositing the same
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20080296644A1 (en) * 2005-05-02 2008-12-04 Samsung Electronics Co., Ltd. Cmos image sensors and methods of fabricating same
EP1724822A3 (en) * 2005-05-17 2007-01-24 Sumco Corporation Semiconductor substrate and manufacturing method thereof
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7550385B2 (en) 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
WO2007078802A2 (en) 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7635603B2 (en) * 2005-12-30 2009-12-22 Atomic Energy Council - Institute Of Nuclear Energy Research Method for making red-light emitting diode having silicon quantum dots
US7303983B2 (en) * 2006-01-13 2007-12-04 Freescale Semiconductor, Inc. ALD gate electrode
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8936995B2 (en) 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP2007250982A (ja) 2006-03-17 2007-09-27 Canon Inc 酸化物半導体を用いた薄膜トランジスタ及び表示装置
WO2007108445A1 (ja) * 2006-03-20 2007-09-27 The Furukawa Electric Co., Ltd. 連続薄膜の形成方法及び薄膜付き線状ガラス基板
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8372697B2 (en) * 2006-05-08 2013-02-12 University Of South Carolina Digital oxide deposition of SiO2 layers on wafers
US20070264816A1 (en) * 2006-05-12 2007-11-15 Lavoie Adrien R Copper alloy layer for integrated circuit interconnects
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7552280B1 (en) 2006-06-28 2009-06-23 Emc Corporation Asymmetrically interleaving access to redundant storage devices
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080001237A1 (en) * 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
WO2008033186A1 (en) * 2006-07-31 2008-03-20 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
KR100846393B1 (ko) * 2007-03-30 2008-07-15 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
DE102007018013A1 (de) * 2007-04-17 2008-10-23 Qimonda Ag Dielektrische Schicht sowie Verfahren zur Herstellung einer dielektrischen Schicht
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP5461390B2 (ja) * 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規金属前駆体
US8372473B2 (en) * 2007-05-21 2013-02-12 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cobalt precursors for semiconductor applications
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7718525B2 (en) * 2007-06-29 2010-05-18 International Business Machines Corporation Metal interconnect forming methods and IC chip including metal interconnect
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7998820B2 (en) * 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
US8110490B2 (en) * 2007-08-15 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate oxide leakage reduction
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7741183B2 (en) * 2008-02-28 2010-06-22 Freescale Semiconductor, Inc. Method of forming a gate dielectric
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009253195A (ja) * 2008-04-10 2009-10-29 Toshiba Corp 半導体装置の製造方法、及び半導体装置
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
WO2009132207A2 (en) 2008-04-25 2009-10-29 Asm International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) * 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8227340B2 (en) * 2009-04-30 2012-07-24 Infineon Technologies Ag Method for producing a copper connection between two sides of a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
TWI385270B (zh) * 2009-06-02 2013-02-11 Air Prod & Chem 含矽膜的低溫沉積方法
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5722008B2 (ja) 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130277765A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Semiconductor device including graded gate stack, related method and design structure
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
CN107026089B (zh) * 2012-06-29 2021-12-03 株式会社半导体能源研究所 用于制造半导体装置的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
WO2014083240A1 (en) * 2012-11-29 2014-06-05 Beneq Oy A method for fabricating a structure comprising a passivation layer on a surface of a substrate
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171960B2 (en) 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140252619A1 (en) * 2013-03-08 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure that avoids insulating layer damage and methods of making the same
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
JP2015002195A (ja) * 2013-06-13 2015-01-05 株式会社東芝 半導体記憶装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9640646B2 (en) 2013-09-27 2017-05-02 Intel Corporation Semiconductor device having group III-V material active region and graded gate dielectric
US9012278B2 (en) 2013-10-03 2015-04-21 Asm Ip Holding B.V. Method of making a wire-based semiconductor device
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150176122A1 (en) * 2013-12-20 2015-06-25 Intermolecular Inc. Low-temperature growth of complex compound films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9978607B2 (en) * 2014-09-05 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure and method
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR20160071947A (ko) * 2014-12-12 2016-06-22 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP6998945B2 (ja) * 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018118082A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Graphitic liners for integrated circuit devices
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10294564B2 (en) * 2017-08-28 2019-05-21 Uchicago Argonne, Llc Method of creating boron comprising layer
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR20190042461A (ko) * 2017-10-14 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Beol 인터커넥트를 위한 고온 pvd 구리 증착을 이용한 ald 구리의 집적
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11758716B2 (en) 2018-09-05 2023-09-12 Micron Technology, Inc. Electronic devices including vertical memory cells and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11094598B2 (en) * 2019-07-11 2021-08-17 Globalfoundries U.S. Inc. Multiple threshold voltage devices
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11946139B2 (en) 2020-09-30 2024-04-02 Uchicago Argonne, Llc Atomic layer deposition of lithium boron comprising nanocomposite solid electrolytes
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220085674A (ko) * 2020-12-15 2022-06-22 주식회사 원익아이피에스 박막 형성 방법 및 장치
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114411119B (zh) * 2022-01-07 2022-10-14 大连理工大学 一种渐变彩虹色碳纤维材料及其制备方法
US11967611B2 (en) * 2022-05-30 2024-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multilayer structure, capacitor structure and electronic device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05313193A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 薄膜トランジスタマトリックス装置とその製造方法
JPH06232128A (ja) * 1993-02-08 1994-08-19 Hitachi Ltd 半導体装置およびその製造方法
JPH10256535A (ja) * 1997-03-13 1998-09-25 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH11297867A (ja) * 1998-03-12 1999-10-29 Lucent Technol Inc ド―プされた金属酸化物誘電体材料を有する電子部品及びド―プされた金属酸化物誘電体材料を有する電子部品の作製プロセス
JP2000054134A (ja) * 1998-08-07 2000-02-22 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜製造方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5158653A (en) 1988-09-26 1992-10-27 Lashmore David S Method for production of predetermined concentration graded alloys
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5795495A (en) * 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5449314A (en) * 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6399522B1 (en) * 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6362526B1 (en) 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6331484B1 (en) 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
KR100363084B1 (ko) * 1999-10-19 2002-11-30 삼성전자 주식회사 박막 구조를 위한 다중막을 포함하는 커패시터 및 그 제조 방법
US6329704B1 (en) * 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100768176B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100768175B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6787912B2 (en) 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05313193A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 薄膜トランジスタマトリックス装置とその製造方法
JPH06232128A (ja) * 1993-02-08 1994-08-19 Hitachi Ltd 半導体装置およびその製造方法
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH10256535A (ja) * 1997-03-13 1998-09-25 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
JPH11297867A (ja) * 1998-03-12 1999-10-29 Lucent Technol Inc ド―プされた金属酸化物誘電体材料を有する電子部品及びド―プされた金属酸化物誘電体材料を有する電子部品の作製プロセス
JP2000054134A (ja) * 1998-08-07 2000-02-22 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005124847A1 (ja) * 2004-06-21 2005-12-29 Matsushita Electric Industrial Co., Ltd. 半導体装置及びその製造方法
JP2009246318A (ja) * 2008-04-01 2009-10-22 Hitachi Kokusai Electric Inc 成膜方法
JP2021006916A (ja) * 2008-09-19 2021-01-21 株式会社半導体エネルギー研究所 半導体装置
US11610918B2 (en) 2008-09-19 2023-03-21 Semiconductor Energy Laboratory Co., Ltd. Display device
JP2015119045A (ja) * 2013-12-18 2015-06-25 大陽日酸株式会社 窒化ケイ素含有薄膜の形成方法
WO2017150212A1 (ja) * 2016-03-01 2017-09-08 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
JP2017206734A (ja) * 2016-05-17 2017-11-24 株式会社フィルテック 膜形成方法
US10428422B2 (en) 2016-05-17 2019-10-01 Philtech Inc. Film-forming method

Also Published As

Publication number Publication date
US20030032281A1 (en) 2003-02-13
WO2001066832A2 (en) 2001-09-13
US6534395B2 (en) 2003-03-18
DE60125338T2 (de) 2007-07-05
US20030129826A1 (en) 2003-07-10
AU2001245388A1 (en) 2001-09-17
WO2001066832A3 (en) 2002-02-14
JP5016767B2 (ja) 2012-09-05
US6933225B2 (en) 2005-08-23
KR20020086614A (ko) 2002-11-18
TW490739B (en) 2002-06-11
KR100803770B1 (ko) 2008-02-15
US6703708B2 (en) 2004-03-09
EP1266054B1 (en) 2006-12-20
DE60125338D1 (de) 2007-02-01
EP1266054A2 (en) 2002-12-18
US20010041250A1 (en) 2001-11-15

Similar Documents

Publication Publication Date Title
JP5016767B2 (ja) 傾斜薄膜の形成方法
US7981791B2 (en) Thin films
EP1221177B1 (en) Conformal lining layers for damascene metallization
US7102235B2 (en) Conformal lining layers for damascene metallization
US7405453B2 (en) Incorporation of nitrogen into high k dielectric film
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR100737305B1 (ko) 집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법
US6686271B2 (en) Protective layers prior to alternating layer deposition
KR20190024823A (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US20030190497A1 (en) Cyclical deposition of a variable content titanium silicon nitride layer
TW202208660A (zh) 用於改善介電選擇性的無氟鎢ald
TW202246575A (zh) 用於填充間隙之方法以及相關半導體處理設備
KR100447031B1 (ko) 텅스텐 실리사이드막의 형성방법
US20220098731A1 (en) Method Of Reducing Titanium Nitride Etching During Tungsten Film Formation
JPH05102080A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040701

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040701

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080226

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110419

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110711

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110920

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120313

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120321

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120522

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120611

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5016767

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term