KR20090067505A - 루테늄막 증착 방법 - Google Patents

루테늄막 증착 방법 Download PDF

Info

Publication number
KR20090067505A
KR20090067505A KR1020070135186A KR20070135186A KR20090067505A KR 20090067505 A KR20090067505 A KR 20090067505A KR 1020070135186 A KR1020070135186 A KR 1020070135186A KR 20070135186 A KR20070135186 A KR 20070135186A KR 20090067505 A KR20090067505 A KR 20090067505A
Authority
KR
South Korea
Prior art keywords
ruthenium
gas
supplying
reactor
deposition method
Prior art date
Application number
KR1020070135186A
Other languages
English (en)
Inventor
김전호
박형상
최승우
정동락
이춘수
Original Assignee
에이에스엠지니텍코리아 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠지니텍코리아 주식회사 filed Critical 에이에스엠지니텍코리아 주식회사
Priority to KR1020070135186A priority Critical patent/KR20090067505A/ko
Priority to US12/337,141 priority patent/US20090163024A1/en
Publication of KR20090067505A publication Critical patent/KR20090067505A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명의 한 실시예에 따른 루테늄막 증착 방법은 루테늄 원료 기체를 공급하는 단계, 상기 반응기에 퍼지 기체를 공급하는 단계, 그리고 상기 반응기에 플라즈마 없이 암모니아 기체를 공급하는 단계를 복수 회 반복한다. 본 발명의 실시예에 따른 원자층 증착법(ALD)에 의한 루테늄막 증착 방법에 의하면, 단차 피복성이 우수한 루테늄 막을 낮은 온도에서 높은 증착률로 증착할 수 있으며, 질화탄탈륨 층과 같은 하부막과 동일 챔버 내에서 인시투(in-situ) 방식으로 형성할 수 있어서, 장비의 생산성을 높일 수 있다.
루테늄막, 확산 방지막, 원자층 증착법, 암모니아, 단차 피복성

Description

루테늄막 증착 방법{METHOD OF DEPOSITING RUTHENIUM FILM}
본 발명은 박막 증착에 관한 것으로서, 더욱 상세하게는 루테늄막 증착 방법에 관한 것이다.
루테늄 금속 막은 강유전체 메모리 소자의 전극 물질, 게이트 전극 물질 등의 용도로 연구되어 왔고 최근에는 차세대 DRAM의 전극 물질과 구리 배선과 구리 배선의 확산 방지막인 질화탄탈륨(TaN) 사이의 접착층(glue layer) 등의 응용에 관심이 높아지고 있다.
일반적으로, 구리 배선 아래에 질화탄탈륨(TaN)을 증착하여 구리의 확산 방지막을 형성한다. 그러나 구리 배선과 질화탄탈륨 사이에 계면 접착 특성(interfacial adhension property)이 좋지 않아, 구리 배선 형성 시 평탄화 공정(CMP)과 같은 후 공정 시, 구리 배선이 들뜨는 현상이 나타난다. 따라서, 구리 배선과 질화탄탈륨 사이의 접착 특성을 높이기 위하여, 확산 방지막인 질화탄탈륨 층과 구리 층 사이에 루테늄 층을 형성하여, 접착층으로 이용하고 있다.
루테늄 막을 형성하기 위하여, 루테늄 시클로펜타디에닐 화합물이나 액체 상 태의 bis(ethylcyclopentadienyl)ruthenium [Ru(EtCp)2]와 같은 루테늄의 유기 금속 화합물과 산소(O2) 기체를 사용하여 루테늄(Ru) 층이나 산화 루테늄(RuO2) 층을 형성하는 화학 증착법이 알려져 있다 [Sung-Eon Park, Hyun-Mi Kim, Ki-Bum Kim and Seok-Hong Min "Metallorganic Chemical Vapor Deposition of Ru and RuO2 Using Ruthenocene Precursor and Oxygen Gas" J. Electrochem. Soc. 147[1], 203, (2000)]. 그러나 원료 기체들을 동시에 공급하는 화학 증착법은 종횡비가 큰 표면에 단차 피복성이 우수한 막을 형성하기 어렵다.
종횡비가 큰 표면에 단차피복성이 우수한 막을 형성하는 데에는, 막 형성에 필요한 두 가지 이상의 기체 원료를 시간적으로 분리하여 순차적으로 기판 위에 공급하여 표면 반응을 통해 박막을 성장시키고, 이를 반복적으로 수행하여 원하는 두께의 박막을 형성하는 원자층 증착 (atomic layer deposition, ALD) 방법이 유리하다.
루테늄 층을 증착하기 위하여 원자층 증착법을 이용하는 방법에는 루테늄 원료로 디에틸사이클로펜타디엔루테늄[dimethylcyclopebtadieneruthenium; Ru(EtCp)2]와 반응 기체로 암모니아(NH3) 플라즈마를 이용하는 플라즈마강화원자층증착법(PEALD)과, 루테늄 원료 기체를 공급한 후, 반응 기체로 루테늄 원료 기체를 분해하기 위한 산소(O2) 기체를 플라즈마 없이 공급하는 원자층 증착법 등이 있다.
그러나 이러한 플라즈마 강화 원자층 증착법을 이용하여 루테늄 층을 증착하 면, 플라즈마 고유의 방향 특성으로 인해 플라즈마가 위치에 따라 불균일해질 수 있고, 이에 의하여 증착된 루테늄 막의 측면의 두께가 얇게 형성되어 종횡비가 큰 표면에 단차피복성이 나쁜 문제점이 있으며, 산소 기체를 이용한 원자층 증착법의 경우 하부층의 표면이 손상되거나 산화되는 문제점이 있다.
특히, 확산 방지막인 질화탄탈륨 층과 구리 층 사이에 접착층으로 루테늄 층을 형성하는 경우, 질화탄탈륨 층의 증착 온도는 약 200℃ 내지 약 300℃인데 반하여, 플라즈마 강화 원자층 증착법에 의한 루테늄 층의 증착 온도는 더 높아서, 두 층을 동일 챔버에서 인시투(in-situ)로 형성하지 못해, 장비의 생산성이 낮아지는 문제점이 있다.
본 발명이 이루고자 하는 기술적 과제는 낮은 온도에서 높은 증착률로 단차피복성이 우수한 루테늄 층을 증착할 수 있는 방법을 제공하는 데 있다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 한 실시예에 따른 루테늄막 증착 방법은 루테늄 원료 기체를 공급하는 단계, 상기 반응기에 퍼지 기체를 공급하는 단계, 그리고 상기 반응기에 플라즈마 없이 암모니아 기체를 공급하는 단계를 복수 회 반복하는 원자층 증착 방법이다.
상기 반응기의 온도는 약 200℃ 내지 약 300℃일 수 있다.
상기 암모니아 기체 공급 단계는 약 3초 이상 지속될 수 있다.
상기 루테늄 원료 기체는 Ru(EtCp)2, (C6H8)Ru(CO)3, Ru(OD)3, Ru(Cp)2, RuO4, Ru(thd)3중 어느 하나일 수 있다.
상기 암모니아 기체 공급 단계 후에 상기 반응기에 퍼지 기체를 공급하는 단계를 더 포함할 수 있다.
상기 루테늄막은 트랜치 구조에서 균일한 두께를 가질 수 있다.
본 발명의 실시예에 따른 원자층 증착법(ALD)에 의한 루테늄층 증착 방법에 의하면, 단차 피복성이 우수한 루테늄 막을 낮은 온도에서 증착할 수 있으며, 질화탄탈륨 층과 같은 하부막 형성과 동일 챔버 내에서 인시투(in-situ) 방식으로 형성할 수 있어서, 장비의 생산성을 높일 수 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알 려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대해 설명한다.
먼저, 도 1을 참고로 하여, 본 발명의 한 실시예에 따른 루테늄 층 증착 방법에 대하여 설명한다. 도 1은 본 발명의 한 실시예에 따른 루테늄 층 증착 방법을 설명하기 위한 흐름도이다.
먼저, 루테늄 층을 형성할 기판 위에 질화탄탈륨과 같은 하부막을 형성하는 등의 선공정을 진행한다. 이때, 증착 온도는 약 200℃ 내지 300℃일 수 있다.
다음으로, 본 발명의 한 실시예에 따른 루테늄 층 증착 방법은 도 1에 도시한 바와 같이, 기판에 선구 물질인 소스 기체를 공급한다(110). 이때, 선구 물질은 기존의 선구 물질인 Ru(EtCp)2, (C6H8)Ru(CO)3, Ru(OD)3, Ru(Cp)2, RuO4, Ru(thd)3중 어느 하나를 사용할 수 있고, 특히 (C6H8)Ru(CO)3인 것이 바람직하다.
다음으로, 퍼지 기체로 불활성 기체를 공급한다(120). 퍼지 기체는 예를 들어 아르곤(Ar)일 수 있다.
그 후, 암모니아(NH3) 기체를 공급한다(130). 이때, 암모니아 기체 공급은 약 3초 이상 지속되는 것이 바람직하다.
암모니아 기체를 공급한 후, 다시 퍼지 기체, 예를 들어 아르곤(Ar)을 공급한다(140). 이때, 퍼지 기체를 공급하는 단계(140)는 생략될 수 있다.
이러한 과정을 약 10회 내지 300회 반복하여 원하는 두께의 루테늄 층을 원자층 증착법(ALD)을 이용하여 증착한다. 본 발명의 실시예에 따른 증착 과정은, 약 200℃ 내지 약 300℃의 온도하에서 이루어지는 것이 바람직하다.
이처럼, 본 발명의 실시예에 따른 루테늄 층 증착 방법의 경우, 약 200℃ 내지 약 300℃의 온도 하에서 이루어지기 때문에, 질화 탄탈륨과 같은 하부막을 형성하는 등의 선공정의 온도 범위인 약 200℃ 내지 300℃와 동일한 온도 범위에서 이루어질 수 있다. 따라서, 선공정과 루테늄 층 증착 공정을 인시투 방식으로 수행할 수 있어서, 장비의 생산성을 높일 수 있다.
그러면, 본 발명의 실시예에 따른 루테늄 층 증착 방법에 의하여 증착된 루테늄 층의 증착률과 증착된 루테늄 층의 막 특성에 대하여, 본 발명의 한 실험예의 결과를 나타낸 도 2a 및 도 2b를 참고로 하여 설명한다.
도 2a는 본 발명의 실시예에 따른 (C6H8)Ru(CO)3 선구 물질을 사용한 루테늄 층 증착 방법에서, 증착 온도에 따른 증착률을 나타내는 그래프이고, 도 2b는 본 발명의 실시예에 따른 (C6H8)Ru(CO)3 선구 물질을 사용한 루테늄 층 증착 방법에서, 암모니아 기체의 공급 시간에 따른 루테늄 층의 면저항을 나타내는 그래프이다.
먼저, 도 2a를 참고하여, 본 발명의 실시예에 따른 루테늄 층의 증착 방법에서의 루테늄 층의 증착률에 대하여 설명한다.
도 2a는 증착 온도에 따른 증착률을 도시한 그래프이다. 도 2a를 참고하면, 본 발명의 실시예에 따른 원자층 증착법을 이용한 루테늄 층 증착 방법과 같이 약 200℃ 내지 약 300℃에서 증착하는 경우, 특히, 250℃ 내지 300℃의 온도하에서 증착하는 경우, 원자층 증착 사이클당 증착률이 약 2A/cy이상인 것을 알 수 있었다. 따라서, 본 발명의 실시예에 따른 원자층 증착법을 이용한 루테늄 층 증착 방법에 의할 경우, 일반적으로 원자층 증착법을 이용한 막 증착에 필요한 증착률을 나타냄을 알 수 있었다.
다음으로, 도 2b를 참고하여, 본 발명의 실시예에 따른 루테늄 층의 증착 방법에서의 반응 기체인 암모니아 기체의 공급 시간에 따른 루테늄 층의 막 특성, 특히, 루테늄 층의 면 저항에 대하여 설명한다.
도 2b를 참고하면, 암모니아 기체의 공급 시간이 길어질수록 루테늄 층의 면 저항이 작아짐을 알 수 있다. 이는 루테늄 원료 기체와 반응 기체인 암모니아 사이의 충분한 반응이 이루어지기 때문이다. 도 2b에 도시한 바와 같이, 본 발명의 실시예에 따른 원자층 증착법을 이용한 루테늄 층 증착 방법과 같이, 약 3초 이상 암모니아 기체를 공급하는 경우, 루테늄 층의 면 저항이 매우 작아짐을 알 수 있다. 따라서, 약 3초 이상 암모니아 기체를 공급하는 경우, 증착된 루테늄 층의 막 특성이 우수해 짐을 알 수 있다.
다음으로, 그리고 본 발명의 실시예에 따른 루테늄 층 증착 방법에 의하여 증착된 루테늄 층의 단차 피복성에 대하여, 본 발명의 한 실험예의 결과를 나타낸 도 3a 및 도 3b를 참고로 하여 설명한다.
도 3a 및 도 3b는 다양한 트랜치 구조에서 (C6H8)Ru(CO)3 선구 물질을 사용하여 증착한 루테늄 층과 (hfac)Cu(vtms) 선구 물질을 사용하여 증착한 구리층을 나타내는 주사 전자 현미경 사진(SEM)이다. 본 실험에서의 공정 조건은 다음과 같다.
Figure 112007091978410-PAT00001
도 3a를 참고하면, 깊은 트랜치 구조에 증착된 루테늄 층의 경우, 트랜치 외부(a)에 증착된 루테늄 층과, 트랜치의 측면(b)과 하부면(c)에 증착된 루테늄 층이 모두 거의 동일한 두께로 균일하게 형성되어 있음을 알 수 있었다.
도 3b에 도시한 바와 같이, 급하게 꺾여 있는 구조(a)에서도 일정한 두께의 루테륨 층이 균일하게 형성됨을 알 수 있었다.
이처럼, 본 발명의 실시예에 따른 원자층 증착법(ALD)에 의한 루테늄 층 증착 방법에 의하면, 단차 피복성이 우수한 루테늄 막을 낮은 온도에서 높은 증착률로 증착할 수 있으며, 질화탄탈륨 층과 같은 하부막 형성과 동일 챔버 내에서 인시투(in-situ) 방식으로 형성할 수 있어서, 장비의 생산성을 높일 수 있다.
도 1은 본 발명의 한 실시예에 따른 루테늄 층 증착 방법을 설명하기 위한 흐름도이다.
도 2a는 본 발명의 실시예에 따른 루테늄 층 증착 방법에서, 증착 온도에 따른 증착률을 나타내는 그래프이다.
도 2b는 본 발명의 실시예에 따른 루테늄 층 증착 방법에서, 암모니아 기체의 공급 시간에 따른 루테늄 층의 면저항을 나타내는 그래프이다.
도 3a 및 도 3b는 다양한 트랜치 구조에서 증착한 루테늄 층과 구리층을 나타내는 전자 현미경 사진이다.

Claims (9)

  1. 기판이 장착되어 있는 반응기에 루테늄 원료 기체를 공급하는 단계,
    상기 반응기에 퍼지 기체를 공급하는 단계, 그리고
    상기 반응기에 플라즈마 없이 암모니아 기체를 공급하는 단계를 복수 회 반복하는 루테늄막 증착 방법.
  2. 제1항에서,
    상기 반응기의 온도는 약 200℃ 내지 약 300℃인 루테늄막 증착 방법.
  3. 제1항에서,
    상기 암모니아 기체 공급 단계는 약 3초 이상 지속되는 루테늄막 증착 방법.
  4. 제1항에서,
    상기 루테늄 원료 기체는 Ru(EtCp)2, (C6H8)Ru(CO)3, Ru(OD)3, Ru(Cp)2, Ru(thd)3, RuO4 중 어느 하나인 루테늄막 증착 방법.
  5. 제1항에서,
    상기 암모니아 기체 공급 단계 후에 상기 반응기에 퍼지 기체를 공급하는 단 계를 더 포함하는 루테늄막 증착 방법.
  6. 제5항에서,
    상기 반응기의 온도는 약 200℃ 내지 약 300℃인 루테늄막 증착 방법.
  7. 제5항에서,
    상기 암모니아 기체 공급 단계는 약 3초 이상 지속되는 루테늄막 증착 방법.
  8. 제5항에서,
    상기 루테늄 원료 기체는 Ru(EtCp)2, (C6H8)Ru(CO)3, Ru(OD)3, Ru(Cp)2, Ru(thd)3, RuO4 중 어느 하나인 루테늄막 증착 방법.
  9. 제1항 또는 제5항에서,
    상기 루테늄막은 질화탄탈륨 하부막 형성 공정과 인시투(in-situ) 방식으로 형성하는 루테늄막 증착 방법.
KR1020070135186A 2007-12-21 2007-12-21 루테늄막 증착 방법 KR20090067505A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070135186A KR20090067505A (ko) 2007-12-21 2007-12-21 루테늄막 증착 방법
US12/337,141 US20090163024A1 (en) 2007-12-21 2008-12-17 Methods of depositing a ruthenium film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070135186A KR20090067505A (ko) 2007-12-21 2007-12-21 루테늄막 증착 방법

Publications (1)

Publication Number Publication Date
KR20090067505A true KR20090067505A (ko) 2009-06-25

Family

ID=40789167

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070135186A KR20090067505A (ko) 2007-12-21 2007-12-21 루테늄막 증착 방법

Country Status (2)

Country Link
US (1) US20090163024A1 (ko)
KR (1) KR20090067505A (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10790188B2 (en) * 2017-10-14 2020-09-29 Applied Materials, Inc. Seamless ruthenium gap fill

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670110A (en) * 1979-07-30 1987-06-02 Metallurgical, Inc. Process for the electrolytic deposition of aluminum using a composite anode
US4891050A (en) * 1985-11-08 1990-01-02 Fuel Tech, Inc. Gasoline additives and gasoline containing soluble platinum group metal compounds and use in internal combustion engines
JPH0713304B2 (ja) * 1987-12-14 1995-02-15 日立化成工業株式会社 銅の表面処理法
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5106454A (en) * 1990-11-01 1992-04-21 Shipley Company Inc. Process for multilayer printed circuit board manufacture
US5865365A (en) * 1991-02-19 1999-02-02 Hitachi, Ltd. Method of fabricating an electronic circuit device
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
KR0172772B1 (ko) * 1995-05-17 1999-03-30 김주용 반도체 장치의 확산장벽용 산화루테늄막 형성 방법
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6404191B2 (en) * 1997-08-08 2002-06-11 Nve Corporation Read heads in planar monolithic integrated circuit chips
US6033584A (en) * 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6303500B1 (en) * 1999-02-24 2001-10-16 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
US6184403B1 (en) * 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
JP2001160558A (ja) * 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
US6720262B2 (en) * 1999-12-15 2004-04-13 Genitech, Inc. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6842740B1 (en) * 1999-12-20 2005-01-11 Hewlett-Packard Development Company, L.P. Method for providing automatic payment when making duplicates of copyrighted material
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP3979791B2 (ja) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
AU2001260374A1 (en) * 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) * 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
KR100400765B1 (ko) * 2000-11-13 2003-10-08 엘지.필립스 엘시디 주식회사 박막 형성방법 및 이를 적용한 액정표시소자의 제조방법
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
KR20030043380A (ko) * 2001-11-28 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
US6881260B2 (en) * 2002-06-25 2005-04-19 Micron Technology, Inc. Process for direct deposition of ALD RhO2
US6830983B2 (en) * 2002-08-29 2004-12-14 Micron Technology, Inc. Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
KR100474072B1 (ko) * 2002-09-17 2005-03-10 주식회사 하이닉스반도체 귀금속 박막의 형성 방법
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US20060093848A1 (en) * 2002-10-15 2006-05-04 Senkevich John J Atomic layer deposition of noble metals
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US6939815B2 (en) * 2003-08-28 2005-09-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
KR100548999B1 (ko) * 2003-10-28 2006-02-02 삼성전자주식회사 수직으로 연장된 배선간 엠아이엠 커패시터를 갖는로직소자 및 그것을 제조하는 방법
US7618681B2 (en) * 2003-10-28 2009-11-17 Asm International N.V. Process for producing bismuth-containing oxide films
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7074719B2 (en) * 2003-11-28 2006-07-11 International Business Machines Corporation ALD deposition of ruthenium
TW200617197A (en) * 2004-07-09 2006-06-01 Aviza Tech Inc Deposition of ruthenium and/or ruthenium oxide films
US7300873B2 (en) * 2004-08-13 2007-11-27 Micron Technology, Inc. Systems and methods for forming metal-containing layers using vapor deposition processes
JP2006097044A (ja) * 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 成膜用前駆体、ルテニウム含有膜の成膜方法、ルテニウム膜の成膜方法、ルテニウム酸化物膜の成膜方法およびルテニウム酸塩膜の成膜方法
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition

Also Published As

Publication number Publication date
US20090163024A1 (en) 2009-06-25

Similar Documents

Publication Publication Date Title
KR20090067505A (ko) 루테늄막 증착 방법
US10964534B2 (en) Enhanced thin film deposition
JP7182676B2 (ja) 周期的堆積により基材上に金属性膜を形成する方法及び関連する半導体デバイス構造
US7611751B2 (en) Vapor deposition of metal carbide films
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7067407B2 (en) Method of growing electrical conductors
Ramos et al. Precursor design and reaction mechanisms for the atomic layer deposition of metal films
KR101544198B1 (ko) 루테늄 막 형성 방법
US6800542B2 (en) Method for fabricating ruthenium thin layer
US8329569B2 (en) Deposition of ruthenium or ruthenium dioxide
KR20080066619A (ko) 루테늄 사산화물을 사용한 루테늄 막 형성 방법
KR102337530B1 (ko) 펄스형 박막 증착 방법
CN114927418A (zh) 含过渡金属材料的选择性沉积
US7435678B2 (en) Method of depositing noble metal electrode using oxidation-reduction reaction
KR101094379B1 (ko) 오존을 반응가스로 이용한 귀금속막의 형성 방법
US20210404060A1 (en) Vapor deposition of tungsten films
US7105065B2 (en) Metal layer forming methods and capacitor electrode forming methods
US20220084831A1 (en) Bottom-up metal nitride formation
US20080182037A1 (en) Method of forming metal layer
JP2024061924A (ja) バリア層及びバリア層の形成方法並びに配線基板
KR20230096216A (ko) 유기금속 전구체를 이용한 금속 박막 증착 방법
KR20100040020A (ko) 귀금속류 박막의 형성 방법 및 그를 이용한 캐패시터 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application