JP6354539B2 - 基板処理装置、基板処理方法、記憶媒体 - Google Patents

基板処理装置、基板処理方法、記憶媒体 Download PDF

Info

Publication number
JP6354539B2
JP6354539B2 JP2014238004A JP2014238004A JP6354539B2 JP 6354539 B2 JP6354539 B2 JP 6354539B2 JP 2014238004 A JP2014238004 A JP 2014238004A JP 2014238004 A JP2014238004 A JP 2014238004A JP 6354539 B2 JP6354539 B2 JP 6354539B2
Authority
JP
Japan
Prior art keywords
gas
substrate
substrate processing
ozone
atmosphere
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014238004A
Other languages
English (en)
Other versions
JP2016100530A (ja
Inventor
和雄 矢部
和雄 矢部
清水 亮
亮 清水
長谷部 一秀
一秀 長谷部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014238004A priority Critical patent/JP6354539B2/ja
Priority to US14/940,843 priority patent/US20160148801A1/en
Priority to KR1020150160334A priority patent/KR101930126B1/ko
Publication of JP2016100530A publication Critical patent/JP2016100530A/ja
Application granted granted Critical
Publication of JP6354539B2 publication Critical patent/JP6354539B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Description

本発明は、真空雰囲気中で基板の表面を酸化する基板処理装置、基板処理方法及び基板処理装置に用いられる記憶媒体に関する。
半導体装置の製造工程においては、基板である半導体ウエハ(以下「ウエハ」と言う)に対してその表面を酸化するプロセスが行われる場合がある。特許文献1にはこのような酸化を行う技術について記載されている。上記の酸化が行われるプロセスの一例としては、ALD(Atomic Layer Deposition)が知られており、このALDを用いてウエハの表面にシリコン酸化物(SiO)などの薄膜を形成する処理が行われる場合がある。
特開2007−251071
上記の酸化を行うにあたっては、例えばウエハに酸素やオゾンなどの酸化ガスを供給したり、水素及び酸素をウエハに供給して比較的低い圧力雰囲気で酸素ラジカルを発生させるLPROと呼ばれる手法を用いたり、真空容器内に酸素によるプラズマを形成したり、水素ガス及び酸素ガスから発生する水蒸気を利用するISSGと呼ばれる手法を用いたりすることが考えられる。しかし、前記酸化ガスの供給による酸化を行う場合、当該酸化ガスを前記原料と化学反応させるためにウエハを比較的高い温度に加熱する必要がある。LPRO、ISSGについても夫々、ウエハを例えば400℃以上、900℃以上の比較的高い温度に加熱する必要がある。
そのため装置にヒーターなどの加熱機構が設けられるが、それによって装置の製造コストや運用コストが嵩むし、ウエハを装置に搬入後、当該ウエハが加熱されて所定の温度に達するまで前記原料の酸化を行えないため、処理時間の短縮化が図り難かった。また、上記の酸素プラズマを用いる場合は、室温であってもウエハに堆積した原料ガスの成分を酸化することができるが、イオンや電子からなるプラズマ活性種の直進性によって、ウエハのパターンの平面部と側面部とで膜質が異なってしまい、側面部の膜質が平面部の膜質に比べて劣る。そのような理由により、微細なパターンへの適応が困難である。
また、前記特許文献1にはオゾンを連鎖的に分解させることで発生した酸素の活性種により、酸化を室温にて行う技術が記載されている。しかし、この酸素の活性種は不安定であり、極めて短い時間で失活してしまうため、ウエハ表面の原料の酸化を十分に行うためには、この連鎖分解反応を複数回繰り返し行うことになるので、スループットを十分に高くすることができない懸念があった。さらに、Ge(ゲルマニウム)チャネルや、ガリウムなどの元素周期表の3族の元素と窒素などの元素周期表の5族の元素とが結合した化合物からなるチャネルを持つ半導体デバイスを製造することが検討されており、この半導体デバイスの製造過程では、ウエハの温度を350℃以下に抑えたいという要請もある。
本発明はこのような事情の下になされたものであり、その目的は、基板を加熱する加熱機構を用いずに基板表面の酸化を十分に行うことができる技術を提供することである。
本発明によれば、真空容器内に形成された真空雰囲気で基板の表面を酸化する基板処理装置において、
前記真空容器内に連鎖分解反応を起こす濃度以上の濃度のオゾンと、水素供与体と、からなる処理雰囲気を形成するための雰囲気ガスを供給する雰囲気ガス供給部と、
前記処理雰囲気にエネルギーを供給し、前記オゾンを強制的に分解させて生じた活性種と、前記水素供与体とが反応して生成したヒドロキシルラジカルにより基板の表面を酸化するためのエネルギー供給部と、
不活性ガスが供給されると共に、前記オゾンの分解による真空容器内の圧力上昇を緩和するために、少なくとも前記エネルギーの供給時に真空容器内に連通するバッファ領域と、
を備えることを特徴とする。
本発明によれば、真空容器内に強制的な分解反応(連鎖分解反応)を起こして酸素の活性種を発生させることが可能な濃度のオゾンと、水素供与体とが含まれるガス雰囲気を形成する。この状態で前記分解反応を起こし、当該分解反応によって比較的大きなエネルギーが加わった基板の表面の原料を、酸素の活性種と前記水素供与体との反応生成物であるヒドロキシルラジカルにより酸化する。ヒドロキシルラジカルは酸素の活性種よりも発生から失活するまでの時間が長いため、基板表面を確実に酸化させることができる。従って、基板をヒーターなどの加熱機構により加熱しなくても前記酸化を十分に行うことができる。
本発明の第1の実施形態に係る成膜装置の縦断側面図である。 前記成膜装置の横断平面図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 前記成膜処理時におけるウエハの状態を示す模式図である。 本発明の第2の実施形態に係る成膜装置の縦断側面図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 前記成膜装置による成膜処理を示す工程図である。 評価試験の結果を示すグラフ図である。 評価試験の結果を示すグラフ図である。
(第1の実施形態)
本発明の第1の実施形態に係る成膜装置1について、図1の縦断側面図及び図2の横断平面図を参照しながら説明する。この成膜装置1はALDにより、基板であるウエハWに酸化シリコン膜を形成する。図中11は水平な円形のステージであり、その表面にウエハWを水平に載置する。ステージ11の下方には垂直な支柱12が設けられ、支柱12の下端は昇降機構13に接続されており、当該昇降機構13を介してステージ11は鉛直方向に昇降することができる。図1では成膜処理を行うための上昇位置に位置したステージ11を実線で、図示しない搬送機構との間でウエハWを受け渡すための下降位置に位置したステージ11を鎖線で、夫々示している。
ステージ11の表面には、当該ステージ11表面におけるウエハWの位置を規制するための規制用ピン14が上方に向けて突出して設けられている。規制用ピン14はステージ11の周方向に沿って間隔をおいて複数設けられており、規制用ピン14により囲まれる領域にウエハWが載置される。また、ステージ11の表面には、規制用ピン14よりも当該ステージ11の周縁寄りに、隙間形成用ピン15が設けられており、この隙間形成用ピン15も、ステージ11の周方向に沿って間隔をおいて複数設けられている。隙間形成用ピン15の役割については後述する。図中16は、ステージ11の厚さ方向に穿孔された3つの貫通孔であり、規制用ピン14よりもステージ11の中心側に設けられており、ウエハWがステージ11に載置された状態では、当該ウエハWにより塞がれる。
ステージ11の上方には、水平で扁平な円形のフード21が設けられている。フード21の下面には凹部が形成されている。ウエハWを載置したステージ11が上昇位置に位置すると、この凹部の内壁及びステージ11の表面により、ウエハWの周囲を囲む処理空間22が形成される。この処理空間22は、ウエハWの処理中に排気されることで真空雰囲気とされ、ステージ11とフード21とは真空容器である内側容器23を構成する。前記処理空間22は、当該処理空間22の外部から加熱及び冷却がなされない、即ち室温であり、後述の各反応は室温で進行する。
上記の処理空間22の形成時に、フード21の下端は上記の隙間形成用ピン15の上端に当接し、ステージ11の表面から浮いた状態となる。従って、このフード21の下端とステージ11の表面との間には隙間24が形成され、当該隙間24により、処理空間22と内側容器23の外部の空間(後述するバッファ領域26)とが連通している。後述するようにオゾンガスが処理空間22に供給された際に、当該処理空間22からのオゾンガスの漏れを抑える必要があるため、前記隙間形成用ピン15の高さH1は比較的小さく、例えば0.1mm以下である。
内側容器23を囲むように、成膜装置1には外側容器25が設けられている。外側容器25の内側且つ内側容器23の外側の空間はバッファ領域26として構成されており、このバッファ領域26もウエハWの処理中に排気されることで、真空雰囲気とされる。後述する連鎖分解反応により処理空間22の圧力が高まると、上記の隙間24を介して処理空間22内のガスがバッファ領域26に流れ、処理空間22の圧力上昇が緩和される。前記連鎖分解反応により、処理空間22の圧力は、当該分解反応が起きる前に比べて20倍〜30倍程度に急激に上昇することから、バッファ領域26の容積は、処理空間22及びバッファ領域26が真空雰囲気に保たれるようにするために、例えば処理空間22の容積の20倍以上とされる。
上記の支柱12の下端は、この外側容器25の底部を貫通しており、外側容器25の外部にて上記の昇降機構13に接続されている。また、外側容器25と支柱12との間をシールするシール機構27が設けられている。また、外側容器25の底部には、上方へ向かうようにウエハWを支持する3つの支持ピン28が、上記のステージ11の貫通孔16に対応する位置に設けられている。外側容器25には図示しない開閉自在な搬送口が設けられており、搬送機構によりウエハWは当該搬送口を介して、外側容器25の外部と支持ピン28上との間で受け渡される。そして、ステージ11の昇降により、支持ピン28と、当該ステージ11表面との間でウエハWが受け渡される。図1では、支持ピン28に受け渡された状態のウエハWを、鎖線で示している。
図1中29は支持部であり、既述のフード21をバッファ領域26の天井に支持している。また、バッファ領域26にはガス供給管31の一端が開口している。ガス供給管31の他端は、外側容器25の外部にてバルブV1を介して不活性ガスであるAr(アルゴン)ガスの供給源32に接続されている。さらに、バッファ領域26には排気管33の一端が開口しており、排気管33の他端は排気量調整部34を介して例えば真空ポンプにより構成された排気機構35に接続されている。排気量調整部34は例えばバルブを含み、排気管33からの排気流量を調整して、バッファ領域26を所望の圧力の真空雰囲気にすることができる。
上記の内側容器23を構成するフード21には、ガス供給路41A〜43Aが設けられている。ガス供給路41A〜43Aは、処理空間22の天井においてウエハWに対向するように開口し、処理空間22内を下方に向けてガスを供給する。このように供給されるガスにより、ウエハWはステージ11に押圧される。従って、ガスの供給によってウエハWが当該ステージ11から浮き上がり、成膜処理が妨げられることが防がれる。
また、後述する連鎖分解反応が起きる際にはウエハWに比較的大きな圧力が加わるが、上記のようにガス供給路が形成されているため、連鎖分解反応を起こすためのトリガーとなるNO(一酸化窒素)ガスは処理空間22の上方側から供給されるので、処理空間22を上方から下方に向かって当該連鎖分解反応が起きる。その結果として、ウエハWにはステージ11に向かうように圧力が加わるので、当該ステージ11からの浮き上がりを、より確実に抑えることができる。この連鎖分解反応時にウエハWに局所的に大きな圧力が加わることを防ぐために、NOガスの供給路である42Aは、ウエハWの中心部上に開口している。
ガス供給路41A〜43Aの上流端には、ガス供給管41〜43の一端が夫々接続されており、ガス供給管41〜43の他端は外側容器25の外部へ引き出されている。ガス供給管41の他端は2つに分岐して分岐管を形成し、これらの分岐管はバルブV2、V3を介して原料ガスであるアミノシランガスの供給源51、N(窒素)ガス供給源52に夫々接続されている。ガス供給管42の他端は、バルブV4を介してエネルギー供給部であるNOガス供給源53に接続されている。ガス供給管43の他端は、2つに分岐して分岐管を形成し、これらの分岐管はバルブV5、V6を介してO(オゾン)ガス供給源54、H(水素)ガス供給源55に各々接続されている。O(オゾン)ガス供給源54及びH(水素)ガス供給源55は、処理空間22にウエハWの酸化を行うための処理雰囲気を形成する雰囲気ガス供給部をなす。
各ガス供給源51〜55、32は、後述の制御部10から出力される制御信号に従って、各ガスをガス供給管の下流側に向けて圧送すると共にその供給流量を調整できるように構成される。原料ガス供給部であるガス供給源51について補足しておくと、このガス供給源51から供給される成膜原料であるアミノシランガスとしては、酸化されることにより酸化シリコン膜を形成できるものであればよく、この例ではガス供給源51からBTBAS(ビスターシャルブチルアミノシラン)ガスが供給される。
また、Oガス供給源54についてもさらに述べておくと、Oガス供給源54は、例えば対酸素比率8〜100vol.%のOガスを処理空間22に供給することができるように構成される。詳しくは後述するように、この実施形態ではウエハWが搬入された処理空間22がオゾン雰囲気とされ、且つ処理空間22に水素が含まれた状態で反応ガスであるNOガスを供給することにより、オゾンを分解させる。この分解は、NOによりオゾンが分解されて酸素のラジカルなどの活性種を発生させ、その活性種が周囲のオゾンを分解させてさらに酸素の活性種を生じさせるように、強制的に起こる連鎖分解反応である。つまり、NOガスが処理空間22に供給されるときには、当該処理空間22の圧力において、前記連鎖分解反応が起きる濃度以上の濃度のOが処理空間22に存在していることが必要であり、そのような雰囲気を処理空間22に形成できるように、Oガス供給源54からOガスが供給される。
また、上記のフード21には排気路17が設けられており、処理空間22の天井においてウエハWに対向するように開口している。そして、フード21には、前記排気路17に接続されるように排気管18の一端が設けられている。排気管18の他端は、排気量調整部19を介して上記の排気機構35に接続されている。排気量調整部19は上記の排気量調整部34と同様に構成されており、処理空間22からの排気流量を調整することができる。
成膜装置1は制御部10を備えており、この制御部10は例えば図示しないCPUと記憶部とを備えたコンピュータからなる。この制御部10は、成膜装置1の各部に制御信号を送信し、各バルブVの開閉や排気量調整部19、34による排気流量の調整、各ガス供給源51〜55、32からガス供給管へのガスの供給流量の調整、昇降機構13によるステージ11の昇降などの各動作を制御する。そして、このような制御信号を出力するために、ステップ(命令)群が組まれたプログラムが、前記記憶部に記憶されている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリーカード等の記憶媒体に格納され、そこからコンピュータにインストールされる。
続いて上記の成膜装置1の動作について、図3〜図9を参照しながら説明する。これらの各図では、内側容器23内の処理空間22及び外側容器25内のバッファ領域26へのガスの流入、これら処理空間22及びバッファ領域26からのガスの流出について矢印で示すと共に、理解を容易にするために開いたバルブの近傍に必要に応じて開の文字を付すことで、当該バルブの開閉状態を示す。ただし、この開の文字は省略する場合もある。またガスが流れている管については、ガスが流れていない管よりも太く示す。
先ず、図1にて鎖線で示す下降位置からステージ11が上昇し、搬送機構により支持ピン28上に載置されたウエハWが当該ステージ11に受け渡される。そして、ステージ11が、図1に実線で示す上昇位置に移動して停止して、当該ステージ11とフード21とに囲まれる処理空間22が形成されると、排気量調整部19、34により所定の排気流量で、処理空間22及びバッファ領域26が夫々排気されると共にバルブV1が開かれ、Arガス供給源32からバッファ領域26にArガスが供給される。
このようにバッファ領域26の排気及びArガスの供給が行われる一方で、バルブV2が開かれ、ガス供給源51からアミノシランガスが処理空間22に供給される。それによって、成膜原料であるアミノシランの分子がウエハWの表面に吸着されて、当該アミノシランの分子層が形成される(ステップS1、図3)。この分子層の形成時においては、アミノシランガスからパーティクルが発生せずに上記の吸着が行われるように、処理空間22の圧力が、例えば1Torr(0.13×10Pa)〜10Torr(1.3×10Pa)とされる。バッファ領域26の圧力は、処理空間22をこのような圧力にできるように、上記のArガスの供給と排気とにより適宜調整される。
然る後、バルブV2が閉鎖されて、処理空間22へのアミノシランガスの供給が停止される。その後、バルブV3が開かれてガス供給源52から処理空間22にNガスが供給される。このNガスにより、処理空間22にてウエハWに吸着されていない余剰のアミノシランがパージされ、排気管18から除去される(ステップS2、図4)。
続いて、バルブV3が閉じられて処理空間22へのNガスの供給が停止すると共に、バルブV5が開かれてガス供給源54からO3ガスが、処理空間22に供給される(ステップS3、図5)。このOガスの供給によって、処理空間22の圧力は例えば50Torr(6.5×10Pa)とされる。そして、バッファ領域26の圧力も上記のArガスの供給と排気とにより例えば、処理空間22の圧力と同じ50Torrとされる。然る後、バルブV5が閉じられて処理空間22へのO3ガスの供給が停止すると共にバルブV6が開かれ、ガス供給源55からHガスが処理空間22に供給される(ステップS4、図6)。
然る後、バルブV6が閉じられて処理空間22へのHガスの供給が停止すると共に、排気量調整部19により、処理空間22の排気が停止する(ステップS5、図7)。このとき処理空間22の圧力は、引き続きバッファ領域26の圧力と同じ50Torrとされ、処理空間22のオゾンの濃度は、後のステップで処理空間22にNOガスが供給されるときに、既述の連鎖分解反応が発生する限界以上の濃度とされている。
上記のようにバッファ領域26と処理空間22とは内側容器23の隙間24を介して連通しているが、バッファ領域26の圧力と処理空間22の圧力とが上記のように等しいため、バッファ領域26のArガスの処理空間22への流入、及び処理空間22のOガス及びHガスのバッファ領域26への流入が共に抑えられる。つまり、前記隙間24が形成されていても、Oガス及びHガスは処理空間22に封じ込められたままの状態とされており、処理空間22のOガスの濃度は、前記連鎖分解反応が発生する限界以上の濃度に保たれる。
然る後、バルブV4が開かれてNOガスが処理空間22に供給され、当該処理空間22のオゾンと接触する。つまり、オゾンに着火し、それによって既述のように当該オゾンの強制的な分解反応(燃焼反応)が起こり、酸素の活性種が発生する。この酸素の活性種は、処理空間22のHと反応し、ヒドロキシラジカルを生じる。これら酸素の活性種及びヒドロキシルラジカルが、ウエハW表面に吸着したアミノシランの分子層と反応して、当該アミノシランを酸化する。それによって、酸化シリコンの分子層が形成される。この酸化反応については後に詳しく説明する。
上記のオゾンの強制的な連鎖分解は瞬時に進行するため、処理空間22内に急激に酸素の活性種及び上記のヒドロキシルラジカルの量が増大する。即ち、処理空間22内でガスの急激な膨張が起きることになる。しかし、上記のように処理空間22とバッファ領域26とが連通しているため、そのように膨張したガスはバッファ領域26へと流れ、処理空間22の圧力が過剰に上昇することが防がれる(ステップS6、図8)。
上記の酸素の活性種が失活して酸素に変化し、その後ヒドロキシルラジカルも失活すると、酸化反応が終了する。その後、排気量調整部19により、処理空間22の排気が再開されると共に、バルブV3が開かれて処理空間22にNガスが供給される。これによって処理空間22から、酸素及びヒドロキシルラジカルの失活によって生成した化合物がパージされる。また、バッファ領域26では、Arガスの供給と排気とが行われているため、ステップS6で処理空間22からバッファ領域26に流れた、酸素の活性種が失活して生じた酸素及びヒドロキシルラジカルの失活により生成した化合物は、当該バッファ領域26からパージされる(ステップS7、図9)。これ以降は、ステップS1〜S7の動作が繰り返される。つまり、上記のステップS1〜S7を1つのサイクルとすると、このサイクルが繰り返し複数回、実行される。そして、当該サイクルが1回行われる度にウエハWに酸化シリコンの分子層が積層される。
2回目以降のサイクルが行われる時のウエハWの表面状態の変化について、図10〜図16の模式図を参照しながら説明する。図10は、あるサイクルが開始される直前の状態を示し、図11は当該サイクルのステップS1が実行され、ウエハW表面にアミノシラン(BTBAS)の分子62が吸着して、当該分子62の層が形成された状態を示している。図中、分子62の層より下層を構成する61は、既にウエハWに形成された酸化シリコンの分子を示している。図12は、同サイクルのステップS5において、処理空間22にO3ガス及びH2ガスが封入されている状態を示し、オゾンの分子を63、水素の分子を64で夫々示している。
図13は、その後のステップS6においてNOガスが処理空間22に供給された瞬間を示している。上記のようにNOとオゾンとが化学反応を起こし、オゾンにエネルギーが与えられ、オゾンが強制的に分解されて酸素の活性種65を生じる。そして酸素の活性種65によりオゾンが強制的に分解され、生じた酸素の活性種65によりさらにオゾンが分解される。このようにオゾンが連鎖分解され、処理空間22のオゾンが瞬間的に活性種65に変化する。さらに、この瞬間的な連鎖分解が進む過程において、酸素の活性種の一つである酸素ラジカル(O・)は水素の分子64と下記の式1で示すように反応し、図中66で示すヒドロキシルラジカルを生じる(図14)。
+2O・→2OH・(式1)
そして、このオゾンの連鎖分解反応が起きる空間に曝されているアミノシランの分子62には、当該連鎖分解反応で放出された熱及び光のエネルギーが加わり、それによって当該分子62のエネルギーが瞬間的に上昇し、当該分子62の温度が上昇する。このように温度が上昇して活性化されたアミノシランの分子62の周囲には、当該アミノシランの分子62と反応可能な酸素の活性種65及びヒドロキシルラジカル66が存在するので、これら分子62と、酸素の活性種65及びヒドロキシルラジカル66との反応が起きる。つまりアミノシラン分子62が酸化されて、酸化シリコンの分子61となる。
酸素の活性種65は不安定であるため、発生時から数ミリ秒で失活する。しかし、ヒドロキシルラジカル66の寿命は酸素の活性種65の寿命よりも長い数百ミリ秒であるため、酸素の活性種65の失活後もヒドロキシルラジカル66によるアミノシラン分子62の酸化が続けて行われる(図15)。その結果として、ウエハWの表面全体でアミノシラン分子62の酸化が、より確実に進行して酸化シリコンの分子61が生成する(図16)。
上記のようにオゾンの連鎖分解反応により発生するエネルギーをアミノシランの分子62が受けることになるので、背景技術で説明したようなヒーターによるウエハWの加熱を行わなくても、当該アミノシランの酸化を行うことができる。2回目以降のサイクルのステップS1〜S7でアミノシラン分子62が酸化される様子を説明したが、1回目のサイクルのステップS1〜S7でも同様に、オゾンの分解によるエネルギーがアミノシランの分子62に加わり、酸素の活性種65及びヒドロキシルラジカル66により当該分子62が酸化される。上記のサイクルが所定の回数繰り返し行われて、所望の膜厚の酸化シリコン膜が成膜されると、ステージ11が下降し、ウエハWが支持ピン28に受け渡される。そして当該ウエハWは、図示しない搬送機構により外側容器25内から搬出される。
この成膜装置1によれば、既述のように内側容器23内に比較的高い濃度のオゾンと、水素とを含む雰囲気を形成し、室温にて前記オゾンをNOガスにより連鎖分解させ、この連鎖分解により生じた酸素の活性種及びヒドロキシルラジカルによりウエハW表面のアミノシランを酸化させて酸化膜を形成している。ヒドロキシルラジカルは酸素の活性種よりも寿命が長いため、より確実にアミノシランの酸化を行うことができ、所望の膜質を有するSiO2膜を形成することができる。また、この成膜装置1には、酸化を行うためにウエハWを加熱するためのヒーターなどの加熱機構を設ける必要が無いので、当該成膜装置1の製造コスト及び運用コストの削減を図ることができる。また、前記加熱機構によりウエハWが所定の温度になることを待たずに、アミノシランの酸化を行うことができる。従って、成膜処理に要する時間を短縮し、スループットの向上を図ることができる。また、ヒドロキシルラジカルにより十分な酸化処理を行うことができるため、1つのサイクル中で、繰り返し連鎖分解反応を起こして酸化をする必要が無くなるため、この観点からもスループットの向上を図ることができる。
また、この成膜装置1では隙間24を介して内側容器23内の処理空間22と、当該内側容器23の外側のバッファ領域26とが連通している。従って、上記の連鎖分解反応により処理空間22で急激に膨張したガスをバッファ領域26へと逃がし、処理空間22の圧力上昇を緩和させることができる。従って、前記圧力上昇によるウエハWの破損や劣化を抑えることができる。また、内側容器23についても、ウエハWと同様に破損や劣化を抑えることができる。言い換えれば、内側容器23の耐圧性を高くする必要が無いので、その構成を簡素にすることができ、装置の製造コストの上昇を抑えることができる。
上記の処理例ではNOガスが供給される前のステップS5で、O3ガス及びH2ガスが供給された処理空間22とArガスが供給されたバッファ領域26とが同じ圧力になるように各ガスの供給及び排気を制御し、処理空間22とバッファ領域26との間でガス流が形成されることを抑え、ステップS6におけるNOガスの供給時に処理空間22のOガスの濃度が、より確実に、連鎖分解反応を発生させることができる濃度に保たれるようにしている。ただし、このNOガス供給時に処理空間22のオゾン濃度が連鎖分解反応を発生させることができる濃度に保たれれば、処理空間22とバッファ領域26との間でガス流が発生してもよい。つまり、NOガスを供給する前に、処理空間22とバッファ領域26との圧力が異なっていてもよい。
上記の処理例では、前記連鎖分解反応が起きる雰囲気を形成するために、ステップS5で処理空間22の圧力を50Torrにしているが、このような圧力に設定することに限られず、連鎖分解反応を起こすことが可能であれば、それよりも低い圧力、例えば20Torr(2.6×10Pa)〜30Torr(3.9×10Pa)の圧力であってもよい。このステップS5における処理空間22の圧力が高いほど、連鎖分解反応を起こすために必要な処理空間22のオゾンの濃度は低くなる。しかし、前記ステップS5における処理空間14の圧力が高いほど、連鎖分解反応時の処理空間22及びバッファ領域26の圧力が高くなる。連鎖分解反応時においても、処理空間22及びバッファ領域26が大気圧よりも低い雰囲気、即ち真空雰囲気に維持され、内側容器23、外側容器25及びウエハWが破損しないように、ステップS5における処理空間22の圧力が設定される。
上記の処理例では、1つのサイクルのうち全てのステップにおいてバッファ領域26へのArガスの供給及びバッファ領域26の排気を行っているが、このようにArガスの供給と排気とを行うのは、Oガス及びHガスを処理空間22に封じ込めること、分解反応時に処理空間14の圧力上昇を防ぐこと、バッファ領域26の反応生成物をパージすることを目的とする。従って、例えばステップS1、S2では、Arガスの供給及びバッファ領域26の排気を行わなくてもよい。
上記のステップS6にて連鎖分解反応が起きるときには、バッファ領域26へのArガス供給及びバッファ領域26からの排気が行われず、予め供給されたArガスが当該バッファ領域26に封入された状態となっていてもよい。また、上記の例では、バッファ領域26に不活性ガスとしてArガスを、処理空間22に不活性ガスとしてNガスを供給しているが、バッファ領域26にNガスを供給してもよいし、処理空間22にArガスを供給してもよい。Arガス、Nガス以外の不活性ガスを用いてもよい。また、上記の例では、O3ガスをH2ガスより先に処理空間22に供給しているが、連鎖分解反応が起きる前にO3及びH2ガスが処理空間22に供給されていればよい。従って、O3ガスをH2ガスよりも後に処理空間22に供給してもよいし、O3ガス及びH2ガスからなる混合ガスを処理空間22に供給してもよい。
(第2の実施形態)
続いて第2の実施形態に係る成膜装置7について、図17を参照しながら成膜装置1との差異点を中心に説明する。この成膜装置7においてはガス供給路41A〜43A及び排気路17が、フード21に設けられる代わりにステージ11に設けられており、ステージ11の表面において、ウエハWの載置領域の外側に開口している。従って、この成膜装置7では、ガス供給管41〜43及び排気管18は、フード21に接続される代わりにステージ11に接続されている。
ステージ11は、図示しない支持部材によりバッファ領域26内に固定されている。フード21は、外側容器25の外側に設けられる昇降機構71に接続部材72を介して接続されており、ステージ11に対して昇降可能に構成されている。前記ステージ11には隙間形成用ピン15が設けられていないため、フード21が下降したときにその下端の全周がステージ11の表面に密着することができ、そのように密着することによって処理空間22が密閉される。従って、昇降機構71は処理空間22をバッファ領域26から区画する区画機構として構成されており、図17では処理空間22が密閉された状態を示している。また、成膜装置1にてステージ11を昇降させるための昇降機構13は、ステージ11の代わりに支持ピン28を昇降させるように構成され、ウエハWの搬送機構とステージ11との間で、当該支持ピン28を介してウエハWの受け渡しが行われる。
またガス供給管43の上流側は、バルブV7を介してタンク73に接続されている。タンク73には液体のHO(水)が貯留されており、前記ガス供給管43は貯留された水の液面よりも上側の気相に開口している。また液面下には、バブリング用のノズル74が設けられ、ノズル74には、ガス供給管75の下流端が接続されている。ガス供給管75の上流端は、バルブV5を介してOガス供給源54に接続されている。この成膜装置7ではH2ガスが処理空間22に供給される代わりに、タンク73内の水が気化して生成した水蒸気が処理空間22に供給される。具体的にはOガスにより、タンク73内の水をバブリングさせて水蒸気に気化させ、当該水蒸気がOガスと共に処理空間22に供給される。つまり、Oガスは水蒸気のキャリアガスの役割を果たす。
この成膜装置7による成膜処理について、成膜装置1による成膜処理との差異点を中心に、ガスの流れを矢印で模式的に示した図18〜図20を適宜参照しながら説明する。この成膜装置7の成膜処理も、制御部10から各部に送信される制御信号に従って実行される。先ず、フード21が上記の図17に示す位置よりも上昇した状態で、搬送機構からステージ11にウエハWが受け渡されると、フード21が下降して処理空間22が密閉される。
その後、成膜装置1のステップS1と同様にバッファ領域26へのArガスの供給及びバッファ領域26からの排気が行われ、バッファ領域26の圧力が例えば50Torrとされる一方で、処理空間22へのアミノシランガスの供給及び処理空間22からの排気が行われて、ウエハWにアミノシランが吸着される。然る後、成膜装置1のステップS2と同様に処理空間22が排気されると共に処理空間22へNガスが供給され、余剰のアミノシランガスがパージされる。
その後、処理空間22が排気された状態で、バルブV5、V7が開き、Oガスがタンク73に供給されてバブリングが行われ、オゾンガスと水蒸気との混合ガスが当該処理空間22に供給される(図18)。それによって、処理空間22のオゾンの濃度が、既述の連鎖分解反応が起きる限界濃度以上の濃度となるように上昇し、且つ処理空間22の圧力が、例えばバッファ領域26の圧力と同じ50Torrとされる。つまり、上記の成膜装置1のステップS3、S4に対応する動作が行われる。
然る後、バルブV5、V7が閉じて、バブリングが終了すると共に処理空間22への前記混合ガスの供給が停止する。また、この混合ガスの供給停止と共に、排気量調整部19によって処理空間22の排気が停止する。そしてフード21が若干上昇し、フード21の下端とステージ11の表面との間に形成された隙間を介して、処理空間22がバッファ領域26に連通する(図19)。このとき、成膜装置1の上記ステップS5と同じく、バッファ領域26と処理空間22とが同じ圧力とされていることにより、バッファ領域26と処理空間22との間での各ガスの移動が抑えられる。
然る後、成膜装置1のステップS6と同様に、処理空間22にNOガスが供給され、連鎖分解反応が起こり、酸素の活性種が生じる。この酸素の活性種と水とが反応し、ヒドロキシルラジカルが生じ、成膜装置1と同様にこのヒドロキシルラジカルと酸素の活性種とによってウエハWに吸着したアミノシランの酸化が行われる(図20)。前記フード21の下端とステージ11の表面との間の隙間を介して処理空間22のガスがバッファ領域26に移動できるため、成膜装置1と同様に連鎖分解反応による処理空間22の圧力上昇が抑えられる。この連鎖分解反応後、ステップS7と同様に処理空間22の排気及び処理空間へのNガスの供給が行われて、処理空間22がパージされる。このような成膜装置1のステップS1〜S7に相当する動作のサイクルが繰り返し行われ、ウエハW表面にSiO2膜が形成される。
この成膜装置7においても、成膜装置1と同様、ヒドロキシルラジカルを用いてアミノシランを酸化するため、酸素の活性種のみにより酸化を行う場合に比べて、酸化が行われる時間が長くなる。結果として、成膜装置1と同様に、より確実に酸化を行うことができ、1つのサイクル内で、複数回連鎖分解反応を行う必要が無くなる。また、成膜装置7ではヒドロキシルラジカルを生成するために水を用いており、この水は酸素のラジカルと下記の式2に示すように反応する。
O+O・→2OH・(式2)
成膜装置1の説明の式1で記載したように、1つの水素分子から2つのヒドロキシルラジカルを生成するためには、2つの酸素ラジカルが消費されるが、この式2で示すように1つの水分子から2つのヒドロキシルラジカルを生成するためには、酸素ラジカルが1つのみ消費される。つまり、ヒドロキシルラジカルを生成するにあたり、Hを用いるよりもHOを用いる方が酸素ラジカルの消費量が少ないので、ヒドロキシルラジカルの濃度を高くすることが可能であり、結果として、より確実にアミノシランを酸化できると考えられる。
成膜装置7では、NOガスを供給する直前までバッファ領域26と処理空間22とを区画することができるので、よりこれらバッファ領域26と処理空間22との間でのガスの流れをより確実に抑え、より確実に上記の連鎖分解反応を起こすことができる。上記の構成例では、フード21をステージ11に対して昇降させているが、ステージ11をフード21に対して昇降させるように構成して、バッファ領域26と処理空間22とが互いに区画された状態と、連通した状態とを切り替えてもよい。
ところで、オゾンガスと共に処理空間22に供給するガスとしては、上記の連鎖分解反応によって生じる酸素の活性種に水素を供与することでヒドロキシルラジカルを生じる水素供与体であればよい。水素供与体としては、上記の水及び水素の他に例えば過酸化水素(H)があり、下記の式3のように酸素の活性種と反応して、ヒドロキシルラジカルを生じる。
+O・→2OH・+O・(式3)
ところで、例えば上記の成膜装置1、7でアンモニアガス、メタンガス、ジボランガスなどをO3ガス及び水素供与体からなるガスと共に処理空間22に供給しておき、そのような状態でNOガスを処理空間22に供給してもよい。Oが分解されるときにこれらのガスも分解されてアミノシランと化学反応し、これらのガスを構成する元素がドープされた酸化シリコン膜を形成することができる。具体的には、アンモニア、メタンガス、ジボランガスを処理空間22に供給することで、夫々N(窒素)、C(炭素)、B(ホウ素)がドープされた酸化シリコン膜を形成することができる。各実施形態でこのようなドープを行う場合は、アミノシラン吸着直後のステップで処理空間22をパージした後、NOガスを処理空間14に供給するまでに、上記のドープ用の各ガスを処理空間22に供給する。ドープ用の各ガスの供給にあたっては、既述の各ガス供給路41A〜43Aを用いることができる。
上記の実施の形態に適用される原料ガスとしては、上述のように酸化シリコン膜を形成するものに限られない。例えばTMA[トリメチルアルミニウム]、TEMHF[テトラキスエチルメチルアミノハフニウム]、Sr(THD)[ストロンチウムビステトラメチルヘプタンジオナト]、Ti(MPD)(THD)[チタニウムメチルペンタンジオナトビステトラメチルヘプタンジオナト]などを用いて、酸化アルミニウム、酸化ハフニウム、酸化ストロンチウム、酸化チタニウムなどを成膜するようにしてもよい。
上記の各実施形態の技術は、互いに組み合わせてもよい。具体的には、成膜装置1において、第2の実施形態で説明したようにバブリングにより水素を含むガスを供給してもよいし、第2の実施形態で水素ガスを処理空間22に供給してもよい。また、本発明はALDの工程内で酸化を行う装置として構成することに限られず、酸化を単独で行う装置として構成してもよい。さらに、O3ガスを分解するにあたっては、上記のNOガスとO3ガスとの化学反応によりO3ガスにエネルギーを供与して行うことに限られず、処理容器23内に電極を設けて放電を起こしたり、処理容器23からレーザー光線を処理空間22内に照射できるように構成したりすることで、O3ガスにエネルギーを供与して行ってもよい。
評価試験
本発明に関連して行われた評価試験について説明する。評価試験1として、実施の形態で説明したように、処理空間22に連鎖分解反応が起きる限界濃度以上の濃度になるように一定の量のオゾンガスを封入すると共に、Hガスを封入した。然る後、処理空間22にNOガスを供給して連鎖分解反応を起こして、OHラジカルを発生させる処理を行った。Hガスの供給流量はこの処理を行う度に変更した。
図21は、評価試験1の結果を示すグラフである。グラフの横軸、縦軸は、Hガスの供給流量、OHラジカルの濃度に夫々対応しており、数値が大きいほど前記流量及び濃度が大きいことを示す。各数値の単位は、任意単位である。グラフの縦軸のOHラジカルの濃度は、分解反応時において処理空間22内の全ての物質の量に対するOHラジカルの量の割合を示す。グラフに示すように、Hガスの供給流量がある値以下の場合、Hの供給流量が大きくなるほど、OHラジカルの濃度が大きくなる。そしてH2ガスの供給流量が、ある値を超えた場合、H2ガスの供給流量が大きくなるほどOHラジカルの濃度が小さくなる。
このような結果となったのは、H2ガスの供給流量がある流量になるまでは、分解反応時にH2ガスに対して酸素の活性種の量が比較的多く存在している。しかし、H2ガスの供給流量がある流量を超えると、分解反応時にH2ガスに対して酸素の活性種の量が不足し、OHラジカルの発生量が頭打ちとなると共に、未反応のH2ガスの量が増えるためであると考えられる。この実験から、酸化反応を適切に行うためにOHラジカルの濃度を制御するにあたっては、処理空間22内のオゾンの量に対する水素ガスの量を適切に設定することが必要であることが分かる。
続いて、上記の実施形態に従って処理を行うことで形成される酸化シリコン膜の熱履歴について調べた評価試験2について説明する。この評価試験2では、シリコンからなる複数の基板に、イオンインプランテーションによって各々P(リン)を注入した。このイオンインプランテーションは、2keV、1E15ions/cmで行った。そして、前記Pを注入した基板について、上記の成膜装置1を使用して酸化シリコン膜の形成を行った。
この酸化シリコン膜を形成するにあたり、上記のサイクルは100回行った。ただし、この評価試験2では、水素の供給を行っていない。即ち酸化をヒドロキシラジカルによらず、酸素の活性種のみで行っている。各サイクルのステップS3では内側容器23内のオゾン濃度が77.7vol%となるようにO3ガスを供給した。そして、酸化シリコン膜の形成後、当該酸化シリコン膜の抵抗値を測定した。また、上記のPを注入した基板の内、前記酸化シリコン膜を形成していないものについては、リファレンスとして互いに異なる温度で5分間加熱処理を行った。加熱処理後、これらリファレンスの抵抗値を測定した。
図22は、この評価試験2の結果を示すグラフである。黒く塗りつぶしたプロットがリファレンスの抵抗値であり、白抜きのプロットが成膜装置1で成膜した酸化シリコン膜の抵抗値である。グラフに示されるように上記の酸化シリコン膜の抵抗値は、200℃で加熱されたリファレンスの抵抗値に相当する。つまり、実施形態で説明したサイクルを100回行うことは、基板に200℃の熱を5分間加えることに相当する。即ち、上記の連鎖分解反応によって、基板には熱が加えられており、実施形態で説明したように、このように熱が加えられることにより、既述したようにヒーターなどによって基板を加熱することなく、アミノシランの酸化を行うことができることが推定される。
ところで、オゾンの強制分解反応時には処理空間22のガスの温度は、1700℃程度に上昇するが、上記のように基板の温度は300℃以下に抑えられている。水素供与体を添加してヒドロキシルラジカルを生成させる場合も、前記強制分解反応時の基板の温度は300℃から大きく変動しないことが考えられるため、背景技術の項目で述べた、加熱温度を350℃以下に抑える要請があるウエハWを処理するにあたり、本発明は特に有効であると考えられる。
W ウエハ
1 成膜装置
10 制御部
22 処理空間
23 処理容器
25 外側容器
26 バッファ領域
51 アミノシランガス供給源
54 O3ガス供給源
53 NOガス供給源

Claims (17)

  1. 真空容器内に形成された真空雰囲気で基板の表面を酸化する基板処理装置において、
    前記真空容器内に連鎖分解反応を起こす濃度以上の濃度のオゾンと、水素供与体と、からなる処理雰囲気を形成するための雰囲気ガスを供給する雰囲気ガス供給部と、
    前記処理雰囲気にエネルギーを供給し、前記オゾンを強制的に分解させて生じた活性種と、前記水素供与体とが反応して生成したヒドロキシルラジカルにより基板の表面を酸化するためのエネルギー供給部と、
    不活性ガスが供給されると共に、前記オゾンの分解による真空容器内の圧力上昇を緩和するために、少なくとも前記エネルギーの供給時に真空容器内に連通するバッファ領域と、
    を備えることを特徴とする基板処理装置。
  2. 前記バッファ領域は真空容器の外側を囲む外側容器の内部空間により構成され、
    前記真空容器にはバッファ領域と真空容器内とを連通させるガス流路が設けられることを特徴とする請求項記載の基板処理装置。
  3. 前記真空容器は、基板を載置するステージと、ステージを覆うフードと、を備え、前記ガス流路は前記ステージと前記フードとの間に形成される隙間であることを特徴とする請求項記載の基板処理装置。
  4. 前記雰囲気ガスが前記真空容器内に供給されるときには前記隙間を閉塞して前記真空容器内と前記バッファ領域とを区画し、前記エネルギーが供給されるときには前記隙間を開放して前記真空容器内と前記バッファ領域とを連通させる区画機構が設けられることを特徴とする請求項記載の基板処理装置。
  5. 前記雰囲気ガス供給部は、
    液体状態の前記水素供与体が貯留されたタンクと、
    前記タンク内の液面下にオゾンガスを供給してバブリングを行い、水素供与体を気化させるオゾンガス供給部と、
    前記オゾンガスをキャリアガスとして気化した水素供与体を真空容器内に供給するためのガス供給路と、
    を備えたことを特徴とする請求項1ないしのいずれか一つに記載の基板処理装置。
  6. 前記水素供与体は、水素、水または過酸化水素であることを特徴とする請求項1ないしのいずれか一つに記載の基板処理装置。
  7. 前記エネルギー供給部は、オゾンと化学反応して前記強制的な分解を起こすための反応ガスを前記処理雰囲気に供給する反応ガス供給部により構成されることを特徴とする請求項1ないしのいずれか一つに記載の基板処理装置。
  8. 前記反応ガスは一酸化窒素であることを特徴とする請求項記載の基板処理装置。
  9. 前記真空容器は、前記反応ガスを前記真空雰囲気に供給するための供給口を備え、
    前記供給口は、真空容器内に載置される基板の中心部に向かって開口することを特徴とする請求項または記載の基板処理装置。
  10. 前記真空容器内の基板に原料を吸着させるために、当該基板に前記原料を含む原料ガスを供給する原料ガス供給部と、
    この原料ガスの供給と、次いで行われる前記処理雰囲気の形成と、続いて行われるエネルギー供給とからなるサイクルが複数回繰り返し行われ、基板の表面に酸化物の分子層が積層されるように制御信号を出力する制御部と、
    を含む成膜装置として構成されることを特徴とする請求項1ないしのいずれか一つに記載の基板処理装置。
  11. 真空容器内に形成された真空雰囲気で基板の表面を酸化する基板処理方法において、
    前記真空容器内に連鎖分解反応を起こす濃度以上の濃度のオゾンと、水素供与体と、からなる処理雰囲気を形成するための雰囲気ガスを供給する工程と、
    前記処理雰囲気にエネルギーを供給し、前記オゾンを強制的に分解させて生じた活性種と、前記水素供与体とが反応して生成したヒドロキシルラジカルにより基板の表面を酸化するためのエネルギー供給工程と、
    前記オゾンの分解による真空容器内の圧力上昇を緩和するために、不活性ガスが供給されるバッファ領域を、少なくとも前記エネルギーの供給時に真空容器内に連通させる工程と、
    を備えることを特徴とする基板処理方法。
  12. 前記雰囲気ガスを供給する工程は、
    液体状態の前記水素供与体が貯留されたタンク内の液面下にオゾンガスを供給してバブリングを行い、当該水素供与体を気化させる工程と、
    前記オゾンガスをキャリアガスとして、気化した前記水素供与体をガス供給路を介して前記真空容器内に供給する工程と、
    を備えたことを特徴とする請求項11記載の基板処理方法。
  13. 前記エネルギー供給工程は、オゾンと化学反応して前記強制的な分解を起こすための反応ガスを前記処理雰囲気に供給する工程を含むことを特徴とする請求項11または12記載の基板処理方法。
  14. 前記反応ガスは一酸化窒素であることを特徴とする請求項13記載の基板処理方法。
  15. 前記反応ガスを処理雰囲気に供給する工程は、
    前記真空容器内に載置される基板の中心部に向かって開口するように当該真空容器に設けられた供給口から、前記反応ガスを前記処理雰囲気に供給する工程であることを特徴とする請求項13または14記載の基板処理方法。
  16. 前記真空容器内の基板に原料を吸着させるために、当該基板に前記原料を含む原料ガスを供給する原料ガス供給工程と、
    この原料ガス供給工程と、次いで行われる前記雰囲気ガスの供給工程と、続いて行われるエネルギー供給工程とからなるサイクルを複数回繰り返し行い、基板の表面に酸化物の分子層を積層して薄膜を形成する工程と、
    を含むことを特徴とする請求項11ないし15のいずれか一つに記載の基板処理方法。
  17. 真空容器内に形成された真空雰囲気で、基板の表面を酸化する基板処理装置において用いられるコンピュータプログラムを格納した記憶媒体において、
    前記コンピュータプログラムは、請求項11ないし16のいずれか一つに記載の基板処理方法を実施するようにステップが組まれていることを特徴とする記憶媒体。
JP2014238004A 2014-11-25 2014-11-25 基板処理装置、基板処理方法、記憶媒体 Active JP6354539B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2014238004A JP6354539B2 (ja) 2014-11-25 2014-11-25 基板処理装置、基板処理方法、記憶媒体
US14/940,843 US20160148801A1 (en) 2014-11-25 2015-11-13 Substrate processing apparatus, substrate processing method and storage medium
KR1020150160334A KR101930126B1 (ko) 2014-11-25 2015-11-16 기판 처리 장치, 기판 처리 방법, 기억 매체

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014238004A JP6354539B2 (ja) 2014-11-25 2014-11-25 基板処理装置、基板処理方法、記憶媒体

Publications (2)

Publication Number Publication Date
JP2016100530A JP2016100530A (ja) 2016-05-30
JP6354539B2 true JP6354539B2 (ja) 2018-07-11

Family

ID=56010918

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014238004A Active JP6354539B2 (ja) 2014-11-25 2014-11-25 基板処理装置、基板処理方法、記憶媒体

Country Status (3)

Country Link
US (1) US20160148801A1 (ja)
JP (1) JP6354539B2 (ja)
KR (1) KR101930126B1 (ja)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225837B2 (ja) * 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6820186B2 (ja) * 2016-11-22 2021-01-27 株式会社アドテックエンジニアリング 基板取り扱い装置及び基板取り扱い方法
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6691152B2 (ja) * 2018-02-07 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112281140B (zh) * 2019-07-25 2022-09-30 无锡科硅电子技术有限公司 一种具有双腔室的原子层沉积***及工艺
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP7314016B2 (ja) 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
WO2023277920A1 (en) * 2021-07-01 2023-01-05 Applied Materials, Inc. System and method for delivering precursor to a process chamber
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4268538A (en) * 1977-03-09 1981-05-19 Atomel Corporation High-pressure, high-temperature gaseous chemical method for silicon oxidation
JPH0236276Y2 (ja) * 1985-01-10 1990-10-03
KR900001666B1 (ko) * 1985-07-19 1990-03-17 후지쓰가부시끼가이샤 화합물 반도체의 에피택셜층 성장용의 화학적 유기 금속 기상 성장장치
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
US4962879A (en) * 1988-12-19 1990-10-16 Duke University Method for bubble-free bonding of silicon wafers
US5088444A (en) * 1989-03-15 1992-02-18 Kabushiki Kaisha Toshiba Vapor deposition system
JPH0316121A (ja) * 1989-03-15 1991-01-24 Toshiba Corp 気相成長装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
JPH05160027A (ja) * 1991-12-02 1993-06-25 Hitachi Ltd 成膜装置
JPH086181B2 (ja) * 1992-11-30 1996-01-24 日本電気株式会社 化学気相成長法および化学気相成長装置
US5540898A (en) * 1995-05-26 1996-07-30 Vasogen Inc. Ozone generator with in-line ozone sensor
US5534068A (en) * 1995-10-27 1996-07-09 Specialty Coating Systems, Inc. Parylene deposition apparatus including a tapered deposition chamber and dual vacuum outlet pumping arrangement
US5904170A (en) * 1997-05-14 1999-05-18 Applied Materials, Inc. Pressure flow and concentration control of oxygen/ozone gas mixtures
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6017827A (en) * 1998-05-04 2000-01-25 Micron Technology, Inc. System and method for mixing a gas into a solvent used in semiconductor processing
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6300255B1 (en) * 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6419751B1 (en) * 1999-07-26 2002-07-16 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6982006B1 (en) * 1999-10-19 2006-01-03 Boyers David G Method and apparatus for treating a substrate with an ozone-solvent solution
JP4776054B2 (ja) * 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
AU4351601A (en) * 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
WO2002071463A1 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US7171973B2 (en) * 2001-07-16 2007-02-06 Tokyo Electron Limited Substrate processing apparatus
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
JP4071968B2 (ja) * 2002-01-17 2008-04-02 東芝三菱電機産業システム株式会社 ガス供給システム及びガス供給方法
CN1643179B (zh) * 2002-01-17 2010-05-26 松德沃技术公司 Ald装置和方法
AU2003234287A1 (en) * 2002-04-26 2003-11-10 Phifer Smith Corporation Method and apparatus for treating a substrate with an ozone-solvent solution iii
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
JP3999059B2 (ja) * 2002-06-26 2007-10-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20060240677A1 (en) * 2002-09-20 2006-10-26 Hitachi Kokusai Electric Inc., Method for manufacturing semiconductor device and substrate processing apparatus
JP4112591B2 (ja) * 2002-09-20 2008-07-02 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP4093462B2 (ja) * 2002-10-09 2008-06-04 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN100429753C (zh) * 2003-02-06 2008-10-29 东京毅力科创株式会社 等离子体处理方法、半导体基板以及等离子体处理装置
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
KR20050053417A (ko) * 2003-12-02 2005-06-08 한국전자통신연구원 래디칼 보조 산화 장치
KR100728547B1 (ko) * 2003-12-18 2007-06-15 동경 엘렉트론 주식회사 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한기록 매체
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060088660A1 (en) * 2004-10-26 2006-04-27 Putkonen Matti I Methods of depositing lead containing oxides films
JP4564349B2 (ja) * 2004-12-22 2010-10-20 三井造船株式会社 原子層成膜装置
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060264066A1 (en) * 2005-04-07 2006-11-23 Aviza Technology, Inc. Multilayer multicomponent high-k films and methods for depositing the same
JP4954995B2 (ja) * 2005-07-07 2012-06-20 エム ケー エス インストルメンツ インコーポレーテッド マルチ・チャンバ・ツールのためのオゾン・システム
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR100735523B1 (ko) * 2005-11-10 2007-07-04 삼성전자주식회사 반도체 소자 제조 방법
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
JP4621848B2 (ja) * 2006-03-20 2011-01-26 岩谷産業株式会社 酸化薄膜の作成方法
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008004278A1 (fr) * 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
DE102007022431A1 (de) * 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
US7709056B2 (en) * 2007-05-16 2010-05-04 Uchicago Argonne, Llc Synthesis of transparent conducting oxide coatings
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP2011511160A (ja) * 2008-02-01 2011-04-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード β−ジケチミナト配位子含有新金属前駆体
US7659158B2 (en) * 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8309174B2 (en) * 2008-04-15 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Heteroleptic iridium precursors to be used for the deposition of iridium-containing films
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2010051341A1 (en) * 2008-10-31 2010-05-06 Sundew Technologies, Llc Coatings for suppressing metallic whiskers
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8415884B2 (en) * 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
JP2011066219A (ja) * 2009-09-17 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US10131991B2 (en) * 2010-02-24 2018-11-20 Uchicago Argonne, Llc Method for depositing transparent conducting oxides
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR101397467B1 (ko) * 2010-08-05 2014-05-20 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조 방법
WO2012066977A1 (ja) * 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012126977A (ja) * 2010-12-16 2012-07-05 Ulvac Japan Ltd 真空成膜装置及び成膜方法
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
TWI458843B (zh) * 2011-10-06 2014-11-01 Ind Tech Res Inst 蒸鍍裝置與有機薄膜的形成方法
US10002747B2 (en) * 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
CN109972119A (zh) * 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US20170301567A9 (en) * 2012-11-20 2017-10-19 Tokyo Electron Limited System of controlling treatment liquid dispense for spinning substrates
TWI526257B (zh) * 2012-11-27 2016-03-21 東京威力科創股份有限公司 使用噴嘴清洗基板上之一層的控制
TWI611465B (zh) * 2013-07-03 2018-01-11 應用材料股份有限公司 反應器氣體面板之共同排氣
US10214817B2 (en) * 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
JP2015117156A (ja) * 2013-12-18 2015-06-25 東京エレクトロン株式会社 基板処理装置及びオゾンガス濃度の異常検出方法

Also Published As

Publication number Publication date
JP2016100530A (ja) 2016-05-30
US20160148801A1 (en) 2016-05-26
KR101930126B1 (ko) 2018-12-17
KR20160062690A (ko) 2016-06-02

Similar Documents

Publication Publication Date Title
JP6354539B2 (ja) 基板処理装置、基板処理方法、記憶媒体
JP6225837B2 (ja) 成膜装置、成膜方法、記憶媒体
JP6225842B2 (ja) 成膜装置、成膜方法、記憶媒体
KR101521466B1 (ko) 가스 공급 장치, 열처리 장치, 가스 공급 방법 및 열처리 방법
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR20110009624A (ko) 반도체 처리용의 배치 cvd 방법과 장치 및, 컴퓨터 판독 가능한 기억 매체
JP5693348B2 (ja) 成膜方法および成膜装置
KR20120024384A (ko) 성막 방법 및 성막 장치
JP5221089B2 (ja) 成膜方法、成膜装置および記憶媒体
KR102301992B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
JP2013151722A (ja) 半導体装置の製造方法
JP5306691B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2014154751A (ja) ガス供給系及び成膜装置
KR20130033301A (ko) 반도체 장치의 제조 방법, 반도체 장치 및 기판 처리 장치
US11168396B2 (en) Method of manufacturing semiconductor device and recording medium
KR20230157481A (ko) 막 형성 방법 및 기판 처리 장치
KR20230100628A (ko) 성막 방법 및 성막 장치
US9425071B2 (en) Film forming method
JP5557896B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012114350A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170509

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20171228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180528

R150 Certificate of patent or registration of utility model

Ref document number: 6354539

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250