KR20190024834A - 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 - Google Patents

기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 Download PDF

Info

Publication number
KR20190024834A
KR20190024834A KR1020180102854A KR20180102854A KR20190024834A KR 20190024834 A KR20190024834 A KR 20190024834A KR 1020180102854 A KR1020180102854 A KR 1020180102854A KR 20180102854 A KR20180102854 A KR 20180102854A KR 20190024834 A KR20190024834 A KR 20190024834A
Authority
KR
South Korea
Prior art keywords
molybdenum
gap
metal film
substrate
molybdenum metal
Prior art date
Application number
KR1020180102854A
Other languages
English (en)
Inventor
키란 쉬레스타
부샨 조페
샨카르 스와미나탄
치유 주
헨리 뚜오마스 안떼로 유실라
치 셰
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/691,241 external-priority patent/US11056344B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190024834A publication Critical patent/KR20190024834A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

기판 표면상의 갭 피쳐를 충전하는 방법들이 개시된다. 그 방법들은 반응 챔버로 하나 이상의 갭 피쳐들을 포함하는 기판을 제공하는 단계; 및 순환적 증착-에칭 프로세스에 의해 몰리브덴 금속막으로 하나 이상의 갭 피쳐들을 부분적으로 충전하는 단계로서, 순화적 증착-에칭 프로세스의 단위 사이클은 제 1 순환적 증착 프로세스의 적어도 하나의 단위 사이클을 수행함으로써 몰리브덴 금속막으로 하나 이상의 갭 피쳐들을 부분적으로 충전하는 단계; 및 몰리브덴 금속막을 부분적으로 에칭하는 단계를 포함하는, 상기 하나 이상의 갭 피쳐들을 부분적으로 충전하는 단계를 포함한다. 방법들은 또한 제 2 순환적 증착 프로세스의 적어도 하나의 단위 사이클을 수행함으로써 몰리브덴 금속막으로 하나 이상의 갭 피쳐들을 충전하는 단계를 포함할 수도 있다. 본 개시의 방법들에 의해 형성된 기판의 표면 내의 또는 그 기판의 표면상의 하나 이상의 갭 피쳐들에 배치된 갭 충전 몰리브덴 금속막을 포함하는 반도체 디바이스 구조들이 또한 개시된다.

Description

기판 표면상의 갭 피쳐를 충전하는 방법들 및 관련된 반도체 디바이스 구조들{METHODS FOR FILLING A GAP FEATURE ON A SUBSTRATE SURFACE AND RELATED SEMICONDUCTOR DEVICE STRUCTURES}
관련 출원의 상호 참조
본원은 2017년 8월 30일자로 출원되고 "층 형성 방법"으로 명명된 미국 비가출원 제15/691,241호, 2017년 12월 18일자로 출원되고 "층 형성 방법"으로 명명된 미국 가출원 제62/607,070호, 및 2018년 1월 19일자로 출원되고 "증착 방법"으로 명명된 미국 가출원 제62/619,579호에 대해 우선권을 주장한다.
기술분야
본 개시는 일반적으로 기판 표면 상의 갭 피처를 충진하는 방법, 특히 주기적인 증착-에칭 공정을 이용하여 하나 이상의 갭 피처를 몰리브덴 금속막으로 충진하는 방법에 관한 것이다. 본 개시는 또한 일반적으로 몰리브덴 금속막으로 충진하는 하나 이상의 갭 피처를 포함하는 반도체 소자 구조에 관한 것이다.
예를 들어, 트랜지스터, 메모리 요소 및 집적 회로와 같은 반도체 소자 구조를 형성하기 위한 반도체 제조 공정은 광범위하며, 특히 증착 공정, 에칭 공정, 열적 어닐링 공정, 리쏘그래피 공정 및 도핑 공정을 포함할 수 있다.
일반적으로 이용되는 특정 반도체 제조 공정은 금속막을 갭 피처에 증착함으로써 갭 피처를 금속막으로 충진하는 것으로서, 일반적으로 "갭 필(gap fill)"이라고 지칭되는 공정이다. 반도체 기판은 비평면 표면을 갖는 기판 상에 다수의 갭 피처를 포함할 수 있다. 갭 피처는 기판 표면의 돌출부 또는 기판 표면 내 형성된 오목부 사이에 배치되는 실질적으로 수직인 갭 피처를 포함할 수 있다. 갭 피처는 또한 수평 갭 피처를 경계짓는 2 개의 인접한 재료 사이에 배치되는 실질적 수평 갭 피처를 포함할 수 있다. DRAM, 플래시 메모리 및 로직과 같은 반도체 소자 구조에서, 반도체 소자 구조 기하 형상이 감소하고 고 종횡비 피처가 보다 보편적으로 자리하고 있기 때문에, 원하는 특성을 갖는 금속으로 다수의 갭 피처를 충진하는 것이 점차 어려워지고 있다.
고밀도 플라즈마(HDP), 준-대기 화학 기상 증착(SACVD) 및 저압 화학 기상 증착(LPCVD)과 같은 증착 방법이 갭 필 공정에 사용되었지만, 이러한 공정은 일반적으로 원하는 갭 필 능력을 달성하지 못한다.
따라서, 개선된 특성을 갖는 갭 필 금속을 갖는 비평면 기판 상의 갭 피처를 충진하기 위한 방법 및 관련 반도체 소자 구조가 원해진다.
본 발명의 내용은 선정된 개념을 단순화된 형태로 소개하기 위해 제공된다. 이들 개념은 하기의 본 개시의 예시적 구현예의 상세한 설명에 더 상세하게 기재되어 있다. 이러한 내용은 청구된 요지의 주된 특징 또는 필수적인 특징을 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
일부 구현예에서, 기판 표면 상의 갭 피처를 충진하는 방법이 제공된다. 상기 방법은, 하나 이상의 갭 피처를 포함하는 기판을 반응 챔버에 제공하는 단계; 주기적 증착-에칭 공정에 의해 몰리브덴 금속막으로 상기 하나 이상의 갭 피처를 부분적으로 충진하는 단계를 포함하며, 상기 주기적 증착-에칭 공정의 단위 사이클은 제1 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 몰리브덴 금속막으로 하나 이상의 갭 피처를 부분적으로 충진하는 단계, 및 상기 몰리브덴 금속막을 부분적으로 에칭하는 단계를 포함한다. 상기 방법은 또한, 제2 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 몰리브덴 금속막으로 하나 이상의 갭 피처를 충진하는 단계를 포함할 수 있다.
본 발명 및 선행 기술에 대하여 달성되는 장점들을 요약하기 위한 목적으로, 본 발명의 특정 목적 및 장점들이 본원에 기술되었다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들어 당업자는, 본 발명이, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성 또는 최적화 하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두 본원에 개시된 본 발명의 범주 내에 있도록 의도된다. 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 특정 구현예들의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명하게 될 것이고, 본 발명은 개시된 임의의 특정 구현예(들)에 한정되지 않는다.
본 명세서는 본 발명의 구현예로 간주되는 것을 특별히 지적하고 명백하게 주장하는 청구범위로 결론을 내지만, 본 개시의 구현예들의 장점들은 첨부한 도면들과 관련하여 읽을 때 본 개시의 구현예들의 특정 예의 설명으로부터 더욱 쉽게 확인될 수 있고, 도면들 중:
도 1a 및 도 1b는 금속 갭 필 재료로 충진된 갭 피처의 단면 개략도를 도시하며, 상기 메탈 갭 필 재료는 심 피처(seam feature)를 포함한다.
도 2는 몰리브덴 금속막으로 기판의 표면 상에 하나 이상의 갭 피처를 충진하는 방법을 설명하는 비제한적인 예시적 공정 흐름을 도시한다.
도 3은 본 개시의 구현예에 따라 갭 피처 내에 몰리브덴 금속막을 증착하기 위한 주기적 기상 증착 공정을 설명하는 비제한적인 예시적 서브 공정 흐름을 도시한다.
도 4a 및 도 4b는 본 개시의 구현예에 따라 하나 이상의 갭 피처 내에 배치되고 충진하는 몰리브덴 금속막을 포함하는 반도체 소자 구조의 단면 개략도를 도시한다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본원에 제시된 예시는 임의의 특정한 물질, 구조, 또는 소자의 실제 뷰를 의도하려하는 것은 아니며, 단지 본 개시의 구현예를 설명하기 위해 사용되는 이상적인 표현이다.
본원에서 사용되는 바와 같이, 용어 "기판(substrate)"은, 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "주기적 증착(cyclic deposition)"은 반응 챔버 내로 하나 이상의 전구체(반응물)를 순차적으로 도입시켜 기판 위에 막을 증착하는 것을 지칭할 수 있으며 원자층 증착 및 주기적 화학 기상 증착과 같은 증착 기술을 포함한다.
본원에서 사용되는 바와 같이, 용어 "주기적 화학 기상 증착(cyclical chemical vapor deposition)"은 원하는 증착을 생성시키기 위해 기판 상에서 반응 및/또는 분해되는 하나 이상의 휘발성 전구체에 기판이 순차적으로 노출되는 임의의 공정을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "원자층 증착"(ALD)은 증착 사이클, 바람직하게는, 복수의 연속 증착 사이클이 반응 챔버에서 수행되는 기상 증착 공정을 지칭할 수 있다. 일반적으로, 각각의 사이클 동안, 전구체는 증착 표면(예, 기판 표면, 또는 이전 ALD 사이클로부터의 물질과 같은 이전에 증착된 하부 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응) 단층 또는 서브 단층을 형성한다. 그 후 필요한 경우, 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 용도로, 반응물(예, 다른 전구체 또는 반응 가스)이 후속해서 공정 챔버에 유입될 수 있다. 일반적으로, 이러한 반응물은 전구체와 추가적으로 반응할 수 있다. 각 사이클 동안 공정 챔버로부터 과잉의 전구체를 제거하고/하거나, 화학 흡착된 전구체의 변환 후 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거하기 위해 퍼징(purging) 단계들이 더 사용될 수도 있다. 추가로, 본원에서 사용된 용어 "원자층 증착(atomic layer deposition)"은 "화학적 기상 원자층 증착(chemical vapor atomic layer deposition)", "원자층 에피택시(atomic layer epitaxy)"(ALE), 분자 빔 에피택시(molecular beam epitaxy)(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 전구체 조성물(들), 반응 가스, 및 퍼지(예, 불활성 캐리어) 가스의 교번 펄스(alternating pulses)로 수행되는 경우의 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
본원에 사용된 바와 같이, 용어 "막(film)" 및 "박막(thin film)"은 본원에 개시된 방법에 의해 형성된 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭한다. 예컨대, "막" 및 "박막"은 2D 재료, 나노라미네이트, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다.
본원에서 사용된 바와 같이, 용어 "몰리브덴할라이드 전구체(molybdenum halide precursor)"라는 용어는 적어도 몰리브덴 성분 및 할라이드 성분을 포함하는 반응물을 지칭할 수 있으며, 할라이드 성분은 염소 성분, 요오드 성분 또는 브롬 성분 중 하나 이상을 포함할 수 있다.
본원에 사용된 바와 같이, 용어 "몰리브덴칼코지나이드할라이드(molybdenum chalcogenide halide)"는 적어도 몰리브덴 성분, 할라이드 성분 및 칼코겐 성분을 포함하는 반응물을 지칭할 수 있으며, 여기서 칼코겐은 산소(O ), 황(S), 셀레늄(Se) 및 텔루륨(Te)을 포함하는 주기율표의 IV족 원소이다.
본원에 사용된 바와 같이, 용어 "몰리브덴옥시할라이드(molybdenum oxyhalide)"는 적어도 몰리브덴 성분, 산소 성분 및 할라이드 성분을 포함하는 반응물을 지칭할 수 있다.
본원에 사용된 바와 같이, 용어 "환원제 전구체(reducing agent precursor)"는 산화 환원 화학 반응에서 다른 종에 전자를 제공하는 반응물을 지칭할 수 있다.
본원에서 사용된 바와 같이, 용어 "결정질막(crystalline film)"은 결정 구조가 적어도 단거리 범위 규칙 또는 심지어 장거리 범위 규칙을 나타내는 막을 지칭할 수 있으며, 단결정질막 및 다결정질막을 포함한다.
본원에서 사용된 바와 같이, 용어 "갭 피처(gap feature)"는 비평면형 표면의 두 표면 사이에 배치된 개구(opening) 또는 공동(cavity)을 지칭할 수 있다. 용어 "갭 피처 (gap feature)"는 기판의 표면으로부터 수직 방향으로 연장되는 2 개의 돌출된 곳 또는 기판의 표면 내로 수직으로 연장하는 움푹 들어간 곳의 대향하는 경사 측벽 사이에 배치된 개구 또는 공동을 지칭할 수 있으며, 이러한 갭 피처를 "수직 갭 피처(vertical gap feature)"라고 지칭될 수 있다. 용어 "갭 피처(gap feature)"는 또한 2 개의 대향하는 실질적 수평 표면 사이에 배치된 개구 또는 공동을 지칭할 수 있으며, 수평 표면은 수평 개구 또는 공동을 경계 짓는다. 이러한 갭 피처는 "수평 갭 피처(horizontal gap feature)"라고 지칭될 수 있다.
본원에 사용된 것처럼, 용어 "심(seam)"은 갭 충진 금속(gap fill metal)에 형성된 모서리의 접촉에 의해 형성된 하나 이상의 공극(void) 또는 선을 지칭할 수 있으며, "심"은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경(TEM)을 사용하여 확인될 수 있으며, 만약 관찰에서 수직 갭 충진 금속에서의 명확한 수직선 또는 하나 이상의 수직 공극 또는 수평 갭 충진 금속에서의 명확한 수평선 또는 하나 이상의 수평 공극이 드러나는 경우, "심"이 존재한다.
다수의 예시적인 물질들은 본 개시의 구현예를 통해 주어지고, 예시적인 물질들 각각에 주어진 화학식들을 제한적인 것으로 이해해서는 안되고, 주어진 비제한적 예시적인 물질들이 주어진 예시적 화학량론에 의해 한정되어서는 안된다라는 점을 주목해야 한다.
본 개시는 기판 표면 상의 하나 이상의 갭 피처를 충진하는 방법, 특히 주기적인 증착-에칭 공정을 이용하여 하나 이상의 갭 피처를 몰리브덴 금속막으로 충진하는 방법을 포함한다. 몰리브덴 금속 박막은 예를 들어, 낮은 전기 저항성 갭 필, 3D-NAND를 위한 라이너층, DRAM 워드 라인 피처 또는 CMOS 로직 응용의 상호 연결 물질과 같은 다수의 응용에 이용될 수 있다. 갭 피처에 몰리브덴 금속막을 증착하는 능력은 CMOS 구조와 같은 로직 응용에서의 상호 연결, 3D-NAND 및 DRAM 구조와 같은 메모리 응용에서의 워드 라인/비트 라인에 대하여 낮은 전기 비저항을 허용할 수 있다.
본 개시의 구현예는 종래의 공지된 방법보다 우수한 갭 필 공정 및 갭 필 금속 제공할 수 있다. 통상적인 종래 기술 방법에 의해 금속막으로 채워진 갭 피처를 포함하는 반도체 소자 구조의 예가 도 1a 및 도 1b에 도시되어 있으며, 도 1a는 실질적으로 수직인 갭 피처 및 관련된 갭 필 금속을 도시하고 도 1b는 실질적으로 수평인 갭 피처 및 관련된 갭 필 금속을 도시한다.
예를 들어, 도 1a는 실질적으로 수직인 갭 피처(104)를 포함하는 기판(102)을 포함하는 반도체 소자 구조(100)의 단면도를 도시하며, 실질적으로 수직인 갭 피처(104)는 금속 갭 필 재료(106)로 충진된다. 도 1a에 도시된 바와 같이, 금속 갭 필 재료(106) 내에 심(seam)(108)으로 일반 지칭되는 피처가 배치된다. 심은 금속 갭 필 재료(106)에서 갭 피처의 양 측벽으로부터 성장하는 두 개의 막의 에지가 서로 접촉하는 영역을 지칭하므로, 심(108)은 갭 피처(104)의 중심에 보편적으로 배치된다. 금속 갭 필 재료에 심(108)을 형성하는 것은 바람직하지 않으며, 반도체 소자 제조 공정에서의 열악한 장치 성능 및 후속 문제를 초래할 수 있다. 이 예에서, 심(108)은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경 (TEM)을 사용하여 관찰할 수 있는 수직선 또는 하나 이상의 거대 공극(macro-void)을 포함할 수 있으며, 관찰 결과 수직선 또는 하나 이상의 거대 공극이 갭 충진 재료(106) 내 드러나면, 심(108)이 존재한다.
추가적인 예로, 도 1b는 실질적으로 수평인 갭 피처(114)를 포함하는 기판(112)을 포함하는 반도체 소자 구조(110)의 단면도를 도시하며, 실질적으로 수평인 갭 피처(114)는 금속 갭 필 재료(116)로 충진된다. 도 1b에 도시된 바와 같이, 금속 갭 필 재료(116) 내에 심(118)이 배치된다. 예시적인 수평 갭 피처(114)와 같은 갭 피처를 충진하기 위한 종래 방법은 수평 갭 피처(114)의 입구를 향한 금속 갭 필 재료의 우선적 증착을 포함할 수 있다. 수평 갭 피처(114)의 입구를 향한 이런 우선적 증착은 수평 갭 피처(114)의 입구에 근접한 막의 조기 폐쇄를 초래할 수 있는데, 즉 수평 갭 피처를 금속막으로 완전히 충진하기 전에 2 개의 대향하는 면은 수평 갭 피처 입구에 근접하게 만남으로써 심(118)을 형성하는 결과를 가진다. 따라서 이 예에서, 심(118)은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경 (TEM)을 사용하여 관찰할 수 있는 수평선 또는 하나 이상의 거대 공극(macro-void)을 포함할 수 있으며, 관찰 결과 수평선 또는 하나 이상의 거대 공극이 갭 충진 재료(116) 내 드러나면, 심(108)이 존재한다.
따라서, 소자 성능 및 소자 수율에 유해한 효과를 가질 수 있는 심 피처 형성 없이 두 수평 및 수직 갭 피처를 포함하는 하나 이상의 갭 피처를 금속 갭 필 재료로 충진할 수 있는 방법 및 이와 관련된 반도체 소자 구조가 바람직하다.
따라서, 본 개시의 구현예는 기판 표면 상의 갭 피처를 충진하는 방법을 포함할 수 있다. 상기 방법은, 하나 이상의 갭 피처를 포함하는 기판을 반응 챔버에 제공하는 단계; 및 주기적 증착-에칭 공정에 의해 몰리브덴 금속막으로 상기 하나 이상의 갭 피처를 부분적으로 충진하는 단계를 포함하며, 상기 주기적 증착-에칭 공정의 단위 사이클은 제1 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 몰리브덴 금속막으로 하나 이상의 갭 피처를 부분적으로 충진하는 단계, 및 몰리브덴 금속막을 부분적으로 에칭하는 단계를 포함한다. 상기 방법은 또한, 제2 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 하나 이상의 갭 피처를 충진하는 단계를 포함할 수 있다.
기판 상에 또는 기판 내에 하나 이상의 갭 피처를 충진하기 위한 예시적인 공정(200)이 도 2를 참조하여 도시된다. 예시적인 공정(200)은 하나 이상의 갭 피처를 몰리브덴 금속막으로 부분적으로 충진하는 주기적 증착-에칭 페이즈(205) 및 하나 이상의 갭 피처를 충진하기 위한 제2 주기적 증착 공정을 포함할 수 있으며, 상기 예시적인 공정(200)은 심의 형성 없이 갭 필 몰리브덴 금속막을 형성하도록 이용될 수 있다.
보다 상세히 및 도 2를 참조하여, 예시적인 갭 필 공정(200)은 하나 이상의 갭 피처를 포함하는 기판을 반응 챔버에 제공하고 기판을 원하는 공정 온도로 가열하는 단계를 포함하는 공정 블록(210)에 의해 시작될 수 있다.
본 개시의 일부 구현예에서, 기판은 예를 들어 트렌치 구조, 수직 갭, 수평 갭 및/또는 핀 구조와 같은 고 종횡비 피처를 포함하는 패터닝된 기판을 포함할 수 있다. 예를 들어, 기판은 하나 이상의 실질적으로 수직인 갭 피처 및/또는 하나 이상의 실질적으로 수평인 갭 피처를 포함할 수 있다. 용어 "갭 피처 (gap feature)"는 기판의 표면으로부터 수직 방향으로 연장되는 2 개의 돌출된 곳 또는 기판의 표면 내로 수직으로 연장하는 움푹 들어간 곳의 대향하는 경사 측벽 사이에 배치된 개구 또는 공동을 지칭할 수 있으며, 이러한 갭 피처를 "수직 갭 피처(vertical gap feature)"라고 지칭될 수 있다. 용어 "갭 피처(gap feature)"는 또한 2 개의 대향하는 실질적 수평 표면 사이에 배치된 개구 또는 공동을 지칭할 수 있으며, 수평 표면은 수평 개구 또는 공동을 경계 짓는다. 이러한 갭 피처는 "수평 갭 피처(horizontal gap feature)"라고 지칭될 수 있다. 본 개시의 구현예는 수직 갭 피처 및/또는 수평 갭 피처를 충진하는 것으로 한정되지 않으며, 기판 내에 및/또는 기판 상에 배치된 갭 피처의 다른 기하 구조도 개시된 공정에 의해 몰리브덴 금속으로 충진될 수 있음을 주목해야 한다.
본 개시의 일부 구현예에서, 기판은 하나 이상의 실질적으로 수직인 갭 피처를 포함할 수 있으며, 수직 갭 피처는 2:1 초과, 5:1 초과, 10:1 초과, 25:1 초과, 50:1 초과, 심지어 100:1 초과의 종횡비(높이:폭)를 가질 수 있으며, 여기 예에서 사용된 "초과"는 갭 피처의 높이에서 더 큰 거리를 지칭한다. 본 개시의 일부 구현예에서, 기판은 하나 이상의 실질적으로 수평인 갭 피처를 포함할 수 있으며, 수평 갭 피처는 1:2 초과, 1:5 초과, 1:10 초과, 1:25 초과, 1:50 초과, 심지어 1:100 초과의 종횡비(높이:폭)를 가질 수 있으며, 여기 예에서 사용된 "초과"는 갭 피처의 폭에서 더 큰 거리를 지칭한다.
기판은 반도체 재료, 유전체 재료 및 금속 재료를 포함하지만 이에 제한되지 않는 하나 이상의 재료와 재료 표면을 포함할 수 있다.
일부 구현예에서, 기판은 실리콘(Si), 게르마늄(Ge), 게르마늄주석(GeSn), 실리콘게르마늄(SiGe), 실리콘게르마늄주석(SiGeSn), 실리콘카바이드(SiC), 또는 III-V족 반도체 재료를 포함할 수 있다.
일부 구현예에서, 기판은 순수 금속, 금속 질화물, 금속 탄화물, 금속 붕화물 및 이들의 혼합물과 같은 금속성 재료를 포함할 수 있지만, 이에 한정되는 것은 아니다.
일부 구현예에서, 기판은 실리콘 함유 유전체 재료 및 금속 산화물과 같은(이에 한정되지 않음) 유전체 재료를 포함할 수 있다. 일부 구현예에서, 기판은 실리콘디옥사이드(SiO2), 실리콘서브옥사이드, 실리콘나이트라이드(Si3N4), 실리콘옥시나이트라이드(SiON), 실리콘옥시카바이드(SiOC), 실리콘옥시카바이드나이트라이드(SiOCN), 실리콘카본나이트라이드(SiCN)(이에 한정되지 않음)와 같은 실리콘 함유 유전체 재료를 포함하는 하나 이상의 유전체 표면을 포함할 수 있다. 일부 구현예에서 기판은 알루미늄옥사이드(Al2O3), 하프늄옥사이드(HfO2), 탄탈륨옥사이드(Ta2O5), 지르코늄옥사이드(ZrO2), 티타늄옥사이드(TiO2), 하프늄실리케이트(HfSiOx) 및 란타늄옥사이드(La2O3)(이에 한정되지 않음)와 같은 금속 산화물을 포함하는 유전체 표면을 포함할 수 있다.
본 개시의 일부 구현예에서, 기판은 표면 반도체층이 그 사이에 배치된 중간 매립 산화물(BOX)을 갖는 벌크 지지체 위에 배치되는 엔지니어링된 기판을 포함할 수 있다.
패터닝된 기판은 기판의 표면 내로 또는 표면 위로 형성된 반도체 소자 구조를 포함할 수 있는 기판을 포함할 수 있고, 예를 들어 패터닝된 기판은 트랜지스터 및/또는 메모리 요소와 같이 부분적으로 제조된 반도체 소자 구조를 포함할 수 있다. 일부 구현예에서, 기판은 단결정질 표면 및/또는 하나 이상의 이차 표면을 포함할 수 있고, 상기 이차 표면은 비단결정질 표면, 예컨대 다결정질 표면 및/또는 비정질 표면을 포함할 수 있다. 단결정질 표면은, 예를 들어, 실리콘(Si), 실리콘 게르마늄(SiGe), 게르마늄 주석(GeSn), 게르마늄(Ge)중 하나 이상을 포함할 수 있다. 다결정질 또는 비정질 표면은 옥사이드, 옥시나이트라이드, 옥시카바이드, 나이트라이드 또는 이의 혼합물과 같은 유전체 재료를 포함할 수 있다.
몰리브덴 금속막으로 하나 이상의 갭 피처를 충진하는 데 사용될 수 있는 리액터 또는 반응 챔버는 주기적 증착-에칭 공정을 수행하도록 구성될 수 있으며, 상기 공정의 증착 단계는 예를 들어, 원자층 증착 또는 주기적 화학 기상 증착과 같은 주기적 증착 공정을 포함할 수 있다. 따라서, 개시의 구현예를 수행하기에 적합한 리액터 또는 반응 챔버는 전구체를 제공하도록 구성된 CVD 리액터뿐만 아니라 ALD 리액터를 포함할 수 있다. 일부 구현예에 따라, 샤워헤드 리액터가 사용될 수 있다. 일부 구현예에 따라, 크로스 플로우, 배치, 미니배치 또는 공간 ALD 리액터가 사용될 수 있다.
본 개시의 일부 구현예에서, 배치식 리액터가 사용될 수 있다. 일부 구현예에서, 수직형 배치식 리액터가 사용될 수 있다. 다른 구현예에서, 배치식 리액터는 10개 이하의 웨이퍼, 8개 이하의 웨이퍼, 6개 이하의 웨이퍼, 4개 이하의 웨이퍼 또는 2개의 웨이퍼를 수용하도록 구성된 미니 배치식 리액터를 포함한다. 배치식 리액터가 사용되는 일부 구현예에서, 웨이퍼 대 웨이퍼 비-균일도는 3%(1 시그마) 미만, 2% 미만, 1% 미만 또는 심지어 0.5% 미만이다.
본원에서 설명되는 예시적인 몰리브덴 갭 필 공정은 클러스터 툴에 연결된 리액터 또는 반응 챔버에서 선택적으로 수행될 수 있다. 클러스터 툴에서, 각각의 반응 챔버는 한 유형의 공정에 전용되기 때문에, 각각의 모듈 내 반응 챔버의 온도는 일정하게 유지될 수 있으며, 이로부터 공정이 각각 실행되기 전에 기판이 공정 온도로 가열되는 리액터에 비해 처리량이 향상된다. 추가적으로 클러스터 툴에서는, 기판들 사이의 원하는 공정 압력 레벨까지 반응 챔버를 펌핑하는 시간이 줄어들 수 있다. 본 개시의 일부 구현예에서, 본원에 개시된 예시적인 몰리브덴 금속막 갭 필 공정은 다수의 반응 챔버를 포함하는 클러스터 툴에서 수행될 수 있으며, 각각의 개별 반응 챔버는 기판을 개별적인 전구체 가스에 노출시키는 데 사용될 수 있으며, 기판은 다수의 전구체 가스에 노출시키기 위해 상이한 반응 챔버 사이에서 이송될 수 있으며, 기판의 이송은 기판의 산화/오염을 방지하기 위해 제어된 분위기 하에서 수행된다. 예를 들어, 본원에 설명된 주기적 증착-에칭 공정은 증착 단계 및 에칭 단계를 포함할 수 있고, 클러스터 툴과 관련된 제1 반응 챔버는 증착 단계에 이용될 수 있고, 동일한 클러스터 툴과 관련된 제2 반응 챔버는 에칭 단계에 이용될 수 있으며, 제어된 환경 하에서 상기 제1 반응 챔버와 제2 반응 챔버 사이에서 전후 이송이 수행되어 기판 및 관련 금속막의 오염 또는 열화를 방지한다. 본 개시의 일부 구현예에서, 본 개시의 몰리브덴 금속막 갭 필 공정은 다수의 반응 챔버를 포함하는 클러스터 툴에서 수행될 수 있으며, 각각의 개별 반응 챔버는 기판을 다른 온도로 가열하도록 구성될 수 있다.
일부 구현예에서, 본 개시의 갭 필 공정은 로드락을 구비할 수 있는 단일 독립형 리액터에서 수행될 수 있다. 이러한 경우, 각 공정 실행 사이에 반응 챔버를 냉각할 필요가 없다.
일단 기판이 예를 들어, 원자층 증착 반응 챔버 또는 화학 기상 증착 반응 챔버와 같은 적절한 반응 챔버 내에 배치되면, 기판은 원하는 공정 온도로 가열될 수 있다. 일부 구현예에서, 예시적인 공정(100)의 주기적 증착-에칭 페이즈(205)는 일정한 기판 온도에서 수행될 수 있다. 대안적인 구현예에서, 기판은 주기적 증착-에칭 페이즈(205)의 증착 단계에 대한 제1 기판 온도 및 에칭 단계에 대한 제2 기판 온도로 가열될 수 있다.
본 개시의 일부 구현예에서, 기판은 약 800℃ 미만, 또는 약 700℃ 미만, 또는 약 600℃ 미만, 또는 약 500℃ 미만, 또는 약 400℃ 미만, 또는 약 300℃ 미만, 또는 심지어 약 200℃ 미만의 기판 온도로 가열될 수 있다. 본 개시의 일부 구현예에서, 기판 온도는 200℃ 내지 800℃, 또는 300℃ 내지 700℃, 또는 400℃ 내지 600℃, 또는 525℃ 내지 575℃ 사이의 예시적인 공정(200)의 기판 온도로 가열될 수 있다.
또한, 바람직한 공정 온도, 즉 원하는 기판 온도를 달성하기 위해, 예시적인 갭 필 공정(200)은 갭 필 공정(200) 동안 반응 챔버 내의 압력을 또한 조절할 수 있어서, 갭 필 공정 및 하나 이상의 갭 피처 내에 배치된 몰리브덴 금속막의 바람직한 특성을 얻는다. 예를 들어, 본 개시의 일부 구현예에서, 예시적인 갭 필 공정(200)은 300 Torr 미만, 또는 200 Torr 미만, 또는 100 Torr 미만 또는 50 Torr 미만, 또는 25 Torr 미만, 또는 심지어 10 Torr 미만의 반응 챔버 압력으로 조절된 반응 챔버 내에서 수행될 수 있다. 일부 구현예에서, 예시적인 갭 필 공정(200) 동안 반응 챔버 내의 압력은 10 Torr 내지 300 Torr 사이, 또는 20 Torr 내지 80 Torr 사이, 또는 40 Torr 내지 50 Torr 또는 20 Torr 이상의 압력으로 조절될 수 있다.
일단 기판이 원하는 공정 온도로 가열되고 반응 챔버 내의 압력이 원하는 수준으로 조절되면, 예시적인 갭 필 공정(100)은 주기적 증착-에칭 페이즈(205)에 의해 계속될 수 있다. 일부 구현예에서, 주기적 증착-에칭 페이즈(205)는 제1 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행함으로써 하나 이상의 갭 피처를 몰리브덴 금속막으로 부분적으로 충진하는 단계를 포함하는 공정 블록(220)에 의해 시작될 수 있다. 공정 블록(220) 및 그 구성 서브 공정 블록은 도 3을 참조하여 보다 상세하게 설명되며, 도 3은 몰리브덴 금속막으로 하나 이상의 갭 피처를 부분적으로 충진하기 위해 하나 이상의 갭 피처 내에 몰리브덴 금속막을 증착하기 위한 예시적인 주기적 증착 공정을 도시한다.
도 3을 참조하여 보다 상세히, 몰리브덴 금속 충진으로 하나 이상의 갭 피처를 부분적으로 충진하는 데 이용되는 공정 블록(220)은 예를 들어 원자층 증착(ALD) 공정 또는 주기적 화학 기상 증착(CCVD) 공정과 같은 제1 주기적 증착 공정을 포함할 수 있다.
주기적 증착 공정의 비제한적이고 예시적인 구현예는 원자층 증착(ALD)을 포함할 수 있으되, ALD는 일반적으로 자기 제한적 반응에 기반하고, 이에 의해 교대 순차적인 반응물 펄스가 증착 사이클당 약 하나의 원자(또는 분자) 단층을 증착하기 위해 사용된다. 증착 조건 및 전구체는 통상적으로 자기 포화 반응을 제공하도록 선택되어, 하나의 반응물의 흡착된 층이 동일한 반응물의 기상 반응물과 비반응성인 표면 종결부를 남긴다. 기판은 이전의 종결부와 반응하는 상이한 반응물과 후속적으로 접촉되어, 연속된 증착을 가능하게 한다. 따라서, 교번 펄스의 각각의 사이클은 통상적으로 원하는 재료를 약 단일층 이하로 남긴다. 그러나, 전술된 바와 같이, 당업자는 하나 이상의 ALD 사이클에서, 예를 들면 공정의 교번 특성에도 불구하고 일부 기상 반응이 발생하는 경우, 단일층보다 많은 재료가 증착될 수 있음을 인식할 것이다.
몰리브덴 금속막으로 하나 이상의 갭 피처를 부분적으로 충진하기 위해 이용되는 ALD형 공정에서, 단위 증착 사이클은, 기판을 제1 기상 반응물에 노출시키는 단계, 임의의 미반응된 제1 반응물 및 반응 부산물을 반응 챔버로부터 제거하는 단계, 및 기판을 제2 기상 반응물에 노출시킨 단계, 이어서 제2 제거 단계를 포함한다. 본 개시의 일부 구현예에서, 제1 기상 반응물은 몰리브덴 전구체를 포함할 수 있고 제2 기상 반응물은 환원제 전구체를 포함할 수 있다.
반응물 사이의 기상 반응을 방지하고 자기 포화 표면 반응을 가능하게 하도록, 전구체는 아르곤(Ar) 또는 질소(N2)와 같은 불활성 가스에 의해 분리될 수 있다. 일부 구현예에서, 가스상 반응물을 방지하기 위해 사용되는 불활성 가스는 아르곤(Ar)으로 이루어질 수 있으며, 아르곤은 하나 이상의 갭 피처의 표면의 질화를 방지하는 데 이용될 수 있다. 그러나, 일부 구현예에서 기판은 제1 기상 반응물 및 제2 기상 반응물과 개별적으로 접촉되도록 이동될 수 있다. 반응은 자기 포화되기 때문에, 기판의 엄격한 온도 제어 및 전구체의 정확한 투여량 제어는 요구되지 않을 수 있다. 그러나, 기판 온도는 입사 가스종이 단층으로 응축되지 않거나 표면에서 분해되지 않도록 하는 것이 바람직하다. 잉여 화학 물질 및 반응 부산물이 존재하는 경우, 기판이 다음 반응 화학 물질과 접촉하기 전에 이들은, 예를 들어 반응 공간을 퍼징하거나 기판을 이동함으로써 기판 표면으로부터 제거된다. 원하지 않는 가스 분자들은 불활성 퍼징 가스의 도움으로 반응 공간으로부터 효과적으로 방출될 수 있다. 진공 펌프는 퍼징을 돕는 데 사용될 수 있다.
본 개시의 일부 비제한적인 구현예에 따라, 공정 블록(220)(도 3)은 하나 이상의 갭 피처를 몰리브덴 금속막으로 부분적으로 충진하기 위해 이용되는 ALD 공정을 포함할 수 있다. 본 개시의 일부 구현예에서, 단위 ALD 사이클은 구별된 두 개의 증착 스텝 또는 스테이지를 포함한다. 증착 사이클의 제1 단계("몰리브덴 단계")에서, 기판 표면 상에 증착을 원하는 기판 표면은 기판 표면 상으로 화학 흡착하는 몰리브덴 전구체를 포함하는 제1 기상 반응물과 접촉될 수 있고, 기판 표면 상에 반응물종의 대략 단일층 이하를 형성한다. 증착의 제2 단계는 기판 표면 상에 증착을 원하는 기판 표면은 환원제 전구체를 포함하는 제2 기상 반응물과 접촉될 수 있다("환원 단계").
보다 상세하게, 도 3은 하나 이상의 갭 피처를 몰리브덴 금속 막으로 부분적으로 충진하기 위한 예시적인 몰리브덴 증착 공정(220)을 도시하며, 주기적 증착 페이즈(305)를 포함한다. 예시적인 원자층 증착 공정(220)은 기판을 제1 기상 반응물과 접촉시키는 단계 및 특히 일부 구현예에서 기판을 몰리브덴할라이드 전구체 즉 몰리브덴 전구체를 포함하는 제1 기상 반응물에 접촉시키는 단계를 포함하는 서브 공정 블록(310)으로 시작될 수 있다.
본 개시의 일부 구현예에서, 상기 몰리브덴할라이드 전구체는 몰리브덴클로라이드 전구체, 몰리브덴요오드 전구체 또는 몰리브덴브로마이드 전구체를 포함할 수 있다. 일부 구현예에서, 몰리브덴할라이드 전구체는 몰리브덴칼코지나이드를 포함할 수 있고, 특정 구현예에서, 몰리브덴칼코지나이드 전구체는 몰리브덴칼코지나이드할라이드를 포함할 수 있다. 예를 들어, 몰리브덴칼코지나이드할라이드 전구체는 몰리브덴옥시클로라이드, 몰리브덴옥시요오드 또는 몰리브덴옥시브로마이드를 포함하는 군으로부터 선택된 몰리브덴옥시할라이드를 포함할 수 있다. 본 개시의 특정 구현예에서, 몰리브덴 전구체는 몰리브덴(IV)디클로라이드디옥사이드(MoO2Cl2)를 포함하나 이에 제한되지 않는 몰리브덴옥시클로라이드를 포함할 수 있다.
본 개시의 일부 구현예에서, 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계는 약 0.1 초 내지 약 60 초, 약 0.1 초 내지 약 10 초, 또는 약 0.5 초 내지 5.0 초, 또는 심지어 1.0 초 내지 2.0 초의 시간 동안 기판에 몰리브덴 할라이드 전구체를 접촉시키는 단계를 포함할 수 있다. 추가적으로, 몰리브덴할라이드 전구체로 기판을 접촉시키는 동안 몰리브덴할라이드 전구체의 유속은 1000 sccm 미만, 또는 500 sccm 미만, 또는 100 sccm 미만, 또는 10 sccm 미만 또는 심지어 1 sccm 미만일 수 있다. 또한, 기판을 몰리브덴할라이드 전구체와 접촉시키는 동안, 몰리브덴 전구체의 유속은 약 1 내지 2000 sccm, 약 5 내지 1000 sccm, 또는 약 10 내지 약 500 sccm 범위일 수 있다.
도 3의 예시적인 공정 블록(220)에 의해 도시된 바와 같이 몰리브덴 금속막으로 하나 이상의 갭 피처를 부분적으로 충진하기 위한 예시적인 원자층 증착 공정은 반응 챔버를 퍼징함으로써 계속될 수 있다. 예를 들어, 과잉의 제1 기상 반응물 및 반응 부산물(존재한다면)은 예를 들어, 불활성 가스로 펌핑함으로써 기판의 표면으로부터 제거될 수 있다. 본 개시의 일부 구현예에서, 퍼지 공정은 기판 표면이 약 5.0 초 미만, 또는 약 3.0 초 미만, 또는 약 2.0 초 미만의 시간 동안 퍼지되는 퍼지 사이클을 포함할 수 있다. 예를 들어 과잉의 몰리브덴 전구체와 같은 과잉의 제1 기상 반응물 및 임의의 가능한 반응 부산물은 반응 챔버와 유체 연통하는 펌핑 시스템에 의해 생성된 진공의 도움으로 제거될 수 있다.
퍼지 사이클로 반응 챔버를 퍼징할 때, 예시적인 원자층 증착 공정 블록(220)은 기판을 제2 기상 반응물과 접촉시키는 단계를 포함하는 서브 공정 블록(320)에 의해 주기적 증착 페이즈(305)의 제2 단계로 계속될 수 있고, 특히 환원제 전구체("환원성 전구체")를 포함하는 제2 기상 반응물로 기판을 접촉시키는 단계를 포함한다.
본 개시의 일부 구현예에서 환원제 전구체는 포밍 가스(H2 + N2), 암모니아(NH3), 히드라진(N2H4), 알킬히드라진(예, 제3차부틸히드라진(C4H12N2)), 분자 수소(H2), 수소 원자(H), 수소 플라즈마, 수소 래디컬, 수소 여기종, 알코올, 알데히드, 카르복실산, 보레인 또는 아민의 적어도 하나를 포함할 수 있다. 다른 구현예에서, 환원제 전구체는 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 저메인(GeH4), 디저메인(Ge2H6), 보레인(BH3), 또는 디보레인(B2H6)의 적어도 하나를 더 포함할 수 있다. 본 개시의 특정 구현예에서, 환원제 전구체는 분자 수소(H2)를 포함할 수 있다.
본 개시의 일부 구현예에서, 기판을 환원제 전구체와 접촉시키는 단계는 기판을 환원제 전구체와 약 0.01 초 내지 약 180 초 사이, 약 0.05 초 내지 약 60 초 사이, 또는 약 0.1 초 내지 약 30.0 초 사이의 시간 동안 접촉시키는 단계를 포함할 수 있다. 또한, 기판을 환원제 전구체와 접촉시키는 동안, 환원제 전구체의 유속은 30 slm 미만, 또는 15 slm 미만, 또는 10 slm 미만, 또는 5 slm 미만, 1 slm 미만, 또는 심지어 0.1 slm 미만일 수 있다. 또한, 환원제 전구체와 기판의 접촉 동안 기판으로 환원제 전구체의 유속은 약 0.1 내지 30 slm, 약 5 내지 15 slm, 또는 10 slm 이상일 수 있다.
기판을 환원제 전구체와 접촉 시, 하나 이상의 갭 피처를 몰리브덴 금속막으로 적어도 부분적으로 충진하는 예시적인 공정 블록(220)은 반응 챔버를 퍼징함으로써 진행될 수 있다. 예를 들어, 과잉의 환원제 전구체 및 반응 부산물은(존재하면) 예를 들어 불활성 가스를 흘리면서 펌핑함으로써 기판 표면으로부터 제거될 수 있다. 본 개시의 일부 구현예에서, 퍼지 공정은 약 0.1 초 내지 약 30 초, 또는 약 0.5 초 내지 약 10 초, 또는 심지어 약 1 초 내지 2 초 사이의 시간 동안 기판 표면을 퍼징하는 단계를 포함할 수 있다.
반응 챔버로부터의 제2 기상 반응물, 즉 환원제 전구체(및 임의의 반응 부산물)의 퍼지가 완료되면, 예시적인 원자층 증착 공정(220)의 주기적 증착 페이즈(305)는 결정 게이트(340)로 계속될 수 있으되, 결정 게이트(340)는 하나 이상의 갭 피처 내에 증착된 몰리브덴 금속막의 두께에 의존한다. 예를 들어, 본 개시의 구현예는 하나 이상의 갭 피처를 부분적으로 충진하기 위해 주기적 증착-에칭 공정을 이용할 수 있으므로 하나 이상의 갭 피처 내에 증착된 몰리브덴 막의 두께는 갭 피처 입구에서 갭 피처의 조기 폐쇄를 야기할 수 있는 두께 미만이어야 하는데, 이것이 원하지 않는 심 형성을 초래할 수 있다. 예를 들어, 몰리브덴 금속막이 원하는 갭 피처 기하 형상을 위해 불충분한 두께로 증착되는 경우, 주기적 증착 페이즈(305)는 서브 공정 블록(310)으로 돌아가고 추가 증착 사이클을 계속함으로써 반복될 수 있으며, 단위 증착 사이클은 기판을 몰리브덴할라이드 전구체와 접촉시키는 단계(서브 공정 블록(310)), 반응 챔버를 퍼징하는 단계, 기판을 환원제 전구체와 접촉시키는 단계(서브 공정 블록(320)), 및 반응 챔버를 다시 퍼징하는 단계를 포함할 수 있다. 주기적인 증착 페이즈(305)의 단위 증착 사이클은 몰리브덴 금속막의 원하는 두께가 하나 이상의 갭 피처 내에 증착되어서 하나 이상의 갭 피처를 부분적으로 충진할 때까지 1 회 이상 반복될 수 있다. 따라서, 하나 이상의 갭 피처 내에 증착된 몰리브덴 금속막의 원하는 두께는 충진되는 갭 피처의 종횡비에 의존할 수 있다. 일단 몰리브덴 금속막이 원하는 두께로 증착되면, 예시적인 원자층 증착 공정 블록(220)은 서브 공정 블록(340)을 통해 빠져나올 수 있고, 하나 이상의 부분적으로 충진된 갭 피처를 포함하는 기판은 도1의 예시적인 갭 필 공정(100)을 받을 수 있다.
본 개시의 일부 구현예에서, 기판이 제1 기상 반응물(예, 몰리브덴 전구체) 및 제2 기상 반응물(예, 환원제 전구체)과 접촉하는 순서는, 기판이 제2 기상 반응물과 먼저 접촉하고 이어서 제1 기상 반응물과 접촉하는 순서일 수 있는 것으로 이해되어야 한다. 또한, 일부 구현예에서, 예시적인 공정 블록(220)의 주기적 증착 페이즈(305)는 기판을 제2 기상 반응물과 1 회 이상 접촉시키기 전에 기판을 제1 기상 반응물과 1 회 이상 접촉시키는 단계를 포함할 수 있다. 또한, 일부 구현예에서, 예시적인 공정 블록(220)의 주기적 증착 페이즈(305)는 기판을 제1 기상 반응물과 1 회 이상 접촉시키기 전에 기판을 제2 기상 반응물과 1 회 이상 접촉시키는 단계를 포함할 수 있다.
일부 구현예에서, 하나 이상의 갭 피처를 부분적으로 충진하기 위해 이용되는 주기적 증착 공정은 하이브리드 ALD/CVD 또는 주기적 CVD 공정일 수 있다. 예를 들어, 일부 구현예에서, ALD 공정의 성장 속도는 CVD 공정에 비해 낮을 수 있다. 성장 속도를 증가시키는 하나의 접근법은 ALD 공정에서 통상적으로 사용되는 것보다 높은 기판 온도에서 작동시켜, 화학 기상 증착 공정을 유발할 수 있으나, 전구체의 순차적 도입의 장점을 여전히 가지며, 이러한 공정을 주기적 CVD라고 지칭될 수 있다. 일부 구현예에서, 주기적 CVD 공정은 2 개 이상의 전구체를 반응 챔버에 도입하는 단계를 포함할 수 있으며, 반응 챔버 내 2 개 이상의 전구체 사이의 중첩 시간일 수 있어서 증착의 ALD 성분 및 증착의 CVD 성분 양쪽을 초래한다. 예를 들어, 주기적 CVD 공정은 하나의 전구체의 연속적인 유동 및 제2 전구체의 반응 챔버 내로의 주기적 펄스화를 포함할 수 있다.
일단 초기 몰리브덴 금속이 증착되면, 즉 공정 블록(220)을 이용하여 몰리브덴 금속막이 하나 이상의 갭 피처를 부분적으로 충전하면, 예시적인 갭 필 공정(100)(도 2)의 주기적 증착-에칭 페이즈(205)는 몰리브덴 금속막을 부분적으로 에칭하는 단계를 포함하는 공정 블록(230)을 포함할 수 있는 에칭 단계를 계속할 수 있다.
보다 상세하게, 몰리브덴 금속막의 부분 에칭 동안, 기판은 증착 단계(즉, 공정 블록 (220))에서 이용되는 동일한 공정 온도에서 유지될 수 있거나, 대안적으로 몰리브덴 금속막의 부분 에칭 동안 공정 온도는 증착 단계에서 이용되는 온도와 상이할 수 있다. 일부 구현예에서, 공정 온도, 즉 기판 온도는 에칭 단계(즉, 공정 블록(230)) 동안 약 800℃ 미만, 또는 약 700℃ 미만, 또는 약 600℃ 미만, 또는 약 500℃ 미만, 또는 약 400℃ 미만, 또는 약 300℃ 미만, 또는 심지어 약 200℃ 미만의 기판 온도로 가열될 수 있다. 본 개시의 일부 구현예에서, 에칭 단계 동안의 기판 온도는 200℃ 내지 800℃ 사이, 또는 300℃ 내지 700℃ 사이, 또는 400℃ 내지 600℃ 사이, 또는 525℃ 내지 575℃ 사이일 수 있다.
원하는 공정 온도, 즉 원하는 기판 온도를 달성하는 것 외에도, 몰리브덴 금속막의 부분 에칭 동안, 반응 챔버 압력은 증착 단계(즉, 공정 블록 (220))에서 이용되는 동일한 압력에서 조절될 수 있거나, 대안적으로 몰리브덴 금속막의 부분 에칭 동안 반응 챔버 압력은 증착 단계에서 이용되는 압력과 상이할 수 있다. 일부 구현예에서, 몰리브덴 금속막을 부분적으로 에칭하기 위해 이용되는 에칭 단계 동안 반응 챔버 내의 압력은 300 Torr 미만, 또는 200 Torr 미만, 또는 100 Torr 미만, 또는 50 Torr 미만, 또는 25 Torr 미만, 또는 심지어 10 Torr 미만의 반응 챔버 압력으로 조절될 수 있다. 일부 구현예에서, 주기적인 증착-에칭 페이즈(205)의 에칭 단계 동안 반응 챔버 내의 압력은 10 Torr 내지 300 Torr 사이, 또는 20 Torr 내지 80 Torr 사이, 또는 40 Torr 내지 50 Torr 또는 20 Torr 이상의 압력으로 조절될 수 있다.
원하는 기판 온도 및 반응 챔버 압력을 얻을 시, 몰리브덴 금속막을 부분적으로 에칭하는 공정은 에천트 가스를 반응 챔버로 흐르게 하고 몰리브덴 금속막을 에천트 가스와 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서 에천트 가스는 예를 들어 염소 기체(Cl2) 또는 염산 기체(HCl)과 같은 클로라이드 에천트 가스를 포함할 수 있다. 본 개시의 특정 구현예에서, 클로라이드 에천트 가스는 예를 들어 몰리브덴펜타클로라이드(MoCl5)와 같은 몰리브덴클로라이드를 포함할 수 있다. 따라서, 일부 구현예에서, 몰리브덴 금속막의 증착을 위해 사용되는 전구체 및 몰리브덴 금속막을 부분적으로 에칭하기 위해 이용되는 에천트 가스는 모두 몰리브덴 성분을 포함한다.
본 개시의 일부 구현예에서, 몰리브덴 금속막을 부분적으로 에칭하는 단계는 약 0.1 초 내지 약 30 초, 약 0.1 초 내지 약 10 초, 또는 약 0.5 초 내지 5.0 초, 또는 1.0 초 내지 2.0 의 시간 동안 기판 및 특히 몰리브덴 금속막을 몰리브덴클로라이드 에천트 가스와 접촉시키는 단계를 포함할 수 있다. 또한, 몰리브덴 금속막을 몰리브덴클로라이드 에천트 가스로 부분 에칭하는 동안, 몰리브덴클로라이드 에천트 가스의 유속은 5000 sccm 미만, 또는 1000 sccm 미만, 또는 500 sccm 미만, 또는 심지어 100 sccm 미만일 수 있다.
본 개시의 일부 구현예에서, 몰리브덴 금속막의 에칭 속도는 초당 10 옹스트롬 미만, 또는 초당 8 옹스트롬 미만, 또는 초당 6 옹스트롬 미만, 또는 초당 4 옹스트롬 미만, 또는 심지어 초당 2 옹스트롬 미만일 수 있다. 예를 들어, 몰리브덴 금속막의 부분 에칭은 20 옹스트롬 미만, 또는 10 옹스트롬 미만, 또는 5 옹스트롬 미만의 몰리브덴 금속막의 두께를 에칭하는 단계를 포함할 수 있다. 일부 구현에서, 몰리브덴클로라이드 에천트 가스는 하나 이상의 갭 피처의 입구에 근접한 몰리브덴 금속막을 우선적으로 에칭할 수 있어서, 후속하는 금속 갭 필 공정에 대한 하나 이상의 갭 피처에 대한 개구를 유지할 수 있다.
주기적 증착-에칭 페이즈(205)의 에칭 단계는 반응 챔버를 퍼징함으로써 계속될 수 있다. 예를 들어, 몰리브덴클로라이드 에천트 가스 및 반응 부산물은(존재하는 경우), 예를 들어, 불활성 가스로 펌핑함으로써 기판 표면으로부터 제거될 수 있다. 본 개시의 일부 구현예에서, 퍼지 공정은 기판 표면이 약 10.0 초 미만, 또는 약 5.0 초 미만, 또는 약 2.0 초 미만의 시간 동안 퍼지되는 퍼지 사이클을 포함할 수 있다. 과잉의 몰리브덴클로라이드 에천트 가스 및 임의의 가능한 반응 부산물은 반응 챔버와 유체 연통하는 펌핑 시스템에 의해 생성된 진공의 도움으로 제거될 수 있다.
예시적인 갭 필 공정(100)의 주기적인 증착-에칭 페이즈(205)는 결정 게이트(240)로 계속될 수 있으되, 결정 게이트(240)는 갭 피처에 형성된 몰리브덴 금속막의 두께에 의존한다. 예를 들어, 원하는 갭 피처를 위해 불충분한 두께로 몰리브덴 금속막이 형성되면, 주기적 증착-에칭 페이즈(205)는 공정 블록(220)으로 돌아가고 추가의 주기적 증착-에칭 사이클을 통해 계속되어 반복될 수 있으며, 단위 주기적 증착-에칭 사이클은 몰리브덴 금속막으로 하나 이상의 갭 피처를 부분적으로 충진하는 단계(공정 블록(220)), 반응 챔버를 퍼징하는 단계, 몰리브덴 금속막을 부분적으로 에칭하는 단계(공정 블록(230)), 및 다시 반응을 퍼지하는 단계를 포함할 수 있다. 주기적 증착-에칭 페이즈(205)의 단위 사이클은 몰리브덴 금속막의 원하는 두께가 하나 이상의 갭 피처 내에 형성될 때까지 1 회 이상 반복될 수 있으며, 주기적 증착-에칭 페이즈의 1 회 또는 반복에 의해 형성된 몰리브덴 금속막의 원하는 두께는 충진될 갭 피처의 종횡비에 의존할 수 있다. 일부 구현예에서, 주기적 증착-에칭 페이즈(205)는 하나 이상의 갭 피처가 몰리브덴 금속막으로 적어도 80 %, 또는 90 %, 또는 심지어 95 % 충진될 때까지 반복될 수 있다.
일단 몰리브덴 금속막이 원하는 두께로 형성되면, 주기적 증착-에칭 페이즈(205)는 중단될 수 있고, 예시적인 갭 필 공정(100)은 공정 블록(250)(상기 공정 블록은 제2 주기적 증착 공정에 의해 몰리브덴 금속막으로 하나 이상의 갭 피처를 충진하는 단계를 포함함)에 의해 계속 될 수 있다.
보다 상세하게, 주기적 증착-에칭 공정(205)은 하나 이상의 갭 피처를 몰리브덴 금속막으로 부분적으로 충진하고, 제2 주기적 증착 공정을 포함하는 제 2 증착 공정은 몰리브덴 금속막으로 하나 이상의 갭 피처를 완전하게 채우기 위해 이용될 수 있다. 제2 증착 공정(공정 블록(250))은 제2 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 하나 이상의 갭 피처를 몰리브덴 금속막으로 충진하는 단계를 포함할 수 있다. 일부 구현예에서, 제2 주기적 증착 공정은 하나 이상의 갭 피처를 몰리브덴 금속막으로 부분적으로 충진하기 위해 이용되는 제1 주기적 증착공정과 동일할 수 있다(즉, 공정 블록(220)). 따라서, 공정 블록(250)은 도 3을 참조하여 간략하게 설명되고, 상기 공정은 공정 블록(220)을 참조하여 이전에 설명되었다.
따라서, 보다 상세하게, 부분적으로 충진된 갭 피처를 포함하는 기판은 원자층 증착 공정 및/또는주기적인 화학 기상 증착 공정 중 적어도 하나를 위해 구성된 반응 챔버에 배치될 수 있다. 일부 구현예에서, 제2 주기적 증착 공정은 원자층 증착 공정 또는 주기적 화학 기상 증착 공정을 포함할 수 있다.
일부 구현에서, 제2 주기적 증착 사이클을 위해 이용되는 공정 온도는 제1 주기적 증착 공정을 위해 이용되는 온도와 동일할 수 있다. 예를 들어, 공정 온도, 즉 기판 온도는 제2 주기적 증차 공정 동안, 약 800℃ 미만, 또는 약 700℃ 미만, 또는 약 600℃ 미만, 또는 약 500℃ 미만, 또는 약 400℃ 미만, 또는 약 300℃ 미만, 또는 심지어 약 200℃ 미만의 기판 온도로 가열될 수 있다. 본 개시의 일부 구현예에서, 제2 주기적 증착 공정 동안의 기판 온도는 200℃ 내지 800℃ 사이, 또는 300℃ 내지 700℃ 사이, 또는 400℃ 내지 600℃ 사이, 또는 심지어 525℃ 내지 575℃ 사이일 수 있다.
원하는 공정 온도, 즉 원하는 기판 온도를 달성하는 것 이외에, 제 2 주기적 증착 공정 동안 반응 챔버 압력은 제1 주기적 증착 단계(즉, 공정 블록(220))에서 사용되는 동일한 압력으로 조절될 수 있다. 따라서, 일부 구현예에서, 몰리브덴 금속막으로 하나 이상의 갭 피처를 충진하는 제2 주기적 증착 공정 동안 반응 챔버 내의 압력은 300 Torr 미만, 또는 200 Torr 미만, 또는 100 Torr 미만, 또는 50 Torr 미만, 또는 25 Torr 미만, 또는 심지어 10 Torr 미만의 반응 챔버 압력으로 조절될 수 있다. 일부 구현예에서, 제2 주기적 증착 공정 동안 반응 챔버 내의 압력은 10 Torr 내지 300 Torr 사이, 또는 20 Torr 내지 80 Torr 사이, 또는 40 Torr 내지 50 Torr 또는 20 Torr 이상의 압력으로 조절될 수 있다.
본 개시의 일부 구현예에서, 제2 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행함으로써 몰리브덴 금속막으로 하나 이상의 갭 피처를 충진하는 단계는 도 3의 주기적 증착 페이즈(305)의 적어도 하나의 단위 사이클을 수행하는 단계, 즉 기판을 몰리브덴할라이드 전구체와 접촉시키는 단계(서브 공정 블록(310)), 반응 챔버를 퍼징하는 단계, 기판을 환원제 전구체와 접촉시키는 단계(서브 공정 블록(320)), 및 반응 챔버를 퍼징하는 단계를 포함할 수 있다. 몰리브덴할라이드 전구체는 전술한 바와 같이 모든 몰리브덴할라이드 전구체를 포함할 수 있으며, 특정 구현예에서, 몰리브덴할라이드 전구체는 몰리브덴(IV)디클로라이드디옥사이드(MoO2Cl2)를 포함할 수 있다. 환원제 전구체는 전술한 바와 같이 모든 환원제 전구체를 포함할 수 있으며, 특정 구현예에서, 환원제 전구체는 분자 수소(H2)를 포함할 수 있다.
제2 주기적 증착 공정(250)은 결정 게이트(340)로 계속될 수 있으되, 결정 게이트(340)는 하나 이상의 갭 피처에 형성된 몰리브덴 금속막의 두께에 의존한다. 예를 들어, 몰리브덴 금속막이 하나 이상의 갭 피처를 완전히 충진하기 위해 불충분한 두께로 증착되는 경우, 주기적 증착 페이즈(305)는 서브 공정 블록(310)으로 돌아가고 추가 증착 사이클을 계속함으로써 반복될 수 있으며, 단위 증착 사이클은 기판을 몰리브덴할라이드 전구체와 접촉시키는 단계(서브 공정 블록(310)), 반응 챔버를 퍼징하는 단계, 기판을 환원제 전구체와 접촉시키는 단계(서브 공정 블록(320)), 및 반응 챔버를 다시 퍼징하는 단계를 포함할 수 있다. 주기적 증착 페이즈(305)의 단위 증착 사이클은 하나 이상의 갭 피처가 몰리브덴 금속 막으로 완전히 충진될 때까지 1 회 이상 반복될 수 있다. 일단 몰리브덴 금속막이 하나 이상의 갭 피처를 완전히 충진하면, 예시적인 원자층 증착 공정 블록(250)은 서브 공정 블록(340)을 통해 빠져나올 수 있고, 하나 이상의 충진된 갭 피처를 포함하는 기판은 도 1의 예시적인 갭 필 공정(100)을 추가적으로 받을 수 있다. 예를 들어, 예시적인 갭 필 공정(100)의 최종 공정 블록은 갭 필 공정을 빠져 나가는 공정 블록(260)을 포함할 수 있고, 하나 이상의 몰리브덴 충진된 갭 피처를 갖는 기판은 원하는 반도체 소자 구조를 완료하기 위한 추가적인 공정을 받을 수 있다.
본 개시의 일부 구현예에서, 형성되는 몰리브덴 금속막은 약 20 옹스트롬 내지 약 250 옹스트롬, 또는 약 50 옹스트롬 내지 약 200 옹스트롬, 또는 심지어 약 100 옹스트롬 내지 약 150 옹스트롬의 두께를 가질 수 있다. 일부 구현예에서, 본원에 기술된 일부 구현예에 따라 형성된 몰리브덴 금속막은 약 20 옹스트롬 초과, 약 30 옹스트롬 초과, 약 40 옹스트롬 초과, 약 50 옹스트롬 초과, 약 60 옹스트롬 초과, 약 100 옹스트롬 초과, 약 250 옹스트롬 초과, 약 500 옹스트롬 초과의 두께를 가질 수 있다. 일부 구현예에서, 본원에 기재된 일부 구현예에 따라 형성된 몰리브덴 금속막은 약 250 옹스트롬 미만, 또는 약 100 옹스트롬 미만, 또는 약 50 옹스트롬 미만, 또는 약 25 옹스트롬 미만 약 10 옹스트롬 미만, 또는 심지어 약 5 옹스트롬 미만의 두께를 가질 수 있다.
본 개시의 일부 구현예에서, 갭 필 몰리브덴 금속막은 결정질막을 포함할 수 있다. 일부 구현예에서, 갭 필 몰리브덴 금속막은 다결정질막을 포함할 수 있으며, 다결정질 몰리브덴 금속막을 포함하는 복수의 결정질 그레인은 100 옹스트롬보다 큰 그레인 크기를 가질 수 있다.
본 개시의 일부 구현예에서, 본원의 방법에 의해 형성된 몰리브덴 금속막은 하나 이상의 수직 갭 피처 및/또는 하나 이상의 수평 갭 피처를 충진하기 위해 이용될 수 있다.
예를 들어, 도 4a는 수직 고 종횡비 갭 피처(404)를 갖는 기판(402)을 포함하는 반도체 소자 구조(400)를 도시하며, 종횡비(높이:폭)는 2:1 초과, 5:1 초과, 또는 10:1 초과, 또는 25:1 초과, 또는 50:1 초과, 또는 심지어 100:1 초과할 수 있으며, 여기 예에서 "초과"는 것은 갭 피처의 높이에서 더 큰 거리를 지칭한다.
본원의 갭 필 방법 개시는 몰리브덴 금속막(406)에 의해 도시된 바와 같이 수직 고 종횡비 피처(404)의 표면 위에 몰리브덴 금속막을 직접 형성시키는 데 이용될 수 있다. 본 개시의 일부 구현예에서, 수직 고 종횡비 피처의 표면은 유전체 재료를 포함할 수 있고, 따라서 몰리브덴 갭 필 금속(406)은 유전체 재료 표면 상에 직접 배치될 수 있다. 대안적인 구현예에서, 수직 고 종횡비 피처(404)는 예를 들어 순수 금속, 금속 질화물, 금속 탄화물, 금속 붕화물 또는 이들의 혼합물과 같은 금속성 재료를 포함할 수 있는 라이너 재료(405)로 라이닝될 수 있다. 따라서 일부 구현예에서, 수직 고 종횡비 피처의 표면은 금속성 재료를 포함할 수 있고, 따라서 몰리브덴 갭 필 금속(406)은 금속성 재료 표면 상에 직접 배치될 수 있다.
일부 구현예에서, 수직 고 종횡비 갭 피처 상에 직접적으로 몰리브덴 금속막의 스텝 커버리지는 약 50 % 이상, 또는 약 80 % 이상, 또는 약 90 % 이상, 또는 약 95 % 이상, 또는 약 98 % 이상, 또는 약 99 % 이상이다.
비제한적인 예로, 반도체 소자 구조(400)는 부분적으로 제조된 CMOS 로직 소자를 나타낼 수 있고, 기판(402)은 층간 유전체를 포함할 수 있으며 몰리브덴 금속막(406)은 하나 이상의 트랜지스터 구조(도시되지 않음)에 전기적 연결을 제공하는 금속 갭 필을 포함할 수 있다. 도 4a에 도시된 바와 같이, 몰리브덴 금속막(406)은 중간 장벽층 재료에 대한 필요 없이 유전체 재료(402)와 직접 접촉할 수 있어서, 반도체 소자 구조(400)의 전체 유효 전기 비저항을 감소시킨다.
일부 구현예에서, 몰리브덴 금속막은 갭 필 금속화로서 이용될 수 있고, 몰리브덴 금속막은 심의 형성없이 갭 피처, 즉 수직 고 종횡비 갭 피처를 충진할 수 있으며, 여기서 심은 갭 필 재료에 형성된 모서리의 접촉에 의해 형성된 선(line) 또는 하나 이상의 공극(void)을 지칭할 수 있으며, 심은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경(TEM)을 사용하여 확인될 수 있으며, 관찰 결과가 갭 충진 물질 내의 하나 이상의 수직 공극 또는 명백한 수직선이 드러나면, 심이 존재한다.
비제한적인 추가 예로, 도 4b는 하나 이상의 수평 고 종횡비 갭 피처(412)를 갖는 기판(410)을 포함하는 반도체 소자 구조(408)를 도시하며, 종횡비(높이:폭)는 종횡비(높이:폭)는 1:2 초과, 1:5 초과, 또는 1:10 초과, 또는 1:25 초과, 또는 1:50 초과, 또는 심지어 1:100 초과일 수 있으며, 여기 특정 예에서 "초과"는 갭 피처의 폭에서 더 큰 거리를 지칭한다. 본원의 증착 방법의 개시는 몰리브덴 금속막(414)에 의해 도시된 바와 같이 수평 고 종횡비 갭 피처(412)의 표면 위에 몰리브덴 금속막을 직접 형성하는 데 이용될 수 있다. 일부 구현예에서, 기판(410) 및 수평 갭 피처의 표면은 유전체 재료를 포함할 수 있고, 따라서 몰리브덴 갭 필 금속은 유전체 표면 상에 직접 배치될 수 있다. 다른 구현예에서, 기판(410)은 금속성 재료를 포함할 수 있는 임의의 라이너 재료(415)로 라이닝될 수 있고, 따라서 몰리브덴 갭 필 금속(414)은 금속성 표면 상에 직접 배치될 수 있다. 일부 구현예에서, 수평 고 종횡비 갭 피처 상에 직접적으로 몰리브덴 금속막의 스텝 커버리지는 약 50 % 이상, 또는 약 80 % 이상, 또는 약 90 % 이상, 또는 약 95 % 이상, 또는 약 98 % 이상, 또는 약 99 % 이상이다.
비제한적인 예시적인 구현예로서, 반도체 소자 구조(408)는 부분적으로 제조된 메모리 소자의 일부를 나타낼 수 있으며, 여기서 기판(412)은 알루미늄옥사이드(Al2O3)를 포함할 수 있고, 몰리브덴 금속막(406)은 금속 게이트 구조를 포함할 수 있다.
수직 갭 필 공정과 마찬가지로, 몰리브덴 금속막은 전술한 바와 같이 심의 형성없이 수평 고 종횡비 피처를 위한 갭 필 금속화로서 이용될 수 있다.
본 개시의 일부 구현예에서, 본 개시의 구현예에 따라 형성된 몰리브덴 갭 필 금속막은 낮은 전기 비저항성 몰리브덴 금속막을 포함할 수 있다. 예를 들어, 일부 구현예에서 몰리브덴 금속막은 3000 μΩ-cm 미만, 또는 1000 μΩ-cm 미만, 또는 500 μΩ-cm 미만, 또는 200 μΩ-cm 미만, 또는 100 μΩ-cm 미만, 또는 50 μΩ-cm 미만, 또는 25 μΩ-cm 미만, 또는 15 μΩ-cm 미만 또는 심지어 10 μΩ-cm 미만의 전기 비저항을 가질 수 있다. 비제한적인 예로서, 몰리브덴 금속막은 대략 100 옹스트롬 미만의 두께로 하나 이상의 갭 피처를 충진하기 위해 사용될 수 있고, 몰리브덴 금속막은 35 μΩ-cm 미만의 전기 비저항을 나타낼 수 있다. 추가적인 비제한적인 예로서, 몰리브덴 금속막은 대략 200 옹스트롬 미만의 두께로 하나 이상의 갭 피처를 충진하기 위해 사용될 수 있고, 몰리브덴 금속막은 25 μΩ-cm 미만의 전기 비저항을 나타낼 수 있다.
본 개시의 일부 구현예에서, 갭 필 방법은 낮은 원자 백분율(원자 %)의 불순물을 갖는 몰리브덴 금속막을 형성하는 단계를 더 포함할 수 있다. 예를 들어, 본 개시의 몰리브덴 금속막은 5 원자 % 미만, 또는 2 원자 % 미만, 또는 심지어 1 원자 % 미만의 불순물 농도를 포함할 수 있다. 일부 구현예에서, 몰리브덴 금속막 내에 배치된 불순물은 적어도 산소 및 염소를 포함할 수 있다.
위에 설명된 본 개시의 예시적 구현예들은 본 발명의 구현예들의 예시일 뿐이기 때문에 이들 구현예들은 첨부된 청구범위 및 그의 법적 등가물에 의해 정의되는 본 발명의 범주를 제한하지 않는다. 임의의 등가적인 실시예들은 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 도시되고 기재된 것 외에도, 기재된 요소들의 선택적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예들도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (18)

  1. 기판 표면 상에 갭 피처를 충진하는 방법으로서,
    하나 이상의 갭 피처를 포함하는 기판을 반응 챔버에 제공하는 단계;
    상기 하나 이상의 갭 피처를 주기적 증착-에칭 공정에 의해 몰리브덴 금속막으로 부분적으로 충진하는 단계(상기 주기적 증착-에칭 공정의 단위 사이클은 상기 하나 이상의 갭 피처를 제1 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 몰리브덴 금속막으로 부분적으로 충진하는 단계; 및 상기 몰리브덴 금속막을 부분적으로 에칭하는 단계를 포함함); 및
    상기 하나 이상의 갭 피처를 제2 주기적 증착 공정의 적어도 하나의 단위 사이클을 수행하여 몰리브덴 금속막으로 충진하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 기판을 300℃ 내지 700℃의 기판 온도로 가열하는 단계를 더 포함하는 방법.
  3. 제1항에 있어서, 상기 반응 챔버 내 압력을 20 Torr 초과로 조절하는 단계를 더 포함하는 방법.
  4. 제1항에 있어서, 상기 제1 주기적 증착 공정 및 상기 제2 주기적 증착 공정의 단위 사이클은,
    상기 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계; 및
    상기 기판을 환원제 전구체를 포함하는 제2 기상 반응물과 접촉시키는 단계를 포함하는 방법.
  5. 제4항에 있어서, 상기 몰리브덴할라이드 전구체는 몰리브덴칼코지나이드할라이드를 포함하는 방법.
  6. 제5항에 있어서, 상기 몰리브덴칼코지나이드할라이드는 몰리브덴옥시클로라이드, 몰리브덴옥시요오드 또는 몰리브덴옥시브로마이드를 포함하는 군으로부터 선택된 몰리브덴옥시할라이드를 포함하는 방법.
  7. 제6항에 있어서, 상기 몰리브덴옥시클로라이드는 몰리브덴(IV)디클로라이드디옥사이드(MoO2Cl2)를 포함하는 방법.
  8. 제4항에 있어서, 상기 환원제 전구체는 분자 수소(H2), 원자 수소(H), 포밍 가스(H2+N2), 암모니아(NH3), 히드라진(N2H4), 히드라진 유도체, 수소 기반 플라즈마, 수소 래디컬, 수소 여기종, 알코올, 알데히드, 카르복실산, 보레인, 아민 또는 실란 중 적어도 하나를 포함하는 방법.
  9. 제4항에 있어서, 상기 제1 주기적 증착 공정 및 상기 제2 주기적 증착 공정은 원자층 증착 공정을 포함하는 방법.
  10. 제4항에 있어서, 상기 제1 주기적 증착 공정 및 상기 제2 주기적 증착 공정은 주기적 화학 기상 증착 공정을 포함하는 방법.
  11. 제1항에 있어서, 상기 몰리브덴 막을 부분적으로 에칭하는 단계는 상기 몰리브덴 금속막을 몰리브덴할라이드 에천트와 접촉시키는 단계를 더 포함하는 방법.
  12. 제11항에 있어서, 상기 상기 몰리브덴할라이드 에천트는 몰리브덴펜타클로라이드(MoCl5)를 포함하는 방법.
  13. 제1항에 있어서, 상기 하나 이상의 갭 피처는 2:1 초과의 종횡비를 가지는 실질적으로 수직인 갭 피처를 포함하는 방법.
  14. 제1항에 있어서, 상기 하나 이상의 갭 피처는 1:2 초과의 종횡비를 가지는 실질적으로 수평인 갭 피처를 포함하는 방법.
  15. 제1항에 있어서, 상기 몰리브덴 금속막은 심(seam) 형성 없이 상기 하나 이상의 갭 피처를 충진하는 방법.
  16. 제4항에 있어서, 상기 주기적 증착 공정은 유전체 표면 상에 직접 상기 몰리브덴 금속막을 증착하는 단계를 포함하는 방법.
  17. 제4항에 있어서, 상기 주기적 증착 공정은 금속성 표면 상에 직접 상기 몰리브덴 금속막을 증착하는 단계를 포함하는 방법.
  18. 제1항의 방법에 의해 몰리브덴 금속막으로 충진된 하나 이상의 갭 피처를 포함하는 반도체 소자 구조.
KR1020180102854A 2017-08-30 2018-08-30 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 KR20190024834A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US15/691,241 2017-08-30
US15/691,241 US11056344B2 (en) 2017-08-30 2017-08-30 Layer forming method
US201762607070P 2017-12-18 2017-12-18
US62/607,070 2017-12-18
US201862619579P 2018-01-19 2018-01-19
US62/619,579 2018-01-19
US16/105,761 US20190067014A1 (en) 2017-08-30 2018-08-20 Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US16/105,761 2018-08-20

Publications (1)

Publication Number Publication Date
KR20190024834A true KR20190024834A (ko) 2019-03-08

Family

ID=65435512

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180102854A KR20190024834A (ko) 2017-08-30 2018-08-30 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조

Country Status (4)

Country Link
US (1) US20190067014A1 (ko)
KR (1) KR20190024834A (ko)
CN (1) CN109750270A (ko)
TW (1) TWI794276B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220195598A1 (en) * 2019-01-28 2022-06-23 Lam Research Corporation Deposition of metal films

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) * 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP2020047702A (ja) * 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11869806B2 (en) * 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TW202303708A (zh) * 2021-06-01 2023-01-16 美商應用材料股份有限公司 降低沉積速率的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023205184A1 (en) * 2022-04-19 2023-10-26 Lam Research Corporation Molybdenum integration and void-free fill
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US20240035149A1 (en) * 2022-07-29 2024-02-01 Applied Materials, Inc. Bottom up molybdenum gapfill
WO2024044373A1 (en) * 2022-08-26 2024-02-29 Applied Materials, Inc. High aspect ratio gap fill using cyclic deposition and etch

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7019402B2 (en) * 2003-10-17 2006-03-28 International Business Machines Corporation Silicon chip carrier with through-vias using laser assisted chemical vapor deposition of conductor
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
CN105470193A (zh) * 2014-09-09 2016-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 金属钼材料的刻蚀方法
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
CN108389798B (zh) * 2018-01-24 2021-02-02 信利(惠州)智能显示有限公司 刻蚀方法、低温多晶硅薄膜晶体管及amoled面板

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220195598A1 (en) * 2019-01-28 2022-06-23 Lam Research Corporation Deposition of metal films
US11970776B2 (en) * 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films

Also Published As

Publication number Publication date
US20190067014A1 (en) 2019-02-28
CN109750270A (zh) 2019-05-14
TW201920740A (zh) 2019-06-01
TWI794276B (zh) 2023-03-01

Similar Documents

Publication Publication Date Title
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR102553413B1 (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US11908736B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11996292B2 (en) Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
TWI811348B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP2020029618A (ja) 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造
KR20210024418A (ko) 기판 표면 위에 다결정질 몰리브덴 막을 형성하는 방법 및 이와 관련된 다결정 몰리브덴 막을 포함한 구조
JP7422971B2 (ja) 基材および関連する半導体デバイス構造の誘電体表面上にモリブデン金属膜を堆積する方法
JP2020029617A (ja) 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法
US20230160057A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
US20230163028A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
TWI843623B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20240234205A9 (en) Methods for filling a recessed feature on a substrate and related structures

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal