JP2001059901A - 極端紫外光学素子用のキャッピング層 - Google Patents

極端紫外光学素子用のキャッピング層

Info

Publication number
JP2001059901A
JP2001059901A JP2000195020A JP2000195020A JP2001059901A JP 2001059901 A JP2001059901 A JP 2001059901A JP 2000195020 A JP2000195020 A JP 2000195020A JP 2000195020 A JP2000195020 A JP 2000195020A JP 2001059901 A JP2001059901 A JP 2001059901A
Authority
JP
Japan
Prior art keywords
layer
capping layer
substrate
projection
projection apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000195020A
Other languages
English (en)
Other versions
JP4068285B2 (ja
Inventor
Mandeep Singh
シング マンデープ
Machuu Fisaa Uugo
マチュー フィサー ウーゴ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2001059901A publication Critical patent/JP2001059901A/ja
Application granted granted Critical
Publication of JP4068285B2 publication Critical patent/JP4068285B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/061Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements characterised by a multilayer structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S359/00Optical: systems and elements
    • Y10S359/90Methods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Mathematical Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Surface Treatment Of Glass (AREA)
  • Optical Filters (AREA)

Abstract

(57)【要約】 【課題】 投影ビーム用に極端紫外放射線(EUV)を用
いるリソグラフィ投影装置に使用するための、多層ミラ
ーを有する光学素子、それも化学的、物理的侵害に対
し、より高い耐性を有する光学素子を得ること。 【解決手段】 多層EUVミラーが、ダイヤモンド様炭素
(C)、窒化ホウ素(BN)、炭化ホウ素(B4C)、窒化ケ
イ素(Si3N4)、炭化ケイ素(SiC),B,Pd,Ru,Rh,A
u,MgF2,LiF,C2F4,TiNを含む族、並びにそれらの化
合物や合金から選択した材料からなる保護キャッピング
層を備えている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、極端紫外(EUV)
放射線と共に使用するための光学素子、例えば多層ミラ
ーのキャッピング層(capping layer)に関するもので
ある。より具体的に言えば、本発明は、放射投影ビーム
を供給する照明システムと、マスクを保持するマスクホ
ルダを備えた第1対象(object)テーブルと、基板を保
持する基板ホルダを備えた第2対象テーブルと、基板の
ターゲット部分へマスクの照射部分を転写する投影シス
テムとを含むリソグラフ投影装置内の光学素子に設けら
れるキャッピング層の使用に関するものである。
【0002】
【従来の技術】簡単にするため、以下では、投影システ
ムを「レンズ」と呼ぶが、この用語は、例えば屈折レン
ズ、反射レンズ、屈折反射システム、荷電粒子レンズを
含む種々の投影システムを包含するものとして広く解釈
されたい。照明システムも、投影ビームを方向づけ、形
状づけ、制御するために、それらの原理のいずれかに従
って操作する部材を含むことができ、それらの部材も、
以下では集合的にまたは個別的に「レンズ」と呼ぶこと
にする。加えて、第1と第2の対象テーブルは、それぞ
れ「マスクテーブル」、「基板テーブル」と呼ぶ。本明
細書では、本発明が、X,Y,Zの直角方向の基準シス
テムを用いて記述され、I方向と平行な軸線を中心とす
る回転がRiで示されている。更に、文脈上、別の要求
がなされないかぎり、ここで用いる「垂直(Z)」の語
は、装置の特定の方向を含意するより、むしろ、基板ま
たはマスク面に対し直角の方向、または光学システムの
光軸と平行な方向を意味している。同じように、「水
平」という用語は、基板またはマスク面と平行な方向、
または光軸と直角の方向を意味し、したがって「垂直」
方向に対し直角である。
【0003】リソグラフィ投影装置は、例えば、集積回
路(IC)の製造に使用される。その場合、マスク(レチ
クル)は、ICの個別層に対応する回路パターンを有し、
この回路パターンが、感光材料(レジスト)層で被覆さ
れた基板(シリコンウェーハ)上の露出区域(ダイ)に
転写される。一般的に、単一ウェーハは、隣接する複数
ダイの全ネットワークを含み、これらのダイが一度に1
つ順次にレクチルを介して照射される。リソグラフィ投
影装置の或る型式の場合には、一度にダイ上に全レクチ
ルパターンを露光させることによって、各ダイが照射さ
れる。この種の装置は、普通、ウェーハステッパと呼ば
れる。通常、ステップ・アンド・スキャン装置と呼ばれ
る別の装置では、所定基準方向(「走査」方向)の投影
ビームのもとでレクチルパターンを漸次走査する一方、
同期して、前記方向と平行または逆平行にウェーハテー
ブルを走査することにより、各ダイが照射される。なぜ
なら、一般に、投影システムは、倍率M(概して<1)
を有し、ウェーハテーブルが走査される速度は、レクチ
ルテーブルが走査される速度のM倍となるからである。
ここに記述されているようなリソグラフィ投影装置に関
するこのほかの情報は、例えば国際特許出願WO97/
33205から収集できる。
【0004】ごく最近まで、リソグラフィ投影装置は、
単一マスクテーブルと単一基板テーブルを含んでいた。
しかし、現在では、少なくとも2個の独立して可動の基
板テーブルを有する装置が利用可能になっている。例え
ば国際特許出願WO98/28665およびWO98/
40791を参照のこと。この種の多段装置の基本操作
原理は、次のようなものである。すなわち第1基板テー
ブルが投影システム下の露光位置に配置されて、該テー
ブル上の第1基板が露光される間に、第2基板テーブル
が装荷位置に移動して、露光済みの基板を排出し、新し
い基板をピックアップし、新基板について何らかの初期
測定を行ってから、第1基板の露光が完了すると直ち
に、投影システム下の露光位置へ新基板を搬入できるよ
うに準備し、このサイクルが反復される。このようにし
て、装置のスループットを事実上増大でき、他方、それ
によって、装置の所有費用が軽減される。理解すべき点
は、この同じ原則は、露光位置と測定位置との間を移動
する基板が1個だけの場合にしか利用できない点であ
る。
【0005】リソグラフィ投影装置の場合、ウェーハ上
に転写できる造作(features)の寸法は、投影放射線の
波長によって制限される。デバイス密度が比較的高い、
したがって操作速度の比較的高い集積回路を製造するに
は、比較的小さい造作を転写できるのが望ましい。最新
のリソグラフィ投影装置は水銀灯またはエキシマレーザ
で発生させた紫外線を用いるのに対し、約13nmの比較的
短い波長の放射線を利用することが提案されている。こ
の放射線は、極端紫外線(EUV)または軟X線と呼ば
れ、可能な光源は、レーザプラズマ光源または電子蓄積
リングからのシンクロトロン放射を含んでいる。シンク
ロトロン放射を用いるリソグラフィ投影装置のおおよそ
の設計は、J.B.マーフィほか著『投影X線リソグラフィ
用のシンクロトロン放射源およびコンデンサ』(J.B.Mu
rphy et al."Synchrotron radiation sources and cond
ensers for projection x-ray lithography")(「応用
光学」1993年、32巻24号、6920〜6929頁)に記述されて
いる。
【0006】EUVスペクトル域内で使用するための光学
素子、例えば多層薄膜反射材は、物理的、化学的損傷に
特に敏感であり、該損傷により反射度や光学品質が有意
に低下することがあり得る。前記の波長での反射度は、
通常のEUVリソグラフィ投影装置は9個の反射材、すな
わち照明レンズ内の2個と、転写レンズ内の6個と、加
えて1個の反射レチクルとを有しているので、特に問題
となる比較的長い波長での反射度に比較しても、既に低
い値となる。したがって、単一のミラーのピーク反射度
が「僅か」1%〜2%減でも、光学システム内には有意な光
のスループット低下が生じる。別の問題は、EUV放射線
のいくつかの放射源、例えばプラズマをベースにした放
射源が「きたない」ことであり、これは、それらの放射
源からは、かなりの量の高速鉄(fast iron)その他の
粒子が放出され、照明システム内の光学素子を往々にし
て損傷するからである。これらの問題を解決するため、
光学システムを極めて高真空に維持する提案がなされて
いるが、その場合、炭化水素の部分圧に特に厳しい要求
が課せられる。炭化水素は、光学素子上で吸収された
後、EUV放射線によって分解され、不透明な炭素膜を残
すからである。
【0007】
【発明が解決しようとする課題】本発明の目的は、投影
ビーム用に極端紫外放射線(EUV)を用いるリソグラフ
ィ投影装置に使用するための、多層ミラーを有する光学
素子、それも化学的、物理的侵害により耐え得る光学素
子を得ることにある。
【課題を解決する手段】この目的および他の目的は、本
発明により次のようなリソグラフィ投影装置によって達
せられた。すなわち、放射投影ビームを供給する照明シ
ステムと、マスクを保持する第1対象ホルダを備えた第
1対象テーブルと、基板を保持する第2対象ホルダを備
えた第2対象テーブルと、基板のターゲット部分にマス
クの照明部分を転写する投影装置とを含むリソグラフィ
装置であって、前記投影ビームの放射線と等しい波長の
放射線が入射する表面と、前記表面を被覆するキャッピ
ング層とを有する少なくとも1つの光学素子、それも前
記キャッピング層が比較的不活性の材料で形成されてい
る光学素子を特徴とするリソグラフィ装置である。
【0008】光学素子は、照明システムと投影システム
の一方に含まれるビーム修正素子、例えば多層近-直角
入射ミラーもしくはすれすれ入射(grazing incidenc
e)ミラー等の反射材か、または散乱板等の積分器か、
または特に多層マスクの場合はマスク自体か、または投
影ビームの方向づけ、集束、形状づけ、制御等に関わる
他の何らかの光学素子のいずれかである。光学素子は、
また画像センサまたはスポットセンサ等のセンサであっ
てもよい。比較的不活性な材料は、特に、抗酸化性でな
ければならず、かつまたダイヤモンド様の炭素(C)、
窒化ホウ素(BN)、炭化ホウ素(B4C)、窒化ケイ素(S
i3N 4)、炭化ケイ素(SiC),B,Pd,Ru,Rh,Au,Mg
F2,LiF,C2F4,TiNを含む族や、それらの化合物および
合金の中から選択できる。
【0009】キャッピング層は、その下の光学素子を侵
害から保護するために十分な厚さを有していなければな
らないので、効果的に「化学的に不透明」であるが、入
射放射線を過剰に吸光するほど過剰な厚さであってはな
らない。これらの目的のために、キャッピング層は、0.
5nm〜10nmの範囲の、好ましくは0.5nm〜6nmの範囲の、
最も好ましくは0.5nm〜3nmの範囲の厚さを有している。
キャッピング層は、それ自体多層構造、例えば2層構造
を有し、最も外側の層は、投影ビームの波長での化学耐
性および低屈折率を改善する意図で選択されており、そ
れにより反射度または透過率が改善される。本発明の第
2態様によれば、放射の投影ビームを供給する照明シス
テムと、マスクを保持する第1対象ホルダを備えた第1
対象テーブルと、基板を保持する第2対象ホルダを備え
た第2対象テーブルと、基板のターゲット部分にマスク
の照明部分を転写する投影装置とを含むリソグラフィ投
影装置を用いてデバイスを製造する方法が得られ、該方
法は、パターンを有するマスクを前記第1対象テーブル
に用意する段階と、前記第2対象テーブルに、エネルギ
ー感知材料層によって少なくとも部分的に被覆された基
板を用意する段階と、前記マスクを照射し、前記基板に
前記パターンの照射部分を転写する段階とを含んでお
り、該方法の特徴は、前記リソグラフィ投影装置が、前
記投影ビームの波長と等しい波長の放射線の入射する表
面と、該表面を被覆するキャッピング層とを有する少な
くとも1つの光学素子を含み、該キャッピング層が比較
的不活性の材料で形成されることにある。
【0010】本発明によるリソグラフィ投影装置を用い
る製造方法の場合、マスクのパターンが、エネルギー感
知材料製の層(レジスト)によって少なくとも部分的に
被覆された基板上に転写される。この転写段階の前に、
基板には種々の処置、例えば下塗(priming)、レジス
ト被覆、ソフトベークが施される。露光後、基板には、
別の処置、すなわち露光後ベーク(PEB)、現像、ハー
ドベーク、転写された造作の測定/検査が行われる。こ
の一連の処置は、例えば集積回路等のデバイスの個別層
にパターン付けするための基礎として行われる。パター
ン付けされたこの層には、次いで種々の処置、例えばエ
ッチング、イオン注入(ドーピング)、金属化、酸化、
化学・機械式研磨等々が施されるが、これらの処置は、
すべて個別の層を完成させるためのものである。数層が
必要とされる場合には、これらすべての処置、またはそ
れら処置の変化形式が、各層に反復される。場合によっ
ては、複数デバイスが基板(ウェーハ)上に配列され
る。これらのデバイスは、次いでダイシングまたはソー
イング等の技術により互いに分離され、これにより個々
のデバイスがキャリアに取り付けられ、ピンに結合され
ること等が可能になる。これらの処置に関する別の情報
は、例えば次の書籍、すなわちピータ・ヴァン・ザント
著『マイクロチップの製造:半導体加工の実用案内』
(第3版、1997年、マグロウヒル出版社刊、ISBN 0-07-0
67250-4)から得ることができる。
【0011】本明細書では、本発明による装置を使用し
て集積回路を製造することについて説明したが、該装置
は、その他多くの用途に使用可能であることを理解され
たい。例えば、集積光学システム、磁区メモリ用の案内
および検出パターン、液晶ディスプレーパネル、薄膜磁
気ヘッド等々の製造に使用できる。当業者は、これらの
別の用途と関連して、本明細書の「レチクル」、「ウェ
ーハ」、「ダイ」などの用語を、より一般的な用語「マ
スク」、「基板」、「ターゲット区域」と、それぞれ言
い換えて考えるのがよいだろう。
【0012】
【発明の実施の形態】以下で本発明および本発明の利点
を好適実施例と添付略示図とを参照して説明する。図面
が異なっても、等しい部品には等しい参照符号が付され
ている。 実施例1 図1は、本発明によるリソグラフィ投影装置の略示図で
ある。この装置は、EUV放射線の投影ビームPBを供給
する放射システムLA,ILと、マスクMA(例えばレ
チクル)を保持するマスクホルダを備え、マスクを部品
PLに対し精密位置決めする第1位置決め装置PMに接
続された第1対象テーブル(マスクテーブル)MTと、
基板W(例えばレジスト被覆のシリコンウェーハ)用の
基板ホルダを備え、基板を部品PLに対し精密位置決め
する第2位置決め装置PWに接続された第2対象テーブ
ル(基板テーブル)WTと、マスクMAの照射部分を基
板Wのターゲット部分C(ダイ)に転写するための投影
システム(「レンズ」)PLとを含んでいる。
【0013】放射システムは、放射ビームを発生させる
放射源LA(例えば、蓄積リングまたはシンクロトロン
またはレーザ誘起プラズマ源の電子ビーム経路の周囲に
配置されたアンジュレータまたはウィグラー)を含んで
いる。このビームは、照明システム(「レンズ」)IL
に含まれる種々の光学構成部材に沿って進み、その結果
生じる合成ビームPBが集束されて、入射瞳とマスクの
ところに一様の照明が与えられる。ビームPBは、続い
て、マスクテーブルMT上のマスクホルダ内に保持され
ているマスクMAに当てられる。マスクMAによって反
射されたビームPBは、基板Wのターゲット区域Cにビ
ームPBを集束させるレンズPLを通過する。第1位置
決め装置PWと干渉変位測定装置IFとによって、基板
テーブルWTは、精密に移動せしめられ、それによりビ
ームPBの経路内の異なるターゲット区域Cの位置決め
が可能になる。同じように、位置決め装置PMも、例え
ばマスクライブラリからマスクMAを機械式に取り出し
た後、マスクMAをビームPBの経路に対して精密に位
置決めするのに使用できる。概して、対象テーブルM
T,WTの移動は、長行程モジュール(コース位置決
め)と短行程モジュール(精密位置決め)とによって実
現されるが、この点は図1には明示されていない。
【0014】図示の装置は、異なる2モードで使用でき
る:すなわち、ステップモードでは、マスクテーブルM
Tは事実上定置され、全マスク画像が、ターゲット区域
C上に一度に(すなわち単一の「フラッシュ」で)投影
される。基板テーブルWTが、次にx方向および/また
はy方向に移動せしめられ、その結果、異なるターゲッ
ト区域CがビームPBによって照射される。走査モード
でも、事実上同じシナリオが適用され、異なる点は、所
定ターゲット区域Cが単一「フラッシュ」では露光され
ない点だけである。このため、マスクテーブルMTが所
定方向(いわゆる「走査方向」、例えばx方向)に速度
vで移動可能であり、それによって投影ビームPBが、
マスク画像全体にわたって走査され、同時に、基板テー
ブルWTが、速度v=Mvで等方向または逆方向に移動
せしめられる。この式において、MはレンズPLの倍率
(通常はM=1/4または1/5)である。このように
することで、どちらかと言えば大きいターゲット区域C
を、解像度を落とすことなく露光することができる。照
明システムILは、同時係属ヨーロッパ特許出願003
00784.6(出願人参照番号P−0129)に記載
の構成にすることができ、該出願は、ここに引用するこ
とで本明細書に援用するものである。
【0015】例 以下に説明する本発明の複数例は、薄膜設計プログラム
TFCalc(ソフトウェア・スペクトラ社)を使用して行な
われ、かつLPro(4Dテクノロジー社)を用いて検証さ
れた計算によって得られるものである。組み込まれたTF
Calcの全体的最適化および指針(needle)最適化ルーチ
ンが、最適化処置に使用された。この処置については、
ティコラヴォフ(A.V.Tikhoravov,応用光学誌32,541
7、1993年)、ティコラヴォフ、トルベツコフ(A.V.Tik
horavov,M.K.Trubetskov,GM.DeBell,応用光学誌35,5
493、1996年)、ドブロフスキ、ケンプ(J.A.Dobrowsk
i,R.A.Kemp、応用光学誌29,2876、1990年)が説明して
おり、ここにそれらを引用することで本明細書に援用す
るものである。種々の材料の光学定数、すなわち複合屈
折率N=n・ikは、ヘンケ(Henke)ほかによる原子散乱
因子から得られ、かつまたバークレイ(B.L.ヘンケ,E.
M.ガリクソン[Gullikson],J.C.デイヴィス[Davis]:原
子データおよび核データ表,54[2],181〜342[1993
年];)のCXROウェブ・サーバーから得られる(http://w
ww.cxro.lbl.gov/optical constants)。使用材料に対
するnとkとの値は、波長の関数として6nm〜42nmからダ
ウンロードされ、nおよびkの値が波長に従属すること
が、すべての計算で含意(implicit)される。特に重要
ないくつかの波長での種々の材料の場合のnとkとの値
は、後出の表1に示してある。本発明による反射材の性
能の向上を示すために、次の例では理想的な「白色」光
照明を用いる。
【0016】比較例1 比較例1は、ゼロドゥア(Zerodur)(RTM)ガラス基板
上に成長した最適化されていない50周期Mo/Siシステム
から成る標準Si基多層積層体であり、該基板はdMo=2.
8nmおよびdSi=4.1nmを生じる分配率(partition rati
o)Γ=0.4を有している。加えて、最終Si層は、酸化さ
れ、効果的に-2nmの天然酸化物層を生成すると思われ
る。この積層体の分析では、-13.4nmでR=0.731のピー
ク反射度が得られる。この積層体は、本発明による積層
体の性能比較の基準となるものである。 例2〜23 本発明による例2〜23は、後出の表2に詳細に示した
ように、基準例1の積層体の変化形から成っている。表
2の欄2には、積層体の複数層に用いられる材料が挙げ
られ、欄3には、適用された最適化が示されている。す
なわちNは最適化を行わなかったことを示し、Yは全体
的最適化を、Y(n)は指針(needle)最適化(なお後
述する)を表している。欄4には、用いられたキャッピ
ング層が、欄5には、ピーク反射度Rが、欄6には、関
連ユニット内でのピーク反射度R9 peakが、欄7には、関
連ユニット内での(集積)反射度R9 intが、それぞれ示
されている。
【0017】9反射材システムの場合、光学的スループ
ットのより有用な尺度は、一連の9個の反射材の正味反
射度であるR9の値である。R9 intは、R9対λ(波長)ス
ペクトルの曲線より下の区域である。所定積層体の場合
のR9 peakとR9 intとの間の変化は、最適化処置、組み入
れられた材料、キャッピング層の材料のいずれかの関
数、またはこれら3つの何らかの組合わせの関数である
スペクトル半値幅の変化を示す。例2〜20のすべての
最終表面層は、4.1nm〜4.5nmのSi層であり、該層上に
は、欄4に示したキャッピング層が析出されるか、また
はSiOの場合には成長せしめられる。SiO2の成長には、
表面Si層が消費されるので、例2の場合、酸化前には、
表面の2層は2nmのSi層、残りの複数層は約4nmのSi層で
あり、多層の最終層と見なされる層は2nmのSiO2層であ
る。例21〜23は4.0nm〜4.4nmのRb層で終わり、この
層に欄4に示した仕様のキャッピング層が析出される。
【0018】例2は、最適化されていないMo/Si積層体
であり、この積層体では、2nmの天然酸化物が6nmのSi表
面層上に成長でき(比較例1の4nm表面層と比較され
る)、この結果、Rが1%増、R9 peakが13%増、R9 intが7%
増となる。例3では、R9 intの25%の利得が、2nmのBのキ
ャッピング層析出によって達せられる。更に、例4〜例
7での増加は、RhまたはRuをキャッピング層に選択し、
積層体を最適化することで得られる。2成分(Mo/Si)
多層積層体の場合に最高36%増にするには、例7に示す
ような最適化によって達成できる。図2は、1.5nmのキ
ャッピング層を有する51周期(102層)の最適化されたM
o/Si積層体の層構造を示している。この図では、層0は
基板表面である。見られるように、Mo/Si積層体の最適
化の結果、積層体全体の層厚が漸次円滑に変化する一
方、周期幅は、名目上、約6.8nm〜7.0nmの範囲で一定に
とどまる。基板近くでは、dMo=dSi=3.5nmが、表面近
くでdMo=2.7nmとdSi=4.2nmとに変化する。図2に示し
た積層体の場合、分配率Γが、表面から始めの20周期
(1周期=1対の層、すなわち1つのMo層と1つのSi
層)では約0.4にとどまり、その後、次第に変化して基
板のところで約0.5になる。したがって、材料内の吸光
率が高いほど、表面近くでの厚さが薄くなって、最適反
射応答が得られるように思われる。この現象について
は、更に後述する。
【0019】例8〜例12の3成分システムは、始めは
2成分Mo/Si積層体として構成され、Mo層とSi層との間
に、初期厚がゼロに設定された第3材料が挿入されてい
る。次いで、予め設定された目標反射度に接近するま
で、全体的最適化によりすべての層の厚さが変更され
る。Mo-Rh/SiとMo-Ru/Siとの場合、Moは表面近くが好ま
しく、RhまたはRuは基板近くが好ましいのに対して、Mo
-RbCl/Siシステムの場合には、RbCl(単一の存在)が部
分的に積層体の中央のSiに代わる、つまり隣接するRbCl
層とSi層の合計厚が、標準積層体内のSi厚に近付けられ
る。Mo-Ru/Si積層体の層構造は図3に示してある。この
積層体は、最上層を含めて50Si層を有し、したがって、
全体で148層を有し、加えて1.5nmのRuキャッピング層を
有している。図では、層0が基板表面である。Mo-Ru/Si
システムの場合、計算スループットで標準Mo/Si積層体
より50%の増が認められる。
【0020】例12は、指針最適化(needle optimisat
ion)を利用した場合の、Mo-Ru/SiシステムでのR9 int
改善を示したものである。指針最適化ルーチンでは、指
定材料の付加層、この場合はゼロに近い厚さのMo,Ru,
Rhが、周期的に積層体に付加される。これらの層は、次
いで局所最適化処置により成長せしめられるか、除かれ
るかする。したがって、指針最適化された積層体は、ま
たRhと付加Mo層とを含み、その結果、標準積層体に比較
してR9 intの59%増となる。また、この場合、R9 int>R9
peakであり、ピーク反射度が、最適化された標準Mo-Ru/
Si積層体の場合より僅かだけ低い0.764の値となる点に
注目すべきである。このことは、事実上、比較的広いス
ペクトル半値幅が、R9と13.4nm域での波長との関係を示
す図4に見られるように、指針最適化処置の結果得られ
ることを示している。曲線Aは、基準例1の標準Mo/Si
積層体、曲線Bは、例4の、最適化されたMo/Si積層
体、曲線Cは、例12の、指針最適化されたMo-Ru/Si積
層体、曲線Dは、例19の、指針最適化されたMo-Ru-Sr
/Si積層体、曲線Eは、例22の、最適化されたMo/Rb積
層体を、それぞれで示している。
【0021】3成分積層体内の層の順序は変更可能であ
る。例えば、Mo-Rh/Siの代わりにRh-Mo/Siを用いてもよ
く、またMo-Ru/Siの代わりにRu-Mo/Siを用いてもよい。
例13〜例20の4成分積層体は、前述の3成分積層体
と似た形式で構成された。最も好ましい組み合わせは、
出力強度の相対増が最大88%のMo-Ru-Sr/Siである。図5
は、Ruキャッピング層を有する50周期Mo-Ru-Sr/Siの層
厚(nm)を示している。既述のように、層0は基板表面
を表している。また基板の始めの50層内では、RuがMoよ
り優位を占める。Mo層厚断面内のスパイク波形は、数値
最適化技術が示唆するように、Ru層全体がMo層に取り替
えられた層を示している。このことはR9 intの利得にと
って重要なことではなく、関連する複数Mo層は、複数対
のMo/Ru層に取り替えることができる。Srは、積層体内
のSiに対し類似の働きをする。これは、Srが高いn値と
低い吸光係数kとを有するためである(表1参照)。Sr
層内での低い吸光率は、積層体の上半部では好ましい。
既述のMo-Ru/Siの例の場合にように、Si、Sr、Ru、Moの
厚さの合計は、図2に示した最適化されたSiおよびSrの
厚さに、それぞれ近づいている。材料の好ましい順序は
Ru-Mo-Sr-Siである。複数層の組分けも変更でき、例え
ばRu-Mo-Sr/Siは、計算目的の場合、Ru-Mo/Sr-Siと見な
してよい。
【0022】図6は、指針最適化された50周期(50Si
層)Mo-Ru-Sr/Si積層体の層厚を示す。Rhは、積層体の
下半部にのみ含まれ、主に始めの40層内にある。最下の
複数層のRhは、Ru層より好ましい。なぜなら、吸光係数
が高いにもかかわらず、Siとの光学的コントラストがよ
り著しいからである。SrとYとは、Yの錯体化学的性質と
Srの高い反応度とのために、あまり容易には析出でき
ず、したがって、従来の積層体より好ましいとはいえな
いが、それでもなお利点はある。Mo-Ru-Zr/SiとMo-Ru-R
bCl/Siとは、Ru-Mo-Zr/SiおよびRu-Mo-RbCl/Siの順序の
層同様に、特に期待される。RbとSiの光学定数の比較
(表1)が示しているのは、Rbが原則としてスペーサ層
としてより好ましい材料であるという点である。13.4nm
でのn値がSiのn値に似ている(1に近い)ことで、Rb
は、例えばMoおよびRuとの光学的コントラストを維持す
るだろう。加えて、吸光係数kがSiのそれに比して低い
値であることで、Rbは最適に近いスペーサ材料となる。
このことは、表2から分かるように、例21〜例23に
より証明される。標準Mo/Si積層体より2倍高いR9 int
を生じる等価Mo/Si積層体に比較されるMo/Rb積層体の場
合、5%のピーク反射度増が見られる。しかし、Rb基のシ
ステムには、Rbの高い反射度と極端に低い融点(39゜C)
とのため、構成上、操作上の難点が存在する。
【0023】基準例24 基準例24は、ゼロデュア(RTM)ガラス基板上に成長
した最適化されない80周期Mo/Beシステムを含む、11.3n
mで使用される多層積層体であり、該基板は、d Mo=2.3n
mとdBc=3.4nmを生じる分配率Γ=0.4を有している。こ
れにより、11.3nmで使用するように調整された例25〜
例40用の基準が得られる。
【0024】例25〜例40 表3は、表2に対応し、本発明による例25〜例40の
データを示すものである。これらの例は、11.3nmで使用
するように調整された反射積層体である。最適化の効果
およびキャッピング層析出は、11.3nmの場合、13.4nmの
場合ほど重要ではなく、R9 intが8%だけ改善されるのみ
である。しかし、RuとRhとは、11.3nm窓用には、Moより
好ましい。Ru/Be積層体は、Mo/Be基準例に比して最大70
%増の相対光学スループットを有する一方、Rh/Be積層体
のスループットは33%増である。この値は、Ru/Beの場合
より有意に低い値であるとしても、この組み合わせは、
Rh-Be界面の化学的性質等の要因のため、本発明のいく
つかの用途の場合には好ましいものである。
【0025】本発明の特に好ましい実施例は、反射度の
著しい増大を示す、「指針」最適化されたRh/Be積層体
である。これは、最適化処置中にPd,Ru,Moを取り込む
ことにより、該積層体が、Rh-Ru-Pd-Mo/BeまたはPd-Rh-
Ru-Mo/Be多成分積層体に効果的に変成されるためであ
る。図7には、1.5nmのRuキャッピング層を有する80周
期(80Be層)Ru-Sr/Be積層体の層厚が示されている。類
似の結果は、Ru/Sr-Be積層体でも達せられる。既述のよ
うに、基板表面は層0で示されている。類似の光学定数
のため、BeとSrとは、基板近くで優勢なRuを有する積層
体内で類似の機能を発揮する。表面近くでのBeとSrとの
合計厚は約4.1nm、Ruの厚さは約1.7nmである。これらの
厚さは、Γ=0.4の値を有するMo/Be積層体の厚さと明ら
かに異なる。これは、Ruの吸光係数がMoのそれより高い
値だからであり、このためRuの厚さは、より薄い方が好
ましい。Moの代わりにRuを用いることによる利得は、Be
との光学的コントラストの結果増によるものである。好
ましい積層体周期は、Ru-Sr-Beである。
【0026】図8には、Be基多層積層体の選択スペクト
ルが示されている。この図が示しているのは、5つの積
層体の場合の11.3nm域でのR9と波長との関係である。A
は基準Mo/Be積層体、BはRuキャッピング層を有する最
適化されたMo/Be積層体、Cは最適化されたRu/Be積層
体、Dは指針最適化されたRh/Be積層体、EはRuキャッ
ピング層を有する最適化されたRu-Sr/Be積層体である。
例35〜例40は、最高で2倍のスループット増を生じ
させる、ストロンチウムを含む3成分システムである。
この波長域では、RhとRuは、キャッピング層として最適
であり、Rを0.7%〜1.0%増加させる。
【0027】例41〜例44 11nm〜14nm域のEUVについての、種々の多層システムの
前記コンピュータ分析から、9ミラー光学システムの場
合のピーク反射度および集積反射度の有意な増大が可能
であることが分かるだろう。キャッピング層の選択と、
全体的最適化と指針最適化のルーチンと、それに最も重
要な、積層体内での材料の付加または交換とを組み合わ
せることによって、反射度増強の処方が得られるように
思われる。種々の真空蒸着技術を用いて概して容易に析
出されるRhおよびRu等の金属からは、特に、11.3nm域で
Beとの組み合わせで利点が得られる。前記域では、前記
金属の理論性能は、Moのそれをしのいでいる。更に、既
述の種々の組み合わせを用いることで、Mo/Si(Be)に
関連する界面粗さの問題が幾分緩和されることも考えら
れる。
【0028】例えばMo-Rh/SiとMo-Ru/Siの積層体では、
基板近くや、逆に表面近くでRh(Ru)がMoより優勢とな
ることで成績が改善される。これは、13.4nmの場合、Rh
とRuが、MoとよりもSiと高い光学的コントラストを示す
からである。他方、吸光係数kの値、したがって層内の
吸光率の値は、MoのほうがRhおよびRuより低い。積層体
表面近くでは、低吸光率にして、入射放射線ができるだ
け深くに透過するようにすることで、フェーザ加算が最
大となるようにする。反射強度を最大化するには、しか
し、強度が減少する積層体内の深部で光学的コントラス
トが増大することが好ましい。Srを積層体に組入れる場
合、Srが積層体の表面区域近く位置するようにして、部
分的にSiと代わるようにするのが好ましい。これも、同
じような論拠で説明できる。すなわち、Srのn値はSiの
それより低い値であり、したがって、n値の低い材料と
の光学的コントラストが僅かに低くなる一方、Srのk値
がSiのそれより低いことは(表1参照)、層内での吸光
率がより低いことを意味し、したがって積層体表面近く
にSrが位置することが好ましい。11.3nmの場合のBe基積
層体について得られたデータは、類似の効果が得られる
ことを示している。
【0029】例41〜例44は、キセノン-ジェット・
レーザ誘起プラズマ源(Xe-Jet LPS)を用いて使用する
ために設計され、該プラズマ源は、既述の反射材の設計
域より幾分低い約10.9nmのピーク出力強度を有してい
る。図9は、種々の反射材のR9反射度(左軸)および相
対Xe-Jet LPS放射強度(右軸)と波長(nm)(X軸)と
の関係を示すものである。図9では、(a)が、従来の
最適化されていないMo/Si積層体のスペクトル応答であ
り、相対反射度の図形の基準として使用され、(b)
は、既述の例7に類似の、最適化されたMo/Si積層体で
あり、(c)は、最適化されたRh-Ru-Mo/Sr-Si積層体で
あり、(d)は、既述の比較例24に類似した従来の、
最適化されていないMo/Be積層体であり、(e)は、既
述の例40に類似の最適化されたRh-Mo/Be積層体であ
り、(f)は、最適化されたPd-Rh-Ru-Mo/Be積層体であ
り、(g)は、本発明の例41を構成する最適化された
Pd-Rh-Ru/RbCl積層体であり、(h)は、本発明の例4
2を構成する最適化されたRh-Ru/P積層体であり、
(i)は、本発明の例43を構成する最適化されたRh-R
u/Srである。
【0030】例41〜例43は、既述のその他の例より
低い値のR9 peakとR9 intを有してはいるが、Xe-Jet LPS
の最大放射に極めて近いピーク反射度が得られる利点を
有している。したがって、この放射源とともに使用する
には理想的である。最適化されていないMo/Si積層体の
スループットを1.0とすると、例41(g),42
(h),43(i)では、それぞれ3.0、5.7、6.5の相
対スループットが得られる。これらのスループットは、
また5.7のMo/Be積層体(d)のスループットと十分に比
肩し得る値であり、きわめて毒性の高いBeの使用が避け
られる。ピーク反射度の更なる改善は、PとSrを組み合
わせた4成分積層体、たとえばRu-Ru/P-Ceにより、9.0n
m〜12nm域で0.75を超える値を得ることで達せられる。
例44には、更に別の利点が示されている。例44は、
10.9nmでR=0.776のピーク反射度を有する指針最適化さ
れたRh-Ru/Sr-Ce積層体である。図10は、10nm〜12nm
域で例44のR(左軸)およびR9(右軸)が、波長に完
全従属する関係を示した線図である。図11は、この積
層体の層厚を示している。
【0031】例45〜例48 表4には別のいくつかの積層体構成が示されている。こ
の表では、例45はRu-Nb/Siの3層積層体である。この
積層体は、ニオブもSi基積層体では改善に役立つが、他
の点では、表2の例8〜例12の場合と同じであること
を示している。12.8nmでの使用時には、種々の多層積層
体が最適である。表5の例47と例48とは、そのよう
な2つの多層積層体である。例46では、12.8nmでの従
来のMo/SiのR値(比較例1と等価)が与えられる。容易
に分かることは、Moの一部に代えてRuを加えることによ
り、この周波数で反射度が改善される一方、シリコンの
一部に代えてベリリウムをスペーサとして使用すること
により更に反射度が改善されることである。概して、ラ
ンタノイド(希土金属)は、Mo,Ru,Rh等の金属と良好
な光学的コントラストを有し、基板近くの反射材として
好ましい。基板近くの位置で光学的コントラストが得ら
れるのは、ランタノイドが1に極めて近い屈折率nを有
するからであり、この点が、9nm〜16nm域で他の材料ほ
ど吸光係数kが低い値ではない欠点を補って余りある点
である。ランタンは、13nmの場合または13nmに近い場合
に特に好ましい。
【0032】本発明で使用可能な更に別のスペーサは、
多孔質材料、例えばバルクシリカの約1/10の密度を有す
る低密度(多孔質)シリカ(エーロゲル)である。図1
2は、その種の多孔質シリカを用いたRh-Ru/SiO2-aero
積層体の波長感度を示している。該積層体の、11nm未満
の比較的広い域の反射率ピークが示されている。使用で
きる他の低密度材料には、チタニアとアルミナのエーロ
ゲルや、ナノポーラス・シリコン、メソポーラス・シリ
コン、ナノクラスタ・シリコン、その他の半導体が挙げ
られる。これらの材料は、8nm〜20nmの特定波長に調整
された反射材の製造に使用できる。これらの材料が有用
なのは、n値とk値が密度に従属しているからである。
密度が低くなるにつれて、屈折率nは1となる傾向があ
り、吸光係数kはゼロになる傾向がある。典型的なSiエ
ーロゲルの密度は、0.2gcm3であるのに対し、多孔質Si
の密度は1.63gcm3である。
【0033】例49〜例65 使用可能なキャッピング層の別の例は、既出の表と等し
いデータが記載されている表5および表6に示されてい
る。表5では、例49が、最適化された(13.4nm用に)
50周期Mo/Si積層体からなる比較例であり、該積層体の
最も外側の層は2nmのSiO2だが、この層は、積層体内の
最終Si層の自然酸化によって生成したものである。この
比較例は、本発明の例50〜例57に対するR9 peakとR9
intとの相対値の基準を形成している。これらの例は、
比較例49とは、前記キャッピング層が異なるだけであ
り、該キャッピング層は、酸化する前に積層体の最終Si
層に析出される。パラジウム(Pd)、炭化ホウ素(B
4C)、窒化ホウ素(BN)、炭化ケイ素(SiC)、窒化ケ
イ素(Si3N 4)、ダイヤモンド様炭素(dl-C)のそれぞ
れが、反射率の改善または許容し得る減少を示す一方、
化学的侵害に対して高い程度の耐性を示す。
【0034】表6では、例58が、最適化された(11.3
nm用に)80周期Mo/Be積層体からなる比較例であり、同
じように最終Be層の自然酸化により生成される2nmのBeO
が最も外側の層になっている。この比較例は、本発明の
例59〜例65の場合のR9 pe akとR9 intとの相対値の基
準を形成している。例59〜例65が比較例58と異な
る点は、前記キャッピング層だけであり、該キャッピン
グ層は、外側Be層が酸化する前に析出される。各材料層
により反射度は改善されるか、または許容し得る減少を
示すが、他方、化学的な侵害に対する高い程度の耐性を
示す。 例66〜例76 例66〜例76では、キャッピング層が、多層被覆の修
正された最終層並びに専用キャッピング内層を含むこと
で、2層または3層の保護構成体が形成され、表面の厚
さが全体にわたって増加せしめられ、かつ多層析出によ
る不完全な被覆の恐れが低減されている。こうした点が
図13に示されている。本発明の例66〜例76の反射
材は、基板10を含み、基板10には、第1材料11と
第2材料12との交互の、N周期の層が析出される。図
13には、第1周期13のみが示されているが、最後の
周期を除いて、すべての周期が類似している。最後の第
N周期は、第1材料の層15と、第3材料の層16と、
キャッピング材料のキャッピング内層17とを含んでい
る。以下では、第1材料をXで、第2材料をYで、第3
材料をZで表すことにする。
【0035】第1材料Xは、MO,Ru,Rh,Nb,Pd,Y,Z
rのうちの1つ以上、第2材料Yは、Be,Si,Sr,Rb,R
bCl,Pのうちの1つ以上である。最終周期は、第1材料
Xが既述のように選択される一方、第3材料Zが、並の
値の屈折率n(>0.96)と十分に低い吸光係数k(<0.
01)とを有する1組の、不活性性と安定性で知られる材
料から選択されるように構成されている。10nm〜15nmの
スペクトル域には、次の材料、すなわちB4C,BN,ダイ
アモンド様C,Si3N4,SiCが適当である。これらの材料
は、理想的な「スペーサ」ではないが、層16内での吸
光による反射損失は、多層による長期的な化学的、構造
的保全性により緩和される。加えて、層15、16の組
み合わせは、-2 四分波長の光学的全厚(この場合、四
分波の光学的厚さは、QW=4nd/λで与えられる)を有
し、このため、反射係数に寄与し、比較的厚い(>3n
m)キャッピング層によって生じる反射度の著しい減少
を防止する。加えて、キャッピング層17の材料は、屈
折率nが低いので、高い光学的コントラストが、層1
6、17間に維持される。層16、17の境界は、また
入射波と反射波との重なりによって形成される定常波の
節を局在させるのに役立つ。この構成の場合、キャッピ
ング層17に適当な材料は、Ru,Rh,Pd,ダイアモンド
様Cである。
【0036】表7は、79周期のMo/Beに、既述の構成
の付加周期X/Zが加わった例66〜例71用の層材料
と層厚とを示している。これらの例は、11.3nmの場合に
使用するためのものである。例66では、Be層の全体が
酸化され、Ruキャッピング層が析出される。これは基準
例である。例76は、SiCが11.3nm域には理想的ではな
いことを示している。しかし、例70、71は、75%を
超えるR値が、まだこの構成で可能であることを明瞭に
示している。Rhは、その不活性性のため、Mo層の代わり
に用いられ、CまたはB4Cが層16として析出され、層1
6にRu被覆が層17として付加される。これによって、
保護被覆構造を形成する7.7nmの厚さの3層が得られ
る。例68、69は、それぞれ例70、71に類似して
いるが、重要な相違点は、層17の厚さが2QWによって
増大し 、その結果、低い値だが、まだかなりの値の反
射度が得られ、13.7nmという事実上比較的高い3層厚が
得られる。
【0037】同じように、表8は、49周期Mo/Siに、
この場合もRuキャッピング層で終わるX/Zの組み合わ
せで形成される周期が付加された例72〜例76の場合
の層材料と層厚とを示している。基準例72は、完全に
酸化したSi表面層と、その上に設けられたRuキャッピン
グ層を示している。Z層16には、SiCとB4Cとが最適材
料である。しかし、これらの例が用いられる13.4nmで
は、Moを、より不活性な金属Rhに代えることができない
ため、2層保護構造が形成され、その場合には、層1
6、17の組み合わせ厚(dZ+dCL)は約5.5nm〜6.0n
mである。例73では、SiC層の厚さは、2QWによって増
大し、その結果、反射度を犠牲にして12.6nmの保護2層
体厚が得られる。キャッピング層に適当なその他の材料
は、Au,MgF2、LiF,C2F4(テフロン(登録商標)),T
iNである。以上、本発明の特定実施例を説明したが、本
発明は、以上の説明とは別様に実施することも可能であ
る。該説明は、本発明を制限する意図のものではない。
【表1】
【表2】
【表3】
【表4】
【表5】
【表6】
【表7】
【表8】
【図面の簡単な説明】
【図1】本発明のリソグラフィ投影装置を示す図。
【図2】本発明による51周期の最適化されたMo/Si積層
体内の層厚を示すグラフ。
【図3】本発明による50周期のMo-Ru/Si積層体内の層厚
を示すグラフ。
【図4】本発明の種々のミラーと従来のミラーとの比較
のため、13.4nm域でのR9と波長との関係を示すグラフ。
【図5】本発明による50周期Mo-Ru-Sr/Si積層体内の層
厚を示すグラフ。
【図6】本発明による指針最適化された50周期のMo-Ru-
Sr/Si積層体内の層厚を示すグラフ。
【図7】本発明による80周期のRu-Sr/Be積層体内の層厚
を示すグラフ。
【図8】本発明を実施する種々のミラーと従来のミラー
との比較のため、11.3nm域でのR9と波長との関係を示す
グラフ。
【図9】従来式および本発明による種々の積層体のR9
波長との関係、並びにXe-ジェット・レーザ誘起プラズマ
源の放射強度を示すグラフ。
【図10】本発明によるRh-Ru/Sr-Ce積層体の場合のRお
よびR9と波長との関係を示すグラフ。
【図11】本発明による最適化されたRh-Ru/Sr-Ce積層
体内の層厚を示すグラフ。
【図12】本発明によるRh-Ru/SiO2-aero積層体の場合
のRと波長との関係を示すグラフ。
【図13】本発明によるキャッピング層を有する多層被
覆のグラフ。
【符号の説明】
LA 放射システム PB 極端紫外線の投影ビーム MT マスクテーブル MA マスク(レチクル) PM 第1位置決め装置 WT 基板テーブル PL 投影システム W 基板 C ターゲット部分(ダイ)
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G03F 7/20 503 G03F 7/20 503 H01L 21/027 H01L 21/30 515D

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 リソグラフィ投影装置であって、 放射投影ビームを供給する照明システム(LA,IL)
    と、 マスク(MA)を保持するための第1対象ホルダを備え
    た第1対象テーブル(MT)と、 基板(W)を保持する第2対象ホルダを備えた第2対象
    テーブルと、 前記基板のターゲット部分(C)に前記マスクの照明部
    分を転写するための投影装置(PL)とを含むリソグラ
    フィ投影装置において、 前記投影ビームの放射線と等しい波長の放射線が入射す
    る表面と、前記表面を被覆するキャッピング層とを有す
    る少なくとも1つの光学素子であって、前記キャッピン
    グ層が不活性の材料で形成されている前記光学素子を特
    徴とする、リソグラフィ投影装置。
  2. 【請求項2】 前記不活性の材料が、前記光学素子の残
    部が形成されている材料より不活性である、請求項1に
    記載された投影装置。
  3. 【請求項3】 前記不活性の材料が、前記光学素子の残
    部が形成されている材料より酸化が容易でない、請求項
    1または請求項2に記載された投影装置。
  4. 【請求項4】 前記不活性の材料が、前記光学素子の残
    部が形成されている材料より硬質である、請求項1から
    請求項3までのいずれか1項に記載された投影装置。
  5. 【請求項5】 前記光学素子がビーム修正素子である、
    請求項1から請求項4までのいずれか1項に記載された
    投影装置。
  6. 【請求項6】 前記光学素子が、多層被覆を有する反射
    材であり、前記多層被覆に前記キャッピング層が設けら
    れている、請求項5に記載された投影装置。
  7. 【請求項7】 前記光学素子がセンサである、請求項1
    から請求項4までのいずれか1項に記載された投影装
    置。
  8. 【請求項8】 前記キャッピング層が、0.5nm〜10nmの
    範囲の厚さを有している、請求項1から請求項7までの
    いずれか1項に記載された投影装置。
  9. 【請求項9】 前記不活性の材料が、ダイヤモンド様の
    炭素(C)、窒化ホウ素(BN)、炭化ホウ素(B4C)、窒
    化ケイ素(Si3N4)、炭化ケイ素(SiC),B,Pd,Ru,R
    h,Au,MgF2,LiF,C2F4,TiNを含む族、並びにそれら
    の化合物や合金のなかから選択される、請求項1から請
    求項8までのいずれか1項に記載された投影装置。
  10. 【請求項10】 前記キャッピング層が、異なる材料製
    の2つまたは3つの内層を含む、請求項1から請求項8
    までのいずれか1項に記載された投影装置。
  11. 【請求項11】 前記光学素子が、前記表面に多層反射
    被覆を有する反射材を含み、前記多層反射被覆が、前記
    投影ビームの波長で低い屈折率を有する第1材料の複数
    層を含み、該複数層が、前記波長で高い屈折率を有する
    第2材料の複数層と交互に積層されており、前記キャッ
    ピング層が、 前記第1材料製の第1内層と、前記波長で前記第1材料
    より高い屈折率を有し、かつ前記第2材料より不活性の
    第3材料製の第2内層と、比較的不活性の第4材料で形
    成された第3内層とを含み、前記第1、第2、第3の内
    層が、前記第3内層が最も外側となる順序で設けられて
    いる、請求項10に記載された投影装置。
  12. 【請求項12】 前記第3材料が、前記波長で約0.96を
    超える屈折率と、前記波長で約0.01未満の吸光係数を有
    する、請求項11に記載された投影装置。
  13. 【請求項13】 前記第1材料が、Mo,Ru,Rh,Nb,P
    d,Y,Zrを含む族、並びにこれらの材料の化合物および
    合金から選択された1つ以上の材料であり、 前記第2材料が、Be,Si,Sr,Rb,RbCl,Pを含む族、
    並びにこれらの材料の化合物および合金から選択された
    1つ以上の材料であり、 前記第3材料が、B4C,BN,ダイアモンド様C,Si3N4、S
    iCを含む族から選択され、 前記第4材料が、Ru,Rh,Pd,ダイアモンド様Cを含む
    族から選択されている、請求項12に記載された投影装
    置。
  14. 【請求項14】 前記投影ビームが、8nm〜20nm、の範
    囲の波長を有する極端紫外放射線を含む、請求項1から
    請求項13までのいずれか1項に記載された投影装置。
  15. 【請求項15】 リソグラフィ投影装置を使用してデバ
    イスを製造する方法であって、該リソグラフィ投影装置
    が、 放射の投影ビームを供給するための照明システム(L
    A,IL)と、 マスク(MA)を保持するための第1対象ホルダを備え
    た第1対象テーブル(MT)と、 基板(W)を保持するための第2対象ホルダを備えた第
    2対象テーブル(WT)と、 前記基板のターゲット部分(C)に前記マスクの照明部
    分を転写するための投影装置(PL)とを含んでおり、
    前記方法が、 パターンを有する前記マスクを前記第1対象テーブルに
    用意する段階と、 前記第2対象テーブルにエネルギー感知材料層により少
    なくとも部分的に被覆された基板を用意する段階と、 前記マスクを照射し、前記基板に前記パターンの照射部
    分を転写する段階とを含む方法において、 前記リソグラフィ投影装置が、前記投影ビーム(PB)
    の波長と等しい波長の放射線が入射する表面と、前記表
    面を被覆するキャッピング層とを有する少なくとも1つ
    の光学素子を含み、前記キャッピング層が不活性の材料
    で形成されていることを特徴とする、リソグラフィ投影
    装置を使用してデバイスを製造する方法。
  16. 【請求項16】 デバイスにおいて、請求項15に記載
    された方法によって製造される、デバイス。
JP2000195020A 1999-07-02 2000-06-28 極端紫外光学素子用のキャッピング層 Expired - Fee Related JP4068285B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP99305283.6 1999-07-02
EP99305283 1999-07-02
EP99307932.6 1999-10-07
EP99307932 1999-10-07

Publications (2)

Publication Number Publication Date
JP2001059901A true JP2001059901A (ja) 2001-03-06
JP4068285B2 JP4068285B2 (ja) 2008-03-26

Family

ID=26153524

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000194831A Expired - Fee Related JP3652221B2 (ja) 1999-07-02 2000-06-28 反射度を高めた多層極端紫外線ミラー
JP2000195020A Expired - Fee Related JP4068285B2 (ja) 1999-07-02 2000-06-28 極端紫外光学素子用のキャッピング層

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000194831A Expired - Fee Related JP3652221B2 (ja) 1999-07-02 2000-06-28 反射度を高めた多層極端紫外線ミラー

Country Status (6)

Country Link
US (4) US6724462B1 (ja)
EP (3) EP1065568B1 (ja)
JP (2) JP3652221B2 (ja)
KR (2) KR100599940B1 (ja)
DE (2) DE60036510T2 (ja)
TW (2) TW561279B (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002122981A (ja) * 2000-10-13 2002-04-26 Samsung Electronics Co Ltd 反射型フォトマスク
JP2003318104A (ja) * 2002-04-18 2003-11-07 Samsung Electronics Co Ltd キャッピング層を有する反射フォトマスクおよびその製造方法
JP2004165642A (ja) * 2002-08-28 2004-06-10 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2004519868A (ja) * 2001-04-17 2004-07-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Euvに透明な境界構造
JP2004363570A (ja) * 2003-05-12 2004-12-24 Hoya Corp 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク
JP2005505930A (ja) * 2001-10-04 2005-02-24 カール・ツァイス・エスエムティー・アーゲー 光学要素及びその製造方法、並びにリソグラフィー装置及び半導体装置の製造方法
JP2005268750A (ja) * 2004-02-19 2005-09-29 Hoya Corp 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP2006191086A (ja) * 2004-12-29 2006-07-20 Asml Netherlands Bv リソグラフィ装置、素子製造方法、及び光学構成部品
JP2007140105A (ja) * 2005-11-18 2007-06-07 Nikon Corp 多層膜反射鏡及び露光装置
JP2007273668A (ja) * 2006-03-31 2007-10-18 Toppan Printing Co Ltd 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法
KR100852985B1 (ko) * 2001-01-03 2008-08-19 이유브이 리미티드 라이어빌러티 코포레이션 극자외선 리쏘그래피용 자가세정 광학장치
JP2008205376A (ja) * 2007-02-22 2008-09-04 Nikon Corp 多層膜反射鏡、露光装置、デバイス製造方法、多層膜反射鏡の製造方法
KR100861388B1 (ko) * 2006-02-28 2008-10-02 캐논 가부시끼가이샤 노광 장치 및 그 제어 방법, 및 디바이스 제조 방법
JP2008293032A (ja) * 2001-07-03 2008-12-04 Euv Llc 不動態化保護膜二重層
JP2009055064A (ja) * 2003-10-20 2009-03-12 Asml Netherlands Bv リソグラフィ機器で使用するミラー上での上部層、リソグラフィ機器で使用するミラー、このようなミラーを備えるリソグラフィ機器及びデバイスの製造方法
JP2009510714A (ja) * 2005-06-13 2009-03-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびそのためのクリーニング方法
JP2009536456A (ja) * 2006-05-05 2009-10-08 エーエスエムエル ネザーランズ ビー.ブイ. Euvマスク用反射防止コーティング
JP2009294659A (ja) * 2005-04-27 2009-12-17 Asml Netherlands Bv 多層ミラー用のスペクトル純化フィルタ、このような多層ミラーを含むリソグラフィ機器、所望の放射と望ましくない放射の比を拡大する方法、及びデバイスの製作方法
JP2010505261A (ja) * 2006-09-27 2010-02-18 エーエスエムエル ネザーランズ ビー.ブイ. 放射システムおよびこれを含むリソグラフィ装置
JP2010509774A (ja) * 2006-11-10 2010-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Euv光の透過率が改善されたeuvペリクル
JP2014519196A (ja) * 2011-05-18 2014-08-07 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvリソグラフィ用の反射光学素子及び光学系
KR20170141672A (ko) * 2015-04-20 2017-12-26 칼 짜이스 에스엠테 게엠베하 특히 마이크로리소그래픽 투영 노광 장치용 미러
JP7446314B2 (ja) 2019-01-04 2024-03-08 ケーエルエー コーポレイション Euv光学系用硼素ベースキャッピング層

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US20070281109A1 (en) * 2000-03-31 2007-12-06 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
US7261957B2 (en) 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
DE10016008A1 (de) 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
US6479830B1 (en) 2000-11-01 2002-11-12 Trw Inc. Low-sputter-yield coating for hardware near laser-produced plasma
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US7439530B2 (en) * 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7372056B2 (en) * 2005-06-29 2008-05-13 Cymer, Inc. LPP EUV plasma source material target delivery system
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
KR100725859B1 (ko) * 2001-05-23 2007-06-08 학교법인 한양학원 극자외선 노광 공정용 Ru/Mo/Si 반사형 다층 박막미러
US20030064161A1 (en) * 2001-06-06 2003-04-03 Malinowski Michael E. Method for reducing carbon contamination of multilayer mirrors
US6879374B2 (en) 2001-06-20 2005-04-12 Asml Netherlands B.V. Device manufacturing method, device manufactured thereby and a mask for use in the method
JP4460284B2 (ja) * 2001-07-03 2010-05-12 イーユーヴィー リミテッド ライアビリティー コーポレイション 光学要素及びその形成方法
KR100454081B1 (ko) * 2001-12-24 2004-10-20 학교법인 한양학원 반사형 다층 박막 미러 및 그 제조 방법
US6920199B2 (en) * 2002-02-20 2005-07-19 Gkss-Forschungszentrum Geesthacht Gmbh Mirror element for the reflection of x-rays
DE10208705B4 (de) 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromatorspiegel für den EUV-Spektralbereich
DE50301645D1 (de) * 2002-03-04 2005-12-22 Fraunhofer Ges Forschung Methode zur Auswahl der Schichtdicken eines reflektierenden Elements für elektromagnetische Strahlung im extremen ultravioletten Bereich
US20050111083A1 (en) * 2002-03-27 2005-05-26 Yakshin Andrey E. Optical broad band element and process for its production
EP1369744A1 (en) * 2002-06-06 2003-12-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI227380B (en) 2002-06-06 2005-02-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
TWI249651B (en) * 2002-06-14 2006-02-21 Asml Netherlands Bv EUV lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured there
DE10235255B4 (de) * 2002-08-01 2004-08-12 Infineon Technologies Ag Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren
EP1394815B1 (en) * 2002-08-28 2011-06-22 ASML Netherlands B.V. Lithographic apparatus comprising a Mo/Si-multilayer with capping layer
US6763086B2 (en) * 2002-09-05 2004-07-13 Osmic, Inc. Method and apparatus for detecting boron in x-ray fluorescence spectroscopy
DE10258709A1 (de) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Schutzsystem für reflektive optische Elemente, reflektives optisches Element und Verfahren zu deren Herstellung
US6982133B2 (en) * 2002-12-21 2006-01-03 Intel Corporation Damage-resistant coatings for EUV lithography components
TWI286674B (en) 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
DE10309084A1 (de) 2003-03-03 2004-09-16 Carl Zeiss Smt Ag Reflektives optisches Element und EUV-Lithographiegerät
US7126671B2 (en) 2003-04-04 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10319005A1 (de) * 2003-04-25 2004-11-25 Carl Zeiss Smt Ag Reflektives optisches Element, optisches System und EUV-Lithographievorrichtung
US7499149B2 (en) 2003-06-24 2009-03-03 Asml Netherlands B.V. Holographic mask for lithographic apparatus and device manufacturing method
EP1496521A1 (en) * 2003-07-09 2005-01-12 ASML Netherlands B.V. Mirror and lithographic apparatus with mirror
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US6998202B2 (en) 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
JP2005056943A (ja) * 2003-08-08 2005-03-03 Canon Inc X線多層ミラーおよびx線露光装置
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
JP4466566B2 (ja) * 2003-10-15 2010-05-26 株式会社ニコン 多層膜反射鏡、多層膜反射鏡の製造方法、及び露光装置
US20050109278A1 (en) * 2003-11-26 2005-05-26 Ted Liang Method to locally protect extreme ultraviolet multilayer blanks used for lithography
US7460206B2 (en) * 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7081992B2 (en) 2004-01-16 2006-07-25 Euv Llc Condenser optic with sacrificial reflective surface
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
JP4566791B2 (ja) * 2004-03-26 2010-10-20 キヤノン株式会社 軟x線多層膜反射鏡
JP4532991B2 (ja) * 2004-05-26 2010-08-25 キヤノン株式会社 投影光学系、露光装置及びデバイス製造方法
US7491478B2 (en) * 2004-07-23 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
DE102004043516A1 (de) 2004-09-08 2006-03-23 Osram Opto Semiconductors Gmbh Seitlich emittierendes strahlungserzeugendes Bauelement und Linse für ein solches Bauelement
US7092290B2 (en) * 2004-11-16 2006-08-15 Sandisk Corporation High speed programming system with reduced over programming
DE102004062289B4 (de) 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
US7450217B2 (en) * 2005-01-12 2008-11-11 Asml Netherlands B.V. Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7449703B2 (en) * 2005-02-25 2008-11-11 Cymer, Inc. Method and apparatus for EUV plasma source target delivery target material handling
US7482609B2 (en) * 2005-02-28 2009-01-27 Cymer, Inc. LPP EUV light source drive laser system
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7948675B2 (en) 2005-10-11 2011-05-24 Nikon Corporation Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprising same, and associated methods
JP5061903B2 (ja) * 2005-10-11 2012-10-31 株式会社ニコン 多層膜反射鏡、多層膜反射鏡の製造方法、光学系、露光装置及びデバイスの製造方法
US7453077B2 (en) * 2005-11-05 2008-11-18 Cymer, Inc. EUV light source
JP2007134464A (ja) * 2005-11-09 2007-05-31 Canon Inc 多層膜を有する光学素子及びそれを有する露光装置
JP4946296B2 (ja) 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
JP4365855B2 (ja) * 2006-12-21 2009-11-18 三菱電機株式会社 車両用操舵装置
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
US7663127B2 (en) * 2007-03-13 2010-02-16 Globalfoundries Inc. EUV debris mitigation filter and method for fabricating semiconductor dies using same
JP2008263173A (ja) * 2007-03-16 2008-10-30 Canon Inc 露光装置
EP2053463B1 (en) * 2007-10-23 2011-06-08 Imec Detection of contamination in EUV systems
NL1036469A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby.
TWI425252B (zh) * 2008-03-26 2014-02-01 Nat Applied Res Laboratories 反射膜及其製成方法
KR100972863B1 (ko) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 극자외선 리소그라피 마스크 및 그 제조 방법
DE102009001488A1 (de) 2008-05-21 2009-11-26 Asml Netherlands B.V. Entfernen von Kontaminationen von optischen Oberflächen durch aktivierten Wasserstoff
CN102047183B (zh) * 2008-06-04 2013-12-18 Asml荷兰有限公司 多层反射镜和光刻设备
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
EP2297746A1 (en) 2008-07-07 2011-03-23 Philips Intellectual Property & Standards GmbH Extreme uv radiation reflecting element comprising a sputter-resistant material
EP2157584A3 (en) * 2008-08-14 2011-07-13 ASML Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
NL2003363A (en) * 2008-09-10 2010-03-15 Asml Netherlands Bv Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method.
DE102009017095A1 (de) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
EP2348348B1 (en) * 2009-08-28 2014-11-19 European Space Agency Method for assembling a mirror plate stack
NL2005460A (en) * 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
CN102640021B (zh) 2009-12-04 2014-06-25 旭硝子株式会社 Euv光刻用光学构件及带反射层的euv光刻用衬底的制造方法
KR20130007533A (ko) 2009-12-09 2013-01-18 아사히 가라스 가부시키가이샤 Euv 리소그래피용 광학 부재
CN102621815B (zh) * 2011-01-26 2016-12-21 Asml荷兰有限公司 用于光刻设备的反射光学部件及器件制造方法
NL2008391A (en) 2011-04-04 2012-10-08 Asml Netherlands Bv Radiation source-collector and lithographic apparatus.
JP5951010B2 (ja) * 2011-06-15 2016-07-13 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー、多層ミラーを生成する方法およびリソグラフィ装置
DE102011083462A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV-Spiegel mit einer Oxynitrid-Deckschicht mit stabiler Zusammensetzung
DE102011083461A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
DE102012219545A1 (de) * 2012-10-25 2014-04-30 Carl Zeiss Smt Gmbh Projektionsbelichtungssystem für EUV-Lithographie und Verfahren zum Betreiben des Projektionsbelichtungssystems
DE102012222466A1 (de) * 2012-12-06 2014-06-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
US20140168758A1 (en) * 2012-12-13 2014-06-19 Kla-Tencor Corporation Carbon as grazing incidence euv mirror and spectral purity filter
DE102013200294A1 (de) * 2013-01-11 2014-07-17 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
RU2522448C1 (ru) * 2013-03-13 2014-07-10 Открытое акционерное общество "Научно-исследовательский и технологический институт оптического материаловедения Всероссийского научного центра "Государственный оптический институт им. С.И. Вавилова" (ОАО "НИТИОМ ВНЦ "ГОИ им. С.И. Вавилова") Покрытие для заготовки космического зеркала
TWI664452B (zh) * 2013-05-09 2019-07-01 日商尼康股份有限公司 光學構件、投影光學系統、曝光裝置以及元件製造方法
WO2015039705A1 (en) 2013-09-23 2015-03-26 Carl Zeiss Smt Gmbh Multilayer mirror
TWI565681B (zh) 2013-10-15 2017-01-11 中原大學 多孔二氧化矽氣凝膠複合薄膜及其製造方法以及二氧化碳吸收裝置
DE102014200932A1 (de) * 2014-01-20 2015-07-23 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
CN104749663A (zh) * 2015-04-21 2015-07-01 中国科学院长春光学精密机械与物理研究所 具有极紫外光谱纯度和抗辐照损伤的多层膜
TWI769137B (zh) * 2015-06-30 2022-07-01 蘇普利亞 傑西瓦爾 一種用於紫外、極紫外和軟x射線光學元件的塗層及其製備方法
JP2017026928A (ja) * 2015-07-27 2017-02-02 エヌ・ティ・ティ・アドバンステクノロジ株式会社 Euv光用多層膜反射鏡
DE102016200814A1 (de) 2016-01-21 2017-07-27 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
DE102016208987A1 (de) 2016-05-24 2017-11-30 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
TWI811037B (zh) 2016-07-27 2023-08-01 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
DE102016218028A1 (de) 2016-09-20 2018-03-22 Carl Zeiss Smt Gmbh Reflektives optisches Element
DE102017206118A1 (de) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System
US11086209B2 (en) * 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
DE102017213181A1 (de) 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
CN110221369B (zh) * 2019-06-26 2020-10-27 西安交通大学 一种基于BN(Al)薄膜的布拉格反射镜及制备方法
DE102019212910A1 (de) * 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
KR20210089406A (ko) 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
TWI836207B (zh) 2020-04-17 2024-03-21 美商應用材料股份有限公司 極紫外光遮罩吸收材料
DE102020206117A1 (de) 2020-05-14 2021-11-18 Carl Zeiss Smt Gmbh Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US11762278B2 (en) 2021-06-16 2023-09-19 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
DE102022203495A1 (de) * 2022-04-07 2023-10-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für eine Wellenlänge im extrem ultravioletten Wellenlängenbereich

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4214818A (en) * 1978-11-08 1980-07-29 Westinghouse Electric Corp. Hot pressed SiC-high power laser mirror
JP2566564B2 (ja) 1986-10-01 1996-12-25 キヤノン株式会社 軟x線又は真空紫外線用多層膜反射鏡
US5433988A (en) * 1986-10-01 1995-07-18 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JPS63106703A (ja) 1986-10-24 1988-05-11 Nikon Corp 光学素子
US4778251A (en) * 1987-03-09 1988-10-18 Rockwell International Corporation Thickness error compensation for digital gradient-index optical coatings
JPH0795120B2 (ja) * 1987-07-13 1995-10-11 日本航空電子工業株式会社 多層膜反射鏡
JPH0213902A (ja) 1988-07-01 1990-01-18 Mitsubishi Electric Corp 紫外光反射板
JP2883100B2 (ja) * 1989-05-22 1999-04-19 キヤノン株式会社 軟x線・真空紫外線用ハーフミラー又はビームスプリッター
JP2787987B2 (ja) * 1989-11-22 1998-08-20 株式会社リコー 反射鏡
US5356662A (en) * 1993-01-05 1994-10-18 At&T Bell Laboratories Method for repairing an optical element which includes a multilayer coating
US5265143A (en) * 1993-01-05 1993-11-23 At&T Bell Laboratories X-ray optical element including a multilayer coating
US5591958A (en) * 1993-06-14 1997-01-07 Nikon Corporation Scanning exposure method and apparatus
US5360659A (en) * 1993-05-24 1994-11-01 The Dow Chemical Company Two component infrared reflecting film
US5513039A (en) * 1993-05-26 1996-04-30 Litton Systems, Inc. Ultraviolet resistive coated mirror and method of fabrication
DE4407502A1 (de) * 1994-03-07 1995-09-14 Leybold Ag Mehrlagige Beschichtung
JP3345512B2 (ja) 1994-07-12 2002-11-18 株式会社日立製作所 半導体装置の製造方法
US5646976A (en) * 1994-08-01 1997-07-08 Osmic, Inc. Optical element of multilayered thin film for X-rays and neutrons
US5521031A (en) 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
JPH08220304A (ja) * 1995-02-13 1996-08-30 Tadahiro Omi 光学物品及びそれを用いた露光装置又は光学系並びにその製造方法
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5850309A (en) 1996-03-27 1998-12-15 Nikon Corporation Mirror for high-intensity ultraviolet light beam
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
EP0890136B9 (en) * 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JPH10199801A (ja) * 1997-01-13 1998-07-31 Mitsubishi Materials Corp 人工ダイヤモンド膜の残留応力が少ないx線リソグラフィー用マスク
US5911858A (en) * 1997-02-18 1999-06-15 Sandia Corporation Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors
JP3607454B2 (ja) * 1997-03-31 2005-01-05 Hoya株式会社 X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法
EP1039509A4 (en) * 1997-04-18 2005-01-12 Nikon Corp ALIGNER, EXPOSURE METHOD USING THE SAME, AND METHOD OF MANUFACTURING CIRCUIT DEVICE
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
CN1182440C (zh) 1997-09-30 2004-12-29 西门子公司 用于深紫外线光刻的层状结构以及形成光刻层状结构的方法
US5958605A (en) 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6042995A (en) * 1997-12-09 2000-03-28 Lucent Technologies Inc. Lithographic process for device fabrication using a multilayer mask which has been previously inspected
US6391400B1 (en) * 1998-04-08 2002-05-21 Thomas A. Russell Thermal control films suitable for use in glazing
AU6417499A (en) * 1998-10-08 2000-04-26 Rochester Institute Of Technology Photomask for projection lithography at or below about 160 nm and a method
US6229652B1 (en) * 1998-11-25 2001-05-08 The Regents Of The University Of California High reflectance and low stress Mo2C/Be multilayers
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6180291B1 (en) * 1999-01-22 2001-01-30 International Business Machines Corporation Static resistant reticle
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
TW546699B (en) * 2000-02-25 2003-08-11 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002122981A (ja) * 2000-10-13 2002-04-26 Samsung Electronics Co Ltd 反射型フォトマスク
KR100852985B1 (ko) * 2001-01-03 2008-08-19 이유브이 리미티드 라이어빌러티 코포레이션 극자외선 리쏘그래피용 자가세정 광학장치
JP2004519868A (ja) * 2001-04-17 2004-07-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Euvに透明な境界構造
JP2008293032A (ja) * 2001-07-03 2008-12-04 Euv Llc 不動態化保護膜二重層
JP2005505930A (ja) * 2001-10-04 2005-02-24 カール・ツァイス・エスエムティー・アーゲー 光学要素及びその製造方法、並びにリソグラフィー装置及び半導体装置の製造方法
JP2003318104A (ja) * 2002-04-18 2003-11-07 Samsung Electronics Co Ltd キャッピング層を有する反射フォトマスクおよびその製造方法
JP2004165642A (ja) * 2002-08-28 2004-06-10 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP4521696B2 (ja) * 2003-05-12 2010-08-11 Hoya株式会社 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク
JP2004363570A (ja) * 2003-05-12 2004-12-24 Hoya Corp 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク
JP2009055064A (ja) * 2003-10-20 2009-03-12 Asml Netherlands Bv リソグラフィ機器で使用するミラー上での上部層、リソグラフィ機器で使用するミラー、このようなミラーを備えるリソグラフィ機器及びデバイスの製造方法
JP2005268750A (ja) * 2004-02-19 2005-09-29 Hoya Corp 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP4693395B2 (ja) * 2004-02-19 2011-06-01 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP2006191086A (ja) * 2004-12-29 2006-07-20 Asml Netherlands Bv リソグラフィ装置、素子製造方法、及び光学構成部品
US7701554B2 (en) 2004-12-29 2010-04-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and optical component
JP4495082B2 (ja) * 2004-12-29 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、素子製造方法、及び光学構成部品
JP2009294659A (ja) * 2005-04-27 2009-12-17 Asml Netherlands Bv 多層ミラー用のスペクトル純化フィルタ、このような多層ミラーを含むリソグラフィ機器、所望の放射と望ましくない放射の比を拡大する方法、及びデバイスの製作方法
JP2009510714A (ja) * 2005-06-13 2009-03-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびそのためのクリーニング方法
JP2007140105A (ja) * 2005-11-18 2007-06-07 Nikon Corp 多層膜反射鏡及び露光装置
US8004657B2 (en) 2006-02-28 2011-08-23 Canon Kabushiki Kaisha Exposure apparatus, control method for the same, and device manufacturing method
KR100861388B1 (ko) * 2006-02-28 2008-10-02 캐논 가부시끼가이샤 노광 장치 및 그 제어 방법, 및 디바이스 제조 방법
JP2007273668A (ja) * 2006-03-31 2007-10-18 Toppan Printing Co Ltd 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法
JP2009536456A (ja) * 2006-05-05 2009-10-08 エーエスエムエル ネザーランズ ビー.ブイ. Euvマスク用反射防止コーティング
JP2011228742A (ja) * 2006-09-27 2011-11-10 Asml Netherlands Bv 光センサ装置及びeuv放射を検出する方法
JP2010505261A (ja) * 2006-09-27 2010-02-18 エーエスエムエル ネザーランズ ビー.ブイ. 放射システムおよびこれを含むリソグラフィ装置
JP2010509774A (ja) * 2006-11-10 2010-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Euv光の透過率が改善されたeuvペリクル
JP2008205376A (ja) * 2007-02-22 2008-09-04 Nikon Corp 多層膜反射鏡、露光装置、デバイス製造方法、多層膜反射鏡の製造方法
JP2014519196A (ja) * 2011-05-18 2014-08-07 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvリソグラフィ用の反射光学素子及び光学系
US9996005B2 (en) 2011-05-18 2018-06-12 Carl Zeiss Smt Gmbh Reflective optical element and optical system for EUV lithography
KR101905223B1 (ko) 2011-05-18 2018-10-05 칼 짜이스 에스엠테 게엠베하 Euv 리소그래피용 반사 광학 소자 및 광학계
KR20170141672A (ko) * 2015-04-20 2017-12-26 칼 짜이스 에스엠테 게엠베하 특히 마이크로리소그래픽 투영 노광 장치용 미러
KR102614583B1 (ko) 2015-04-20 2023-12-18 칼 짜이스 에스엠테 게엠베하 특히 마이크로리소그래픽 투영 노광 장치용 미러
JP7446314B2 (ja) 2019-01-04 2024-03-08 ケーエルエー コーポレイション Euv光学系用硼素ベースキャッピング層

Also Published As

Publication number Publication date
TW561279B (en) 2003-11-11
EP1801658A2 (en) 2007-06-27
JP4068285B2 (ja) 2008-03-26
EP1801658A3 (en) 2007-07-18
DE60036510T2 (de) 2008-06-19
TWI267704B (en) 2006-12-01
KR100599940B1 (ko) 2006-07-12
KR100589240B1 (ko) 2006-06-14
US6449086B1 (en) 2002-09-10
DE60018328T2 (de) 2006-04-06
EP1065568A3 (en) 2003-03-19
EP1065568B1 (en) 2007-09-26
KR20010066880A (ko) 2001-07-11
JP2001051106A (ja) 2001-02-23
EP1801658B1 (en) 2016-06-15
DE60018328D1 (de) 2005-04-07
USRE42338E1 (en) 2011-05-10
US6738188B2 (en) 2004-05-18
DE60036510D1 (de) 2007-11-08
EP1065532A2 (en) 2001-01-03
KR20010066881A (ko) 2001-07-11
US20030043456A1 (en) 2003-03-06
JP3652221B2 (ja) 2005-05-25
EP1065532A3 (en) 2003-03-19
EP1065568A2 (en) 2001-01-03
EP1065532B1 (en) 2005-03-02
US6724462B1 (en) 2004-04-20

Similar Documents

Publication Publication Date Title
JP4068285B2 (ja) 極端紫外光学素子用のキャッピング層
KR100779699B1 (ko) 다층 거울용 스펙트럼 퓨리티 필터, 이러한 다층 거울을포함하는 리소그래피 장치, 원하는 방사선과 원하지 않는방사선의 비율을 확대시키는 방법, 및 디바이스 제조방법
JP5087076B2 (ja) Euvマスク用反射防止コーティング
JP2017126086A (ja) リソグラフィ装置、スペクトル純度フィルタおよびデバイス製造方法
JP2008270808A (ja) 多層膜反射鏡、露光装置、デバイス製造方法、多層膜反射鏡の製造方法
TW567534B (en) Lithographic projection apparatus, method of manufacturing integrated circuits, method of manufacturing a reflector, and phase shift mask
KR101625934B1 (ko) 다층 미러 및 리소그래피 장치
TW200411339A (en) Lithographic apparatus and device manufacturing method

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20061010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070404

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080110

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110118

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4068285

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120118

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130118

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees