JP3652221B2 - 反射度を高めた多層極端紫外線ミラー - Google Patents
反射度を高めた多層極端紫外線ミラー Download PDFInfo
- Publication number
- JP3652221B2 JP3652221B2 JP2000194831A JP2000194831A JP3652221B2 JP 3652221 B2 JP3652221 B2 JP 3652221B2 JP 2000194831 A JP2000194831 A JP 2000194831A JP 2000194831 A JP2000194831 A JP 2000194831A JP 3652221 B2 JP3652221 B2 JP 3652221B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- sublayer
- target wavelength
- multilayer reflector
- multilayer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000002310 reflectometry Methods 0.000 title claims description 31
- 239000000463 material Substances 0.000 claims description 139
- 239000000758 substrate Substances 0.000 claims description 55
- 230000005855 radiation Effects 0.000 claims description 31
- 238000005457 optimization Methods 0.000 claims description 28
- FGDZQCVHDSGLHJ-UHFFFAOYSA-M rubidium chloride Chemical compound [Cl-].[Rb+] FGDZQCVHDSGLHJ-UHFFFAOYSA-M 0.000 claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 25
- 229910052707 ruthenium Inorganic materials 0.000 claims description 22
- 238000000034 method Methods 0.000 claims description 21
- 229910052703 rhodium Inorganic materials 0.000 claims description 20
- 229910052712 strontium Inorganic materials 0.000 claims description 18
- 150000001875 compounds Chemical class 0.000 claims description 17
- 229910045601 alloy Inorganic materials 0.000 claims description 16
- 239000000956 alloy Substances 0.000 claims description 16
- JAAGVIUFBAHDMA-UHFFFAOYSA-M rubidium bromide Chemical compound [Br-].[Rb+] JAAGVIUFBAHDMA-UHFFFAOYSA-M 0.000 claims description 14
- 229910052790 beryllium Inorganic materials 0.000 claims description 13
- 238000004519 manufacturing process Methods 0.000 claims description 13
- 239000010703 silicon Substances 0.000 claims description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 12
- 238000005286 illumination Methods 0.000 claims description 11
- 229910052750 molybdenum Inorganic materials 0.000 claims description 9
- 230000008569 process Effects 0.000 claims description 9
- 229910052758 niobium Inorganic materials 0.000 claims description 8
- 229910052698 phosphorus Inorganic materials 0.000 claims description 8
- 229910052701 rubidium Inorganic materials 0.000 claims description 8
- 229910052747 lanthanoid Inorganic materials 0.000 claims description 7
- 150000002602 lanthanoids Chemical class 0.000 claims description 7
- 229910052763 palladium Inorganic materials 0.000 claims description 7
- 239000000377 silicon dioxide Substances 0.000 claims description 7
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052746 lanthanum Inorganic materials 0.000 claims description 6
- 229910052713 technetium Inorganic materials 0.000 claims description 6
- 229910052727 yttrium Inorganic materials 0.000 claims description 6
- 238000004364 calculation method Methods 0.000 claims description 5
- 238000012546 transfer Methods 0.000 claims description 5
- 229910052726 zirconium Inorganic materials 0.000 claims description 5
- 229910052684 Cerium Inorganic materials 0.000 claims description 4
- 229910052777 Praseodymium Inorganic materials 0.000 claims description 4
- 239000004065 semiconductor Substances 0.000 claims description 4
- 229910052693 Europium Inorganic materials 0.000 claims description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 3
- 229910052796 boron Inorganic materials 0.000 claims description 3
- 239000011148 porous material Substances 0.000 claims description 3
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 claims description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 2
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 2
- 229910052799 carbon Inorganic materials 0.000 claims description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 2
- 238000012986 modification Methods 0.000 claims 1
- 230000004048 modification Effects 0.000 claims 1
- 239000010410 layer Substances 0.000 description 102
- 230000003287 optical effect Effects 0.000 description 26
- 239000010948 rhodium Substances 0.000 description 25
- 230000008033 biological extinction Effects 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 239000010955 niobium Substances 0.000 description 8
- 229940102127 rubidium chloride Drugs 0.000 description 7
- 238000013461 design Methods 0.000 description 6
- KDLHZDBZIXYQEI-UHFFFAOYSA-N palladium Substances [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 230000000052 comparative effect Effects 0.000 description 5
- 238000011282 treatment Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 4
- 238000005192 partition Methods 0.000 description 4
- 125000006850 spacer group Chemical group 0.000 description 4
- 229910003526 Sr—Si Inorganic materials 0.000 description 3
- 239000004964 aerogel Substances 0.000 description 3
- 238000013459 approach Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 230000001419 dependent effect Effects 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 3
- 230000003595 spectral effect Effects 0.000 description 3
- 239000002344 surface layer Substances 0.000 description 3
- 230000005469 synchrotron radiation Effects 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 239000006094 Zerodur Substances 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- -1 phosphides Chemical class 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 101800000268 Leader protease Proteins 0.000 description 1
- 229910052765 Lutetium Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- 238000001015 X-ray lithography Methods 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- OGPBJKLSAFTDLK-UHFFFAOYSA-N europium atom Chemical compound [Eu] OGPBJKLSAFTDLK-UHFFFAOYSA-N 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- OHSVLFRHMCKCQY-UHFFFAOYSA-N lutetium atom Chemical compound [Lu] OHSVLFRHMCKCQY-UHFFFAOYSA-N 0.000 description 1
- 230000005381 magnetic domain Effects 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000015654 memory Effects 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- QEFYFXOXNSNQGX-UHFFFAOYSA-N neodymium atom Chemical compound [Nd] QEFYFXOXNSNQGX-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- PUDIUYLPXJFUGB-UHFFFAOYSA-N praseodymium atom Chemical compound [Pr] PUDIUYLPXJFUGB-UHFFFAOYSA-N 0.000 description 1
- 230000037452 priming Effects 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 210000001747 pupil Anatomy 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- IGLNJRXAVVLDKE-UHFFFAOYSA-N rubidium atom Chemical compound [Rb] IGLNJRXAVVLDKE-UHFFFAOYSA-N 0.000 description 1
- KZUNJOHGWZRPMI-UHFFFAOYSA-N samarium atom Chemical compound [Sm] KZUNJOHGWZRPMI-UHFFFAOYSA-N 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- GKLVYJBZJHMRIY-UHFFFAOYSA-N technetium atom Chemical compound [Tc] GKLVYJBZJHMRIY-UHFFFAOYSA-N 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B5/00—Optical elements other than lenses
- G02B5/08—Mirrors
- G02B5/0891—Ultraviolet [UV] mirrors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70058—Mask illumination systems
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70216—Mask projection systems
- G03F7/70233—Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/7095—Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
- G03F7/70958—Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70983—Optical system protection, e.g. pellicles or removable covers for protection of mask
-
- G—PHYSICS
- G21—NUCLEAR PHYSICS; NUCLEAR ENGINEERING
- G21K—TECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
- G21K1/00—Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
- G21K1/06—Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
-
- G—PHYSICS
- G21—NUCLEAR PHYSICS; NUCLEAR ENGINEERING
- G21K—TECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
- G21K1/00—Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
- G21K1/06—Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
- G21K1/062—Devices having a multilayer structure
-
- G—PHYSICS
- G21—NUCLEAR PHYSICS; NUCLEAR ENGINEERING
- G21K—TECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
- G21K2201/00—Arrangements for handling radiation or particles
- G21K2201/06—Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
- G21K2201/061—Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements characterised by a multilayer structure
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S359/00—Optical: systems and elements
- Y10S359/90—Methods
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24355—Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Public Health (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Chemical & Material Sciences (AREA)
- Environmental & Geological Engineering (AREA)
- Nanotechnology (AREA)
- Spectroscopy & Molecular Physics (AREA)
- General Engineering & Computer Science (AREA)
- High Energy & Nuclear Physics (AREA)
- Mathematical Physics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Theoretical Computer Science (AREA)
- Optics & Photonics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Optical Elements Other Than Lenses (AREA)
- Optical Filters (AREA)
- Surface Treatment Of Optical Elements (AREA)
- Surface Treatment Of Glass (AREA)
Description
【発明の属する技術分野】
本発明は、極端紫外放射線用の多層ミラーに関するものである。より具体的に言えば、本発明は、放射線投影ビームを供給する照明システムと、マスクを保持するマスクホールダを備えた第1対象テーブルと、基板を保持する基板ホールダを備えた第2対象テーブルと、基板のターゲット部分へマスクの照射部分を転写する投影システムとを含むリソグラフ投影装置内の前記ミラーの使用に関するものである。
【0002】
【従来の技術】
簡単にするため、以下では、投影システムを「レンズ」と呼ぶが、この用語は、例えば屈折レンズ、反射レンズ、屈折反射システム、荷電粒子レンズを含む種々の投影システムを包含するものと広く解釈されたい。照明システムも、それらの原理のいずれかに従って、投影ビームを方向づけ、付形し、制御するために操作する部材を含むことができ、それらの部材も、以下では集合的にまたは個別的に「レンズ」と呼ぶことにする。加えて、第1と第2の対象テーブルは、それぞれ「マスクテーブル」、「基板テーブル」と呼ぶ。
本明細書では、本発明が、直角のX,Y,Z方向の基準システムを用いて記述され、I方向と平行な軸線を中心とする回転がRiで示されている。更に、文脈上、別の要求がなされないかぎり、ここで用いる「垂直(Z)」の語は、装置の特定の方向を含意するより、むしろ、基板またはマスク面に対し直角の方向、または光学システムの光軸と平行な方向を意味している。同じように、「水平」という用語は、基板又はマスク面と平行な方向、または光軸と直角の方向を意味し、したがって「垂直」方向に対し直角である。
【0003】
リソグラフィ投影装置は、例えば、集積回路(IC)の製造に使用される。その場合、マスク(レチクル)は、ICの個別層に対応する回路パターンを有し、この回路パターンが、感光材料(レジスト)層で被覆された基板(シリコンウェーハ)上の露出区域(ダイ)に転写される。一般的に、単一ウェーハは、隣接する複数ダイの全ネットワークを含み、これらのダイが、一度に1つ順次にレクチルを介して照射される。リソグラフィ投影装置の或る型式の場合、一度にダイ上に全レクチルパターンを露光させることによって、各ダイが照射される。この種の装置は、普通、ウェーハステッパと呼ばれる。通常、ステップ・アンド・スキャン装置と呼ばれる別の装置では、所定基準方向(「走査」方向)の投影ビームのもとでレクチルパターンを漸次走査する一方、同期して、前記方向と平行または逆平行にウェーハテーブルを走査することにより、各ダイが照射される。なぜなら、一般に、投影システムは、倍率M(概して<1)を有し、ウェーハテーブルが走査される速度は、レクチルテーブルが走査される速度のM倍となるからである。ここに記述されるようなリソグラフィ装置に関するこのほかの情報は、例えば国際特許出願WO97/33205から収集できる。
【0004】
ごく最近まで、リソグラフィ投影装置は、単一マスクテーブルと単一基板テーブルを含んでいた。しかし、現在では、少なくとも2個の独立して可動の基板テーブルを有する装置が利用可能になっている。例えば国際特許出願WO98/28665およびWO98/40791を参照のこと。この種の多段装置の基本操作原理は、次のようなものである。すなわち第1基板テーブルが投影システム下の露光位置に配置されて、該テーブル上の第1基板が露光される間に、第2基板テーブルが装荷位置に移動して、露光済みの基板を排出し、新しい基板をピックアップし、新基板について何らかの初期測定を行ってから、第1基板の露光が完了すると直ちに、投影システム下の露光位置へ新基板を搬送できるように準備し、このサイクルが反復される。このようにして、装置のスループットを事実上増大でき、他方、それによって、装置の所有費用が軽減される。理解すべき点は、この同じ原則は、露光位置と測定位置との間を移動する基板が1個だけの場合にしか利用できない点である。
【0005】
リソグラフィ投影装置の場合、ウェーハ上に転写される造形(features)の寸法は、投影放射線の波長によって制限される。デバイス密度が比較的高い、したがって操作速度の比較的高い集積回路を製造するには、比較的小さい造形を転写できるのが望ましい。最新のリソグラフィ投影装置は水銀灯またはエキシマレーザで発生させた紫外線を用いるのに対し、約13nmの比較的短い波長の放射線を利用することが提案されている。この放射線は、極端紫外線(EUV)または軟X線と呼ばれ、可能な光源は、レーザプラズマ光源または電子蓄積リングからのシンクロトロン放射を含んでいる。シンクロトロン放射を用いるリソグラフィ装置のおおよその設計は、J.B.マーフィほか著『投影X線リソグラフィ用のシンクロトロン放射源およびコンデンサ』(J.B.Murphy et al."Synchrotron radiation sources and condensers for projection x-ray lithography")(「応用光学」 1993年、32巻24号、6920〜6929頁)に記述されている。
【0006】
EUVスペクトル域では、高い反射度のミラーは、グレージング入射ミラーは別として、必ず多層薄膜設計にせねばならない。広く行われている設計は、全体が一定膜厚の1/4波長積層体に似た分布ブラッグ反射材で構成されるものである。11〜16nmの波長範囲用には、2つの設計が広く行われている。すなわち、11.3nm窓(window)用の、通常80分節から成るMo/Beと、13.4nm窓用の40〜50分節Mo/Siシステムであり、双方ともΓ=0.4の分配率(partition ratio)を有しており、この場合、Γ=dMo/(dMo+dSi(Be))である。一般に、分配率は、比較的高い吸光係数kを有する材料の厚さの、2層の合計厚に対する比と定義される。これらの設計では、Mo/Be積層体の場合は、最大理論反射度
【外1】
が得られ、Mo/Si積層体の場合には、
【外2】
が得られる一方、表面Si層上に、高い吸光率を有する-2nmの天然酸化物の生成が計算に入れられている。これらの反射度(EUV域での多層反射材には最良の値)は、少数の反射材を有する光学システムには適当だが、例えば9ミラー・システムの場合には、該反射度では、出力光学強度が第1ミラー直前の強度の6〜10%に劇的に低下することになる。9個のミラーは、EUVリソグラフィシステムの場合に予想される数である点で重要であり、照明器具内の2個、転写器具内の6個に加えて、反射レクチルの1個から成っている。したがって、単個のミラーのピーク反射度が「僅か」1〜2%だけ増加しても、光学システムの光スループットの有意な増大となるであろうことは明らかである。
【0007】
【発明が解決しようとする課題】
本発明の目的は、所望波長の場合に、より高い反射度を有する極端紫外線(EUV)用の多層ミラーを得ることにある。
【課題を解決するための手段】
本発明によれば、前記およびその他の目的は、所望波長域での放射線反射用の次のような反射材により達成された。すなわち、第1材料と第2材料交互の積層体を有し、前記第1材料が、前記所望波長域内で、前記第2材料より低い値の実屈折率を有する反射材であって、少なくとも1層の第3の材料が前記積層体の間に挟まれており、前記第3材料が、Rb,RbCl,RbBr,Sr,Y,Zr,Ru,Rh,Tc,Pd,Nb,Beを含む族、並びにこれらの材料の合金および化合物のなかから選択されていることを特徴とする反射材である。
本発明の好適実施例の場合、前記第3材料の層が前記第1と第2の材料の各層対間に挟まれており、かつまた任意に、前記積層体内に挟まれた少なくとも1層の第4材料を含み、前記第4材料が、Rb,RbCl,RbBr,Sr,Y,Zr,Ru,Rh,Tc,Pd,Nb,Beを含む族、並びにこれらの材料の合金および化合物のなかから選択されている。
【0008】
本発明により、また所望波長域での放射線の反射用の次のような反射材が得られる。すなわち、第1材料と第2材料交互の積層体を有し、前記第1材料が、前記所望波長域内で、前記第2材料より低い値の実屈折率を有している反射材であって、
前記第1と第2の材料の層厚が積層体にわたり変化していることを特徴とする反射材である。
層厚は、好ましくは、全体的最適化または局部的(needle)最適化の技術によって決定される。
本発明により、更に所望波長域での放射線反射用の次のような反射材が得られる。すなわち、第1材料と第2材料交互の積層体を有し、前記第1材料が、前記所望波長域内で、前記第2材料より低い値の実屈折率を有する反射材であって、前記第2材料が、P,Sr,Rbを含む族と、特にLa,Ce,Pr,Eu等のランタノイドと、それらの化合物および合金とのなかから選択されていることを特徴とする反射材である。
【0009】
更に本発明によれば、所望波長域での放射反射用の次のような反射材が得られる。すなわち、第1材料と第2材料交互の積層体を有し、前記第1材料が、前記所望波長域内で、前記第2材料より低い値の実屈折率を有している反射材であって、
前記第1材料がRuおよびRh含む族、並びにそれらの合金や化合物のなかから選択されていることを特徴とする反射材である。
本発明による反射材は、比較的不活性の材料製のキャッピング層を含み、前記比較的不活性の材料が、好ましくは、ダイヤモンド様炭素(C)、炭化ケイ素(SiC)、窒化ホウ素(BN)、窒化ケイ素(Ni3N4)、B、Ru、Rhを含む族から選択され、かつまた0.5nm〜3nmの範囲の厚さ、好ましくは1nm〜2nmの厚さを有している。
【0010】
本発明の第2の態様により得られるリソグラフ投影装置は、放射線の投影ビームを供給する照明システムと、
マスクを保持するマスクホールダを備えた第1対象テーブルと、
基板を保持する基板ホールダを備えた第2対象テーブルと、
基板のターゲット部分へマスクの照射部分を転写する投影システムとを含む形式のものであって、
前記照明システムと前記投影システムとの少なくとも一方が、前述の反射材を有することを特徴としている。
【0011】
本発明の第3の態様により、所望波長での放射線を反射する反射材を製造する方法が得られ、その場合、前記反射材が、第1材料と第2材料交互の積層体を有し、前記第1材料が、前記目標波長域内で、前記第2材料より低い値の実屈折率を有しており、前記方法は次の段階、すなわち、
数値式反復最適化処理を用いることにより適当な層厚を決定する段階と、
前記決定段階で事実上決定されるような層厚で反射材を製造する段階とを含むことを特徴としている。
【0012】
本発明の第3態様の好適実施例では、前記反復最適化処理が、
第1材料および第2材料用に指定された材料と、複数層に指定された初期厚とを有する反射材のモデルを確定する段階と、
積層体の1つ以上の層の厚さを変更して、その結果得られる積層体の反射度を計算する段階と、
指定基準に達するまで、前記変更および計算の段階を反復する段階とを含んでいる。
前記モデル確定段階では任意に、少なくとも1つの第3材料の少なくとも1層が、また積層体に含まれ、前記第3材料層の厚さが、変更段階の少なくとも1回の反復で変更される。
【0013】
本発明により、標準Mo/BeおよびMo/Si積層体での反射度の増大は次の措置の1つ以上により達成できる:すなわち、
(1)基本積層体内に付加材料を組み込むこと、
(2)標準積層体の構成要素の1つを、より好ましい光学定数を有する構成要素に取り替えること、
(3)最適反射度が得られるように、全体的最適化ルーチンを利用して積層体内での分配率または個別膜厚を変更すること、
(4)一定の比較的不活性の材料をキャッピング層として選択することで、高吸光性の表面酸化物膜の生成を防止すること、である。
本発明に使用可能な種々の材料は、モリブデン(Mo)、シリコン(Si)、ベリリウム(Be)に加えて、主に元素周期律表の周期5に属する元素から得られるものであり、次の材料を含んでいる:すなわち、ルビジウム(Rb)、塩化ルビジウム(RbCl)、臭化ルビジウム(RbBr)、ストロンチウム(Sr)、イトリウム(Y)、ジルコニウム(Zr)、ルテニウム(Ru)、ロジウム(Rh)、パラジウム(Pd)、テクネチウム(Tc)、燐(P)、ホウ素(B)、ニオブ(Nb)である。これらの材料の合金または化合物も使用できる。
【0014】
本発明に使用可能な他の材料は、ランタンからルテチウムにいたるランタノイドであるが、特にランタン(La)、セリウム(Ce)、プラセオジム(Pr)、ネオジム(Nd)、サマリウム(Sm)、ユーロピウム(Eu)である。これらおよび他の前記ランタノイドは、燐(P)、ニオブ(Nb)、アンチモン(Sb)と共に使用できる。
純元素に加えて、前記材料の化合物、特にホウ化物、炭化物、窒化物、リン化物、ハロゲン化物(例えばCsI)を使用できる。前記の、族IIAの元素を含む金属の合金も、また本発明に使用できる。
本発明に使用できる更に別の材料は、低密度の多孔質材料、例えばシリカ、チタニア、アルミナのエーロゲルや、ナノポーラス・シリコン、メソポーラス・シリコン、ナノクラスタ・シリコンその他の半導体である。
本発明の実施例では、複数層のいずれか、またはすべてが、例えばそれらの光学的、科学的、機械的いずれかの特性に何らかの所望の変更を加えるために、それらに他の材料または元素を埋め込んだり、拡散させたりすることができる。
本発明により、特に8〜16nmの波長域の特定放射源に最適化された反射材を得ることができる。
【0015】
本発明の第4態様により、次のようなデバイスの製造方法が得られる。すなわち、
エネルギー感知材料層により少なくとも部分的に被覆されている基板を得る段階と、
パターンを含むマスクを得る段階と、
エネルギー感知材料層のターゲット区域にマスクパターンの少なくとも一部の画像を投影するために、放射線の投影ビームを使用する段階とを含む方法であって、
前記投影ビームが、本発明の第1態様による反射材を含む照明システムまたは投影システムを用いて供給または投影されることを特徴としている。
【0016】
本発明によるリソグラフィ投影装置を用いる製造方法の場合、マスクのパターンが、エネルギー感知材料製の層(レジスト)によって少なくとも部分的に被覆された基板上に転写される。この転写段階の前に、基板には種々の処置、例えば下塗(priming)、レジスト被覆、ソフトベークが施される。露光後、基板には、別の処置、すなわち露光後ベーク(PEB)、現像、ハードベーク、転写された造形の測定/検査が行われる。この一連の処置は、例えば集積回路等のデバイスの個別層にパターン付けするための基礎として行われる。パターン付けされたこの層には、次いで種々の処置、例えばエッチング、イオン注入(ドーピング)、金属化、酸化、化学・機械式研磨等々が施されるが、これらの処置は、すべて個別の層を完成させるためのものである。数層が必要とされる場合には、これらすべての処置、またはそれらの処置の変化形式が、各層に反復される。場合によっては、複数デバイスが基板(ウェーハ)上に配列される。これらのデバイスは、次いでダイシングまたはソーイング等の技術により互いに分離され、これにより個々のデバイスがキャリアに取り付けられ、ピンに結合されること等が可能になる。これらの処置に関する別の情報は、例えば次の書籍、すなわちピータ・ヴァン・ザント著『マイクロチップの製造:半導体加工の実用案内』(第3版、1997年、マグロウヒル出版社刊、ISBN 0-07-067250-4)から得ることができる。
【0017】
本明細書では、本発明による装置を使用して集積回路を製造することについて説明したが、該装置は、その他多くの用途に使用可能であることを理解されたい。例えば、集積光学システム、磁区メモリ用の案内および検出パターン、液晶ディスプレーパネル、薄膜磁気ヘッド等々の製造に使用できる。当業者は、これらの別の用途と関連して、本明細書の「レチクル」、「ウェーハ」、「ダイ」などの用語を、より一般的な用語「マスク」、「基板」、「ターゲット区域」と、それぞれ言い換えて考えるのがよいだろう。
【0018】
【発明の実施の形態】
以下で本発明および本発明の利点を好適実施例と添付略示図とを参照して説明する。
図面が異なっても、等しい部品には等しい参照符号が付されている。
実施例1
図1は、本発明によるリソグラフィ投影装置の略示図である。この装置は、
EUV放射線の投影ビームPBを供給する放射システムLA,ILと、
マスクMA(例えばレチクル)を保持するマスクホールダを備え、マスクを部品PLに対し精密位置決めする第1位置決め装置PMに接続された第1対象テーブル(マスクテーブル)MTと、
基板W(例えばレジスト被覆のシリコンウェーハ)用の基板ホールダを備え、基板を部品PLに対し精密位置決めするための第2位置決め装置PWに接続された第2対象テーブル(基板テーブル)WTと、
マスクMAの照射された部分を基板Wのターゲット部分C(ダイ)に転写する投影システム(「レンズ」)PLとを、含んでいる。
【0019】
放射システムは、放射ビームを発生させる放射源LA(例えば、蓄積リングまたはシンクロトロンまたはレーザ誘起プラズマ源の電子ビーム経路の周囲に配置されたアンジュレータまたはウィグラー)を含んでいる。このビームは、照明システム(「レンズ」)ILに含まれる種々の光学構成部材に沿って進み、その結果生じる合成ビームPBが集束されて、入射瞳とマスクのところに一様の照明が与えられる。
ビームPBは、続いて、マスクテーブルMT上のマスクホールダ内に保持されているマスクMAに当てられる。マスクMAによって反射されたビームPBは、基板Wのターゲット区域CにビームPBを集束させるレンズPLを通過する。第1位置決め装置PWと干渉変位測定装置IFとによって、基板テーブルWTは、精密に移動せしめられ、それによりビームPBの経路内の異なるターゲット区域Cの位置決めが可能になる。同じように、位置決め装置PMも、例えばマスクライブラリからマスクMAを機械式に取り出した後、マスクMAをビームPBの経路に対して精密に位置決めするのに使用できる。概して、対象テーブルMT,WTの移動は、長行程モジュール(コース位置決め)と短行程モジュール(精密位置決め)とによって実現されるが、この点は図1には明示されていない。
【0020】
図示の装置は、異なる2モードで使用できる:すなわち、
ステップモードでは、マスクテーブルMTは事実上定置され、全マスク画像が、ターゲット区域C上に一度に(すなわち単一の「フラッシュ」で)投影される。基板テーブルWTが、次にx方向および/またはy方向に移動せしめられ、その結果、異なるターゲット区域CがビームPBによって照射される。
走査モードでも、事実上同じシナリオが適用され、異なる点は、所定ターゲット区域Cが単一「フラッシュ」では露光されない点だけである。このため、マスクテーブルMTが所定方向(いわゆる「走査方向」、例えばx方向)に速度vで移動可能であり、それによって投影ビームPBが、マスク画像全体にわたって走査するようにされ、同時に、基板テーブルWTが、速度v=Mvで等方向または逆方向に移動せしめられる。この式において、MはレンズPLの倍率(通常はM=1/4または1/5)である。このようにすることで、どちらかと言えば大きいターゲット区域Cを、解像度を落とすことなく露光することができる。
照明システムILは、同時係属ヨーロッパ特許出願00300784.6(出願人参照番号P−0129)に記載されている構成にすることができ、該出願は、ここに引用することで本明細書に取り入れられるものである。
【0021】
例
以下に説明する本発明の複数例は、薄膜設計プログラムTFCalc(ソフトウェア・スペクトラ社)を使用して行なわれ、かつLPro(4Dテクノロジー社)を用いて検証された計算によって得られるものである。組み込まれたTFCalcの全体的最適化および局部的(needle)最適化のルーチンが、最適化処理に使用された。この処理については、ティコラヴォフ(A.V.Tikhoravov,応用光学誌32,5417、1993年)、ティコラヴォフ、トルベツコフ(A.V.Tikhoravov,M.K.Trubetskov,GM.DeBell,応用光学誌35,5493、1996年)、ドブロフスキ、ケンプ(J.A.Dobrowski,R.A.Kemp、応用光学誌29,2876、1990年)が説明しており、ここにそれらを引用することで本明細書に取り入れるものである。種々の材料の光学定数、すなわち複合屈折率N=n・ikは、ヘンケ(Henke)ほかによる原子散乱因子から得られ、かつまたバークレイ(B.L.ヘンケ,E.M.ガリクソン[Gullikson],J.C.デイヴィス[Davis]:原子データおよび核データ表,54[2],181〜342[1993年];)のCXROウェブ・サーバーから得られる(http://www.cxro.lbl.gov/optical-constants)。使用材料に対するnとkとの値は、波長の関数として6nm〜42nmからダウンロードされ、nおよびkの値が波長に従属することが、すべての計算で含意(implicit)される。特に重要ないくつかの波長での種々の材料の場合のnとkとの値は、後出の表1に示してある。本発明による反射材の性能の向上を示すために、次の例では理想的な「白色」光照明を用いる。
【0022】
比較例1
比較例1は、ゼロドゥア(Zerodur)(RTM)ガラス基板上に成長した最適化されていない50分節Mo/Siシステムから成る標準Si基多層積層体であり、該基板は、dMo=2.8nmおよびdSi=4.1nmを生じる分配率Γ=0.4を有している。加えて、最終Si層は、酸化され、効果的に-2nmの天然酸化物層を生成すると思われる。この積層体の分析では、-13.4nmでR=0.731のピーク反射度が得られる。この積層体は、本発明による積層体の性能比較の基準となるものである。
例2〜23
本発明による例2〜23は、後出の表2に詳細に示したように、基準例1の積層体の変化形から成っている。表2の欄2には、積層体の複数層に用いられる材料が挙げられ、欄3には、適用された最適化が示されている。すなわちNは最適化を行わなかったことを示し、Yは全体的最適化を、Y(n)は局部的最適化(なお後述する)を表している。欄4には、用いられたキャッピング層が、欄5には、ピーク反射度Rが、欄6には、関連ユニット内でのピーク反射度R9 peakが、欄7には、関連ユニット内での(集積)反射度R9 intが、それぞれ示されている。
【0023】
9反射材システムの場合、光学的スループットのより有用な尺度は、一連の9個の反射材の正味反射度であるR9の値である。R9 intは、R9対λ(波長)スペクトルの曲線の下の区域である。所定積層体の場合のR9 peakとR9 intとの間の変化は、最適化処置、組み入れられた材料、キャッピング層材料のいずれかの関数であるか、またはこれら3つの何らかの組合わせの関数であるスペクトル半値幅の変化を示している。
例2〜20のすべての最終表面層は、4.1nm〜4.5nmのSi層であり、該層上には、欄4に示したキャッピング層が析出されるか、またはSiOの場合には成長する。SiO2の成長には、表面Si層が消費されるので、例2の場合、酸化前には、表面の2層は2nmのSi層、残りの複数層は約4nmのSi層であり、多層の最終層と見なされる層は2nmのSiO2層である。例21〜23は4.0nm〜4.4nmのRb層で終わり、この層に欄4に示した仕様のキャッピング層が析出される。
【0024】
例2は、最適化されていないMo/Si積層体であり、この積層体では、2nmの天然酸化物が6nmのSi表面層上に成長でき(比較例1の4nm表面層と比較される)、この結果、Rが1%増、R9 peakが13%増、R9 intが7%増となる。
例3では、R9 intの25%増が、2nmのBのキャッピング層析出によって達せられる。更に、例4〜例7での増加は、RhまたはRuをキャッピング層に選択し、積層体を最適化することで行われる。2成分(Mo/Si)多層積層体の場合に最高36%増にするには、例7に示すような最適化によって達成できる。
図2は、1.5nmのキャッピング層を有する最適化された51分節(102層)Mo/Si積層体の層構造を示している。この図では、層0は基板表面である。見られるように、Mo/Si積層体の最適化の結果、積層体全体の層厚が漸次滑らかに変化する一方、分節幅は、約6.8nm〜7.0nmの範囲で名目上一定にとどまる。基板近くでは、dMo=dSi=3.5nmが、表面近くでdMo=2.7nmとdSi=4.2nmとに変化する。図2に示した積層体の場合、分配率Γが、表面から始めの20分節(1分節=1対の層、すなわち1つのMo層と1つのSi層)では約0.4にとどまり、その後、次第に基板のところで約0.5に変化する。したがって、材料内の吸光率が高いほど、表面近くでの厚さが薄くなって、最適反射応答が得られるように思われる。
【0025】
例8〜例12の3成分システムは、始めは2成分Mo/Si積層体として構成され、Mo層とSi層との間に、初期厚がゼロに設定された第3材料が挿入されている。次いで、予め設定された目標反射度に接近するまで、全体的最適化によりすべての層の厚さが変化される。Mo-Rh/Siと Mo-Ru/Siとの場合、 Moは表面近くが好ましく、RhまたはRuは基板近くが好ましいのに対して、Mo-RbCl/Siシステムの場合には、RbCl(単一の存在)が部分的に積層体の中央のSiに代わる、つまり隣接するRbCl層とSi層の合計厚が、標準積層体内のSi厚に近付けられる。Mo-Ru/Si積層体の層構造は図3に示してある。この積層体は、最上層を含めて50Si層を有し、したがって、全体で148層を有し、加えて1.5nmのRuキャッピング層を有している。図では、層0が基板表面である。Mo-Ru/Siシステムの場合、計算スループットで標準Mo/Si積層体より50%の増が認められる。
【0026】
例12は、局部的最適化を利用した、Mo-Ru/Siシステムの場合のR9 intの改善を示したものである。局部的最適化ルーチンでは、指定材料の付加層、この場合はゼロに近い厚さのMo,Ru,Rhが、分節として積層体に付加される。これらの層は、次いで局所最適化処置により成長せしめられるか、除かれるかする。したがって、局部的最適化された積層体は、またRhと付加Mo層とを含み、その結果、標準積層体に比較してR9 intが59%増となる。また、この場合、R9 peak>R9 intであって、ピーク反射度が、最適化された標準Mo-Ru/Si積層体の場合より僅かだけ低い0.764の値となる点に注目すべきである。このことは、事実上、比較的広い半値幅が、R9と13.4nm域での波長との関係を示す図4に見られるように、局部的最適化処置の結果得られることを示している。曲線Aは、基準例1の標準Mo/Si積層体、曲線Bは、例4の、最適化されたMo/Si積層体、曲線Cは、例12の局部的最適化処置されたMo-Ru/Si積層体、曲線Dは、例19の、局部的最適化処理されたMo-Ru-Sr/Si積層体、曲線Eは、例22の、最適化されたMo/Rb積層体を、それぞれで示している。
【0027】
3成分積層体内の層の順序は変更可能である。例えば、Mo-Rh/Siの代わりにRh-Mo/Siを用いてもよく、またMo-Ru/Siの代わりにRu-Mo/Siを用いてもよい。例13〜例20の4成分積層体は、前述の3成分積層体と似た形式で構成されている。最も好ましい組み合わせは、出力強度の相対増が最大88%のMo-Ru-Sr/Siである。図5は、Ruキャッピング層を有する50分節Mo-Ru-Sr/Siの層厚(nm)を示している。既述のように、層0は基板表面を表している。また基板の始めの50層内では、RuがMoより優位を占める。Mo層厚断面内のスパイク波形は、数値最適化技術が示唆するように、Ru層全体がMo層に取り替えられた層を示している。このことはR9 intの利得にとって重要なことではなく、関連する複数Mo層は、複数対のMo/Ru層に取り替えることができる。Srは、積層体内のSiに対し類似の働きをする。これは、Srが高いn値と低い吸光係数kとを有するためである(表1参照)。Sr層内での低い吸光率は、積層体の上半部では好ましい。既述のMo-Ru/Siの例の場合にように、Si、Sr、Ru、Moの厚さの合計は、図2に示した最適化されたSiおよびSrの厚さに、それぞれ近づいている。材料の好ましい順序はRu-Mo-Sr-Siである。複数層の組分けも変更でき、例えばRu-Mo-Sr/Siは、計算目的の場合、Ru-Mo/Sr-Siと見なしてよい。
【0028】
図6は、局部的最適化された50分節(50Si層)Mo-Ru-Sr/Si積層体の層厚を示している。Rhは、積層体の下半部にのみ含まれ、主に始めの40層内に存在する。最下層のRhは、Ruより好ましい。なぜなら、吸光係数が高いにもかかわらず、Siとの光学的コントラストがより著しいからである。
SrとYとは、Yの錯体化学的性質とSrの高い反応性とのために、あまり容易には析出できず、したがって、従来の積層体より好ましいとはいえないが、それでもなお利点はある。Mo-Ru-Zr/SiとMo-Ru-RbCl/Siとは、Ru-Mo-Zr/SiおよびRu-Mo-RbCl/Siの順序の層同様に、特に有望である。
RbとSiの光学定数の比較(表1)が示している点は、Rbが原則としてスペーサ層としてより好ましい材料であるという点である。13.4nmでのn値がSiのn値に似ている(1に近い)ことで、Rbは、例えばMoおよびRuとの光学的コントラストを維持するだろう。加えて、吸光係数kがSiのそれに比して低い値であることで、Rbは最適に近いスペーサ材料となる。このことは、表2から分かるように、例21〜例23により証明される。標準Mo/Si積層体より2倍高い値のR9 int値を生じる等価Mo/Si積層体に比較されるMo/Rb積層体の場合、5%のピーク反射度増が見られる。しかし、Rb基のシステムには、Rbの高い反射度と極端に低い融点(39゜C)とのための構成上、操作上の難点が存在する。
【0029】
基準例29
基準例29は、ゼロデュア(RTM)ガラス基板上に成長した最適化されない80分節Mo/Beシステムを含む、11.3nmで使用される多層積層体であり、該基板は、dMo=2.3nmとdBc=3.4nmを生じる分配率Γ=0.4を有している。これにより、11.3nmで使用するように調整された例25〜例40用の基準が得られる。
【0030】
例25〜例40
表3は表2に対応し、本発明による例25〜例40のデータを示すものである。これらの例は、11.3nmで使用するように調整された反射積層体である、
最適化の効果およびキャッピング層析出は、11.3nmの場合、13.4nmの場合ほど重要ではなく、R9 intが8%だけ改善されるのみである。
しかし、RuとRhとは、11.3nm窓用には、Moより好ましい。Ru/Be積層体はMo/Be基準例に比して最大70%増の相対光学スループットを有する一方、Rh/Be積層体のスループットは33%増である。この値は、Ru/Beの場合より有意に低い値であるとしても、この組み合わせは、Rh-Be界面の化学的性質等の要因のため、本発明のいくつかの用途の場合には好ましいものである。
【0031】
本発明の特に好ましい実施例は、反射度の著しい増大を示す、「局部的(needle)」最適化されたRh/Be積層体である。これは、最適化処置中にPd,Ru,Moを取り込むことにより、該積層体が、Rh-Ru-Pd-Mo/BeまたはPd-Rh-Ru-Mo/Be多成分積層体に効果的に変えられるためである。
図7には、1.5nmのRuキャッピング層を有する80分節(80Be層)Ru-Sr/Be積層体の層厚が示されている。類似の結果は、Ru/Sr-Be積層体でも達せられる。既述のように、基板表面は層0で示されている。類似の光学定数のため、BeとSrとは、基板近くで優勢なRuを有する積層体内で類似の機能を発揮する。表面近くでのBeとSrとの合計厚は約4.1nm、Ruの厚さは約1.7nmである。これらの厚さは、Γ=0.4の値を有するMo/Be積層体の厚さと明らかに異なる。これは、Ruの吸光係数がMoのそれより高い値だからであり、このためRuの厚さは、より薄い方が好ましい。Moの代わりにRuを用いることによる利得は、Beとの光学的コントラストの結果増によるものである。好ましい積層体分節は、Ru-Sr-Beである。
【0032】
図8には、Be基多層積層体の選択スペクトルが示されている。この図が示しているのは、5つの積層体の場合の11.3nm域でのR9と波長との関係である。Aは基準Mo/Be積層体、BはRuキャッピング層を有する最適化されたMo/Be積層体、Cは最適化されたRu/Be積層体、Dは指針最適化されたRh/Be積層体、EはRuキャッピング層を有する最適化されたRu-Sr/Be積層体である。
例35〜例40は、最高で2倍のスループット増大を生じさせるストロンチウム含有3成分システムである。
この波長域では、RhとRuは、キャッピング層として最適であり、Rを0.7%〜1.0%増加させる。
【0033】
例41〜例44
11nm〜14nm域のEUVについての、種々の多層システムの前記コンピュータ分析から、9ミラー光学システムの場合のピーク反射度および集積反射度の有意な増大が可能であることが分かるだろう。キャッピング層の選択と、全体的最適化と局部的最適化のルーチンと、それに最も重要な、積層体内での材料の付加または交換とを組み合わせることによって、反射度増強の処方が得られるように思われる。種々の真空蒸着技術を用いて概して容易に析出されるRhおよびRu等の金属からは、特に、11.3nm域でBeとの組み合わせで利点が得られる。前記域では、前記金属の理論性能はMoのそれをしのいでいる。更に、既述の種々の組み合わせを用いることで、Mo/Si(Be)に関連する界面粗さの問題が幾分緩和されることも考えられる。
【0034】
例えばMo-Rh/SiとMo-Ru/Siの積層体では、基板近くや、逆に表面近くでRh(Ru)がMoより優勢となることで成績が改善される。これは、13.4nmではRhとRuが、MoとよりもSiと高い光学的コントラストを示すからである。他方、吸光係数kの値は、したがって層内の吸光率の値は、MoのほうがRhおよびRuより低い値である。積層体表面近くでは、低吸光率にして、入射放射線ができるだけ深くに透過するようにすることで、フェーザ加算が最大となるようにする。反射強度を最大化するには、しかし、強度が減少する積層体内の深部で光学的コントラストが増大することが好ましい。
Srを積層体に組み入れる場合、Srが積層体の表面区域近く位置するようにして、部分的にSiと代わるようにするのが好ましい。これも、同じような論拠で説明できる。すなわち、Srのn値はSiのそれより低い値であり、したがって、n値の低い材料との光学的コントラストが僅かに低くなる一方、Srのk値がSiのそれより低いことは(表1参照)、層内での吸光率がより低いことを意味し、したがって積層体表面近くにSrが位置することが好ましい。11.3nmの場合のBe基積層体について得られたデータは、類似の効果が得られることを示している。
【0035】
例41〜例44は、キセノン-ジェット・レーザ誘起プラズマ源(Xe-Jet LPS)を用いて使用するために設計され、該プラズマ源は、既述の反射材の設計域より幾分低い約10.9nmのピーク出力強度を有している。
図9は、種々の反射材のR9反射度(左軸)および相対Xe-Jet LPS放射強度(右軸)と波長(nm)(X軸)との関係を示すものである。図9では、
(a)が、従来の最適化されていないMo/Si積層体のスペクトル応答であり、相対反射度の図形の基準として使用され、
(b)は、既述の例7に類似の、最適化されたMo/Si積層体であり、
(c)は、最適化されたRh-Ru-Mo/Sr-Si積層体であり、
(d)は、既述の比較例24に類似した従来の、最適化されていないMo/Be積層体であり、
(e)は、既述の例40に類似する最適化されたRh-Mo/Be積層体であり、
(f)は、最適化されたPd-Rh-Ru-Mo/Be積層体であり、
(g)は、本発明の例41を構成する最適化されたPd-Rh-Ru/RbCl積層体であり、
(h)は、本発明の例42を構成する最適化されたRh-Ru/P積層体であり、
(i)は、本発明の例43を構成する最適化されたRh-Ru/Srである。
【0036】
例41〜例43は、既述のその他の例より低い値のR9 peakとR9 intを有してはいるが、Xe-Jet LPSの最大放射に極めて近いピーク反射度が得られる利点を有している。したがって、この放射源とともに使用するには理想的である。最適化されていないMo/Si積層体のスループットを1.0とすると、例41(g),42(h),43(i)では、それぞれ3.0、5.7、6.5の相対スループットが得られる。これらのスループットは、また5.7のMo/Be積層体(d)のスループットと十分に比肩し得る値であり、きわめて毒性の高いBeの使用が避けられる。
ピーク反射度の更なる改善は、PとSrを組み合わせた4成分積層体、例えばRu-Ru/P-Ceにより、9.0nm〜12nm域で0.75を超える値を得ることで達せられる。
例44には、更に別の利点が示されている。例44は、10.9nmでR=0.776のピーク反射度を有する局部的最適化されたRh-Ru/Sr-Ce積層体である。図10は、10nm〜12nm域での例44のR(左軸)およびR9(右軸)が、波長に完全従属する関係を示した線図である。図11は、この積層体の層厚を示している。
【0037】
例45〜例48
表4には別のいくつかの積層体構成が示されている。この表では、例45はRu-Nb/Siの3層積層体である。この積層体は、ニオブもSi基積層体では改善に役立つが、他の点では、表2の例8〜例12の場合と同じであることを示している。 12.8nmでの使用時には、種々の多層積層体が最適である。表5の例47と例48とは、そのような2つの多層積層体である。例46では、12.8nmでの従来のMo/SiのR値(比較例1と等価)が与えられる。容易に分かることは、Moの一部に代えてRuを加えることにより、この周波数で反射度が改善される一方、シリコンの一部に代えてベリリウムをスペーサとして使用することにより更に反射度が改善されることである。
概して、ランタノイド(希土金属)は、Mo,Ru,Rh等の金属と良好な光学的コントラストを有し、基板近くの反射材として好ましい。基板近くの位置で光学的コントラストが得られるのは、ランタノイドが1に極めて近い屈折率nを有するからであり、この点が、9nm〜16nm域での他の材料ほど吸光係数kの値が低い値ではない欠点を補って余りある点である。ランタンは、13nmの場合または13nmに近い場合に特に好ましい。
【0038】
本発明で使用可能な更に別のスペーサは、多孔質材料、例えばバルクシリカの約1/10の密度を有する低密度(多孔質)シリカ(エーロゲル)である。図12は、その種の多孔質シリカを用いたRh-Ru/SiO2-aero積層体の波長感度を示している。該積層体の、11nm未満の比較的広い域の反射率ピークが示されている。使用できる他の低密度材料には、チタニアとアルミナのエーロゲルや、ナノポーラス・シリコン、メソポーラス・シリコン、ナノクラスタ・シリコン、その他の半導体が挙げられる。これらの材料は、8nm〜20nmの特定波長に調整された反射材の製造に使用できる。これらの材料が有用なのは、nとkの値が密度に従属しているからである。密度が低くなるにつれて、屈折率nは1となる傾向があり、吸光係数kはゼロになる傾向がある。典型的なSiエーロゲルの密度は、0.2gcm3であるのに対し、多孔質Siの密度は1.63gcm3である。
【0039】
本発明による反射材に使用できるキャッピング層のこのほかの詳細は、『EUV光学素子用のキャッピング層』と題する同時係属出願(出願人参照番号P-0150.030)に記載されており、該記載は、ここに引用することにより、本明細書に取り入れられるものとする。
以上、本発明の特定実施例を説明したが、本発明は、以上の説明とは別様に実施することも可能である。該説明は、本発明を制限する意図のものではない。
【表1】
【表2】
【表3】
【表4】
【図面の簡単な説明】
【図1】本発明のリソグラフィ投影装置を示す図。
【図2】本発明による最適化された51分節Mo/Si積層体内の層厚を示すグラフ。
【図3】本発明による50分節Mo-Ru/Si積層体内の層厚を示すグラフ。
【図4】本発明の種々のミラーと従来のミラーとの比較のため、13.4nm域でのR9と波長との関係を示すグラフ。
【図5】本発明による50分節Mo-Ru-Sr/Si積層体内の層厚を示すグラフ。
【図6】本発明による局部的最適化された50分節Mo-Ru-Sr/Si積層体内の層厚を示すグラフ。
【図7】本発明による80分節Ru-Sr/Be積層体内の層厚を示すグラフ。
【図8】本発明を実施する種々のミラーと従来のミラーとの比較のため、11.3nm域でのR9と波長との関係を示すグラフ。
【図9】従来式および本発明による種々の積層体のR9と波長との関係、並びにXe-ジェット・レーザ誘起プラズマ源の放射強度を示すグラフ。
【図10】本発明によるRh-Ru/Sr-Ce積層体の場合のRおよびR9と波長との関係を示すグラフ。
【図11】本発明による最適化されたRh-Ru/Sr-Ce積層体内の層厚を示すグラフ。
【図12】本発明によるRh-Ru/SiO2-aero積層体の場合のRと波長との関係を示すグラフ。
【符号の説明】
LA 放射システム
PB 極端紫外線の投影ビーム
MT マスクテーブル
MA マスク(レチクル)
PM 第1位置決め装置
WT 基板テーブル
PL 投影システム
W 基板
C ターゲット部分(ダイ)
Claims (22)
- 目標波長域内で放射線を反射する多層反射材であって、該反射材が、
第2番目の層と交互の第1番目の層、実質的に一定の厚さを有する第1及び第2番目の層の隣接する対、を含み
前記第1番目の層が第1材料からなる第1副層を含み、前記第2番目の層が第2材料からなる第2副層を含み、前記第1材料が、前記目標波長域内で、前記第2材料より低い値の実屈折率を有し、
前記第1番目の層が第3材料からなる第3副層をさらに含み、前記第3材料が、前記目標波長域内で、前記第2材料より低い値の実屈折率を有する形式のものにおいて、
前記第1、第2及び第3副層が、前記反射材の入射面に遠い第1副層よりも前記入射面に近い第1副層が厚手であり、前記入射面に遠い第3副層よりも前記入射面に近い第3副層が薄手であるように構成され配置されていることを特徴とする、目標波長域内で放射線を反射する多層反射材。 - 前記第3材料が、Rb,RbCl,RbBr,Sr,Y,Zr,Ru,Rh,Tc,Pd,Nb,Beを含む族、並びにそれらの材料の合金および化合物のなかから選択されていることを特徴とする、請求項1に記載された多層反射材。
- 前記第1材料がMo,Ru,Rh、及びそれらの材料の合金および化合物を含む族のなかから選択され、前記第2材料がSi,Be,P,Sr,Rb,RbClを含む族、並びにそれらの材料の合金および化合物のなかから選択されている請求項1または請求項2に記載された多層反射材。
- 前記第1材料が Mo 、前記第2材料が Si 、及び前記第3材料が
Ru,Rb 、並びにこれらの材料の合金および化合物を含む族のなかから選択されている、請求項3に記載された多層反射材。 - 前記第1番目の層が更に、第4材料からなる第4副層を含み、前記第4材料が、Rb,RbCl,RbBr,Sr,Y,Zr,Ru,Rh,Tc,Pd,Nb,Be、並びにこれらの材料の合金および化合物を含む族のなかから選択されていることを特徴とする、請求項1または請求項2に記載された多層反射材。
- 目標波長域内で放射線を反射する多層反射材であって、該反射材が、
第2番目の層と交互の第1番目の層、実質的に一定の厚さを有する第1及び第2番目の層の隣接する対、を含み、前記第2番目の層が第2材料からなる第2副層を含む形式のものにおいて、
前記入射面に近い前記第1番目の層が主として第1材料からなる第1副層を含み、前記目標波長域内で前記第1材料が前記第2材料より低い値の実屈折率を有し、
前記入射面から遠い前記第1番目の層が主として第3材料からなる第3副層を含み、前記目標波長域内で前記第3材料が前記第2材料より低い値の実屈折率を有することを特徴とする、目標波長域内で放射線を反射する多層反射材。 - 前記第2番目の層が更に、第4材料からなる第4副層を含み、前記目標波長域内で前記第4材料が前記第1材料より高い値の実屈折率を有し、前記目標波長域内で前記第4材料が前記第3材料より高い値の実屈折率を有することを特徴とする、請求項6に記載された多層反射材。
- 前記第1、第2、第3及び第4副層の名目上の厚さが、前記反射材の入射面に遠い第2副層よりも前記入射面に近い第2副層が厚手であり、前記射面から遠い第4副層よりも前記入射面に近い第4副層が薄手であるように構成され配置されていることを特徴とする、請求項7に記載された多層反射材。
- 前記第4材料が、 P,Sr,Rb 及びランタノイド、特に La,Ce,Pr,Eu とを含む族と、並びにこれらの化合物や合金のなかから選択されることを特徴とする、請求項7または請求項8に記載された多層反射材。
- 前記第2材料が、P,Sr,Rb及びランタノイド、特にLa,Ce,Pr,Euとを含む族、並びにこれらの化合物や合金のなかから選択されることを特徴とする、請求項7から請求項9までのいずれか1項に記載された多層反射材。
- 前記第1材料がMo,Ru,Rh、及びこれらの化合物や合金を含む族のなかから選択され、前記第2材料がSi,Be,P,Sr,Rb,RbCl、及びこれらの化合物や合金を含む族のなかから選択されている請求項7から請求項9までのいずれか1項に記載された多層反射材。
- 前記第2と第3の層に比較して不活性の材料製のキャッピング層を含む、請求項1から請求項11までのいずれか1項に記載された多層反射材。
- 前記比較上不活性の材料が、ダイヤモンド状炭素(C)、窒化ホウ素(BN)、窒化ケイ素(Ni3N4)、炭化ケイ素(SiC),B,Ru,Rh、及びそれらの化合物や合金を含む族のなかから選択される、請求項12に記載された多層反射材。
- 前記キャッピング層が、0.5nm〜3nmの範囲の厚さ、好ましくは1nm〜2nmの厚さを有している、請求項12または請求項13に記載された多層反射材。
- 前記反射材が、8nm〜20nmの範囲、特に9nm〜16nmの範囲でピーク反射度を示す、請求項1から請求項14までのいずれか1項に記載された多層反射材。
- 前記第2材料が、低密度の多孔質材料、例えばシリカ、チタニア、アルミナ、エーロゲル、ナノポーラス・シリコン、メソポーラス・シリコン、ナノクラスタ・シリコン、その他の半導体を含む、請求項1から請求項15までのいずれか1項に記載された多層反射材。
- リソグラフ投影装置であって、
放射線投影ビームを供給する照明システムと、
マスクを保持するマスクホールダを備えた第1対象テーブルと、
基板を保持する基板ホールダを備えた第2対象テーブルと、
基板のターゲット部分へマスクの照射部分を転写する投影システムとを含む形式のものにおいて、
前記照明システムと前記投影システムのうちの少なくとも一方が、請求項1から請求項16までのいずれか1項に記載された多層反射材を有することを特徴とする、リソグラフ投影装置。 - 目標波長で放射線を反射する多層反射材を製造する方法であって、前記反射材が、第2番目の層と交互の第1番目の層、実質的に一定の厚さを有する第1及び第2番目の層の隣接する対、を含み
前記第1番目の層が第1材料からなる第1副層を含み、前記第2番目の層が第2材料からなる第2副層を含み、前記第1材料が、前記目標波長域内で、前記第2材料より低い値の実屈折率を有し、
前記第1番目の層が第3材料からなる第3副層をさらに含み、前記第3材料が、前記目標波長域内で、前記第2材料より低い値の実屈折率を有する形式のものにおいて、
前記方法が次の段階、すなわち、
第1、第2、及び第3材料用に指定された材料と、副層用に指定された初期厚とを有する反射材のモデルを確定する段階と、
積層体の1つ以上の副層の厚さを変更し、かつその結果得られる積層体の反射度を計算する段階と、
数値式反復最適化処理を用いることにより適当な層厚を決定する段階と、
指定基準に達するまで、変更および計算の段階を反復する段階と、及び
前記決定段階で事実上決定されるような層厚で反射材を製造する段階とを含む、目標波長で放射線を反射する多層反射材を製造する方法。 - 前記第3材料が、Rb,RbCl,RbBr,Sr,Y,Zr,Ru,Rh,Tc,Pd,Nb,Beを含む族、並びにこれらの材料の合金および化合物のなかから選択される、請求項18に記載された方法。
- 前記モデル確定段階で、前記第1番目の層が、前記目標波長域内で、前記第2材料より低い値の実屈折率を有する第4材料からなる第4副層をさらに含み、第4副層の前記層の厚さが、変更段階の少なくとも1回の反復で変更される、請求項18に記載された方法。
- 前記モデル確定段階で、前記第2番目の層が、前記目標波長域内で、前記第1材料より高い値の実屈折率を有する第4材料からなる第4副層をさらに含み、第4副層の前記層の厚さが、変更段階の少なくとも1回の反復で変更される、請求項18に記載された方法。
- 前記反復最適化処理が、全体的最適化処理なまたは局部的(needle)最適化処理を含む、請求項19から請求項21までのいずれか1項に記載された方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP99305283 | 1999-07-02 | ||
EP99305283.6 | 1999-07-02 | ||
EP99307932.6 | 1999-10-07 | ||
EP99307932 | 1999-10-07 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2001051106A JP2001051106A (ja) | 2001-02-23 |
JP3652221B2 true JP3652221B2 (ja) | 2005-05-25 |
Family
ID=26153524
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000195020A Expired - Fee Related JP4068285B2 (ja) | 1999-07-02 | 2000-06-28 | 極端紫外光学素子用のキャッピング層 |
JP2000194831A Expired - Fee Related JP3652221B2 (ja) | 1999-07-02 | 2000-06-28 | 反射度を高めた多層極端紫外線ミラー |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000195020A Expired - Fee Related JP4068285B2 (ja) | 1999-07-02 | 2000-06-28 | 極端紫外光学素子用のキャッピング層 |
Country Status (6)
Country | Link |
---|---|
US (4) | US6449086B1 (ja) |
EP (3) | EP1065532B1 (ja) |
JP (2) | JP4068285B2 (ja) |
KR (2) | KR100589240B1 (ja) |
DE (2) | DE60036510T2 (ja) |
TW (2) | TWI267704B (ja) |
Families Citing this family (154)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI267704B (en) * | 1999-07-02 | 2006-12-01 | Asml Netherlands Bv | Capping layer for EUV optical elements |
US20070281109A1 (en) * | 2000-03-31 | 2007-12-06 | Carl Zeiss Smt Ag | Multilayer system with protecting layer system and production method |
DE10016008A1 (de) | 2000-03-31 | 2001-10-11 | Zeiss Carl | Villagensystem und dessen Herstellung |
US7261957B2 (en) | 2000-03-31 | 2007-08-28 | Carl Zeiss Smt Ag | Multilayer system with protecting layer system and production method |
JP5371162B2 (ja) * | 2000-10-13 | 2013-12-18 | 三星電子株式会社 | 反射型フォトマスク |
US6479830B1 (en) | 2000-11-01 | 2002-11-12 | Trw Inc. | Low-sputter-yield coating for hardware near laser-produced plasma |
US6576912B2 (en) * | 2001-01-03 | 2003-06-10 | Hugo M. Visser | Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window |
US6664554B2 (en) * | 2001-01-03 | 2003-12-16 | Euv Llc | Self-cleaning optic for extreme ultraviolet lithography |
JP2004519868A (ja) * | 2001-04-17 | 2004-07-02 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | Euvに透明な境界構造 |
US7372056B2 (en) * | 2005-06-29 | 2008-05-13 | Cymer, Inc. | LPP EUV plasma source material target delivery system |
US7439530B2 (en) | 2005-06-29 | 2008-10-21 | Cymer, Inc. | LPP EUV light source drive laser system |
US7378673B2 (en) * | 2005-02-25 | 2008-05-27 | Cymer, Inc. | Source material dispenser for EUV light source |
US7405416B2 (en) * | 2005-02-25 | 2008-07-29 | Cymer, Inc. | Method and apparatus for EUV plasma source target delivery |
US7843632B2 (en) * | 2006-08-16 | 2010-11-30 | Cymer, Inc. | EUV optics |
KR100725859B1 (ko) * | 2001-05-23 | 2007-06-08 | 학교법인 한양학원 | 극자외선 노광 공정용 Ru/Mo/Si 반사형 다층 박막미러 |
US20030064161A1 (en) * | 2001-06-06 | 2003-04-03 | Malinowski Michael E. | Method for reducing carbon contamination of multilayer mirrors |
JP2003059827A (ja) | 2001-06-20 | 2003-02-28 | Asml Netherlands Bv | デバイスを製造する方法、この方法によって製造したデバイス、およびこの方法で使用するマスク |
KR100749897B1 (ko) * | 2001-07-03 | 2007-08-21 | 이유브이 리미티드 라이어빌러티 코포레이션 | 부동태 보호막 이중층 |
US20030008148A1 (en) * | 2001-07-03 | 2003-01-09 | Sasa Bajt | Optimized capping layers for EUV multilayers |
DE10150874A1 (de) | 2001-10-04 | 2003-04-30 | Zeiss Carl | Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements |
KR100454081B1 (ko) * | 2001-12-24 | 2004-10-20 | 학교법인 한양학원 | 반사형 다층 박막 미러 및 그 제조 방법 |
US6920199B2 (en) * | 2002-02-20 | 2005-07-19 | Gkss-Forschungszentrum Geesthacht Gmbh | Mirror element for the reflection of x-rays |
DE10208705B4 (de) * | 2002-02-25 | 2008-10-30 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Monochromatorspiegel für den EUV-Spektralbereich |
DE50301645D1 (de) * | 2002-03-04 | 2005-12-22 | Fraunhofer Ges Forschung | Methode zur Auswahl der Schichtdicken eines reflektierenden Elements für elektromagnetische Strahlung im extremen ultravioletten Bereich |
US20050111083A1 (en) * | 2002-03-27 | 2005-05-26 | Yakshin Andrey E. | Optical broad band element and process for its production |
KR100455383B1 (ko) * | 2002-04-18 | 2004-11-06 | 삼성전자주식회사 | 반사 포토마스크, 반사 포토마스크의 제조방법 및 이를이용한 집적회로 제조방법 |
TWI227380B (en) | 2002-06-06 | 2005-02-01 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
EP1369744A1 (en) * | 2002-06-06 | 2003-12-10 | ASML Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US6882406B2 (en) * | 2002-06-14 | 2005-04-19 | Asml Netherlands B.V. | Euv lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured thereby |
DE10235255B4 (de) | 2002-08-01 | 2004-08-12 | Infineon Technologies Ag | Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren |
EP1394815B1 (en) * | 2002-08-28 | 2011-06-22 | ASML Netherlands B.V. | Lithographic apparatus comprising a Mo/Si-multilayer with capping layer |
SG111143A1 (en) | 2002-08-28 | 2005-05-30 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
US6763086B2 (en) * | 2002-09-05 | 2004-07-13 | Osmic, Inc. | Method and apparatus for detecting boron in x-ray fluorescence spectroscopy |
DE10258709A1 (de) * | 2002-12-12 | 2004-07-01 | Carl Zeiss Smt Ag | Schutzsystem für reflektive optische Elemente, reflektives optisches Element und Verfahren zu deren Herstellung |
US6982133B2 (en) * | 2002-12-21 | 2006-01-03 | Intel Corporation | Damage-resistant coatings for EUV lithography components |
TWI286674B (en) | 2002-12-27 | 2007-09-11 | Asml Netherlands Bv | Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container |
DE10309084A1 (de) * | 2003-03-03 | 2004-09-16 | Carl Zeiss Smt Ag | Reflektives optisches Element und EUV-Lithographiegerät |
US7126671B2 (en) | 2003-04-04 | 2006-10-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
DE10319005A1 (de) * | 2003-04-25 | 2004-11-25 | Carl Zeiss Smt Ag | Reflektives optisches Element, optisches System und EUV-Lithographievorrichtung |
JP4521696B2 (ja) * | 2003-05-12 | 2010-08-11 | Hoya株式会社 | 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク |
US7499149B2 (en) | 2003-06-24 | 2009-03-03 | Asml Netherlands B.V. | Holographic mask for lithographic apparatus and device manufacturing method |
EP1496521A1 (en) * | 2003-07-09 | 2005-01-12 | ASML Netherlands B.V. | Mirror and lithographic apparatus with mirror |
US7456932B2 (en) | 2003-07-25 | 2008-11-25 | Asml Netherlands B.V. | Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby |
US6998202B2 (en) * | 2003-07-31 | 2006-02-14 | Intel Corporation | Multilayer reflective extreme ultraviolet lithography mask blanks |
JP2005056943A (ja) | 2003-08-08 | 2005-03-03 | Canon Inc | X線多層ミラーおよびx線露光装置 |
US7420653B2 (en) * | 2003-10-02 | 2008-09-02 | Asml Netherlands B.V. | Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly |
EP1675164B2 (en) * | 2003-10-15 | 2019-07-03 | Nikon Corporation | Multilayer film reflection mirror, production method for multilayer film reflection mirror, and exposure system |
EP1624467A3 (en) * | 2003-10-20 | 2007-05-30 | ASML Netherlands BV | Lithographic apparatus and device manufacturing method |
US20050109278A1 (en) * | 2003-11-26 | 2005-05-26 | Ted Liang | Method to locally protect extreme ultraviolet multilayer blanks used for lithography |
US7460206B2 (en) * | 2003-12-19 | 2008-12-02 | Carl Zeiss Smt Ag | Projection objective for immersion lithography |
US7081992B2 (en) | 2004-01-16 | 2006-07-25 | Euv Llc | Condenser optic with sacrificial reflective surface |
US7193228B2 (en) | 2004-03-10 | 2007-03-20 | Cymer, Inc. | EUV light source optical elements |
JP4693395B2 (ja) * | 2004-02-19 | 2011-06-01 | Hoya株式会社 | 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法 |
US7196342B2 (en) * | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
JP4566791B2 (ja) * | 2004-03-26 | 2010-10-20 | キヤノン株式会社 | 軟x線多層膜反射鏡 |
JP4532991B2 (ja) * | 2004-05-26 | 2010-08-25 | キヤノン株式会社 | 投影光学系、露光装置及びデバイス製造方法 |
US7491478B2 (en) * | 2004-07-23 | 2009-02-17 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US20060024589A1 (en) * | 2004-07-28 | 2006-02-02 | Siegfried Schwarzl | Passivation of multi-layer mirror for extreme ultraviolet lithography |
DE102004043516A1 (de) | 2004-09-08 | 2006-03-23 | Osram Opto Semiconductors Gmbh | Seitlich emittierendes strahlungserzeugendes Bauelement und Linse für ein solches Bauelement |
US7092290B2 (en) * | 2004-11-16 | 2006-08-15 | Sandisk Corporation | High speed programming system with reduced over programming |
DE102004062289B4 (de) | 2004-12-23 | 2007-07-19 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich |
US7701554B2 (en) * | 2004-12-29 | 2010-04-20 | Asml Netherlands B.V. | Lithographic apparatus, device manufacturing method, and optical component |
US7196343B2 (en) * | 2004-12-30 | 2007-03-27 | Asml Netherlands B.V. | Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby |
US7450217B2 (en) * | 2005-01-12 | 2008-11-11 | Asml Netherlands B.V. | Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby |
US7449703B2 (en) * | 2005-02-25 | 2008-11-11 | Cymer, Inc. | Method and apparatus for EUV plasma source target delivery target material handling |
US7482609B2 (en) * | 2005-02-28 | 2009-01-27 | Cymer, Inc. | LPP EUV light source drive laser system |
US7336416B2 (en) * | 2005-04-27 | 2008-02-26 | Asml Netherlands B.V. | Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method |
US7750326B2 (en) | 2005-06-13 | 2010-07-06 | Asml Netherlands B.V. | Lithographic apparatus and cleaning method therefor |
US7495743B2 (en) * | 2005-09-30 | 2009-02-24 | International Business Machines Corporation | Immersion optical lithography system having protective optical coating |
EP1947682B1 (en) * | 2005-10-11 | 2012-01-18 | Nikon Corporation | Multilayer reflecting mirror, multilayer reflecting mirror manufacturing method, optical system, exposure apparatus and device manufacturing method |
JP5045144B2 (ja) * | 2007-02-22 | 2012-10-10 | 株式会社ニコン | 多層膜反射鏡、露光装置、デバイス製造方法、及び多層膜反射鏡の製造方法 |
US7948675B2 (en) | 2005-10-11 | 2011-05-24 | Nikon Corporation | Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprising same, and associated methods |
US7453077B2 (en) * | 2005-11-05 | 2008-11-18 | Cymer, Inc. | EUV light source |
JP2007134464A (ja) * | 2005-11-09 | 2007-05-31 | Canon Inc | 多層膜を有する光学素子及びそれを有する露光装置 |
JP2007140105A (ja) * | 2005-11-18 | 2007-06-07 | Nikon Corp | 多層膜反射鏡及び露光装置 |
JP2007234822A (ja) * | 2006-02-28 | 2007-09-13 | Canon Inc | 露光装置及びその制御方法並びにデバイス製造方法 |
JP4946296B2 (ja) | 2006-03-30 | 2012-06-06 | 凸版印刷株式会社 | 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法 |
JP5200327B2 (ja) * | 2006-03-31 | 2013-06-05 | 凸版印刷株式会社 | 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法 |
US7736820B2 (en) * | 2006-05-05 | 2010-06-15 | Asml Netherlands B.V. | Anti-reflection coating for an EUV mask |
US7541603B2 (en) * | 2006-09-27 | 2009-06-02 | Asml Netherlands B.V. | Radiation system and lithographic apparatus comprising the same |
US7723704B2 (en) * | 2006-11-10 | 2010-05-25 | Globalfoundries Inc. | EUV pellicle with increased EUV light transmittance |
JP4365855B2 (ja) * | 2006-12-21 | 2009-11-18 | 三菱電機株式会社 | 車両用操舵装置 |
TWI427334B (zh) * | 2007-02-05 | 2014-02-21 | Zeiss Carl Smt Gmbh | Euv蝕刻裝置反射光學元件 |
US7663127B2 (en) * | 2007-03-13 | 2010-02-16 | Globalfoundries Inc. | EUV debris mitigation filter and method for fabricating semiconductor dies using same |
JP2008263173A (ja) * | 2007-03-16 | 2008-10-30 | Canon Inc | 露光装置 |
ATE512389T1 (de) | 2007-10-23 | 2011-06-15 | Imec | Erkennung von kontaminationen in euv-systemen |
NL1036469A1 (nl) * | 2008-02-27 | 2009-08-31 | Asml Netherlands Bv | Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby. |
TWI425252B (zh) * | 2008-03-26 | 2014-02-01 | Nat Applied Res Laboratories | 反射膜及其製成方法 |
KR100972863B1 (ko) * | 2008-04-22 | 2010-07-28 | 주식회사 하이닉스반도체 | 극자외선 리소그라피 마스크 및 그 제조 방법 |
DE102009001488A1 (de) | 2008-05-21 | 2009-11-26 | Asml Netherlands B.V. | Entfernen von Kontaminationen von optischen Oberflächen durch aktivierten Wasserstoff |
EP2283396B1 (en) * | 2008-06-04 | 2013-03-13 | ASML Netherlands BV | Multilayer mirror and lithographic apparatus |
DE102008002403A1 (de) * | 2008-06-12 | 2009-12-17 | Carl Zeiss Smt Ag | Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung |
EP2297746A1 (en) | 2008-07-07 | 2011-03-23 | Philips Intellectual Property & Standards GmbH | Extreme uv radiation reflecting element comprising a sputter-resistant material |
EP2157584A3 (en) * | 2008-08-14 | 2011-07-13 | ASML Netherlands B.V. | Radiation source, lithographic apparatus and device manufacturing method |
NL2003363A (en) * | 2008-09-10 | 2010-03-15 | Asml Netherlands Bv | Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method. |
DE102009017095A1 (de) * | 2009-04-15 | 2010-10-28 | Carl Zeiss Smt Ag | Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv |
EP2348348B1 (en) * | 2009-08-28 | 2014-11-19 | European Space Agency | Method for assembling a mirror plate stack |
NL2005460A (en) * | 2009-11-20 | 2011-05-23 | Asml Netherlands Bv | Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product. |
WO2011068223A1 (ja) | 2009-12-04 | 2011-06-09 | 旭硝子株式会社 | Euvリソグラフィ用光学部材およびeuvリソグラフィ用反射層付基板の製造方法 |
EP2511943A4 (en) | 2009-12-09 | 2015-09-09 | Asahi Glass Co Ltd | OPTICAL ELEMENT FOR USE IN EUV LITHOGRAPHY |
CN102621815B (zh) * | 2011-01-26 | 2016-12-21 | Asml荷兰有限公司 | 用于光刻设备的反射光学部件及器件制造方法 |
NL2008391A (en) | 2011-04-04 | 2012-10-08 | Asml Netherlands Bv | Radiation source-collector and lithographic apparatus. |
DE102011076011A1 (de) | 2011-05-18 | 2012-11-22 | Carl Zeiss Smt Gmbh | Reflektives optisches Element und optisches System für die EUV-Lithographie |
US9448492B2 (en) | 2011-06-15 | 2016-09-20 | Asml Netherlands B.V. | Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus |
DE102011083462A1 (de) | 2011-09-27 | 2013-03-28 | Carl Zeiss Smt Gmbh | EUV-Spiegel mit einer Oxynitrid-Deckschicht mit stabiler Zusammensetzung |
DE102011083461A1 (de) | 2011-09-27 | 2013-03-28 | Carl Zeiss Smt Gmbh | Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel |
US8749179B2 (en) * | 2012-08-14 | 2014-06-10 | Kla-Tencor Corporation | Optical characterization systems employing compact synchrotron radiation sources |
US10185234B2 (en) * | 2012-10-04 | 2019-01-22 | Asml Netherlands B.V. | Harsh environment optical element protection |
DE102012219545A1 (de) * | 2012-10-25 | 2014-04-30 | Carl Zeiss Smt Gmbh | Projektionsbelichtungssystem für EUV-Lithographie und Verfahren zum Betreiben des Projektionsbelichtungssystems |
DE102012222466A1 (de) * | 2012-12-06 | 2014-06-12 | Carl Zeiss Smt Gmbh | Reflektives optisches Element für die EUV-Lithographie |
US20140158914A1 (en) * | 2012-12-11 | 2014-06-12 | Sandia Corporation | Optical component with blocking surface and method thereof |
US20140168758A1 (en) * | 2012-12-13 | 2014-06-19 | Kla-Tencor Corporation | Carbon as grazing incidence euv mirror and spectral purity filter |
DE102013200294A1 (de) * | 2013-01-11 | 2014-07-17 | Carl Zeiss Smt Gmbh | EUV-Spiegel und optisches System mit EUV-Spiegel |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
RU2522448C1 (ru) * | 2013-03-13 | 2014-07-10 | Открытое акционерное общество "Научно-исследовательский и технологический институт оптического материаловедения Всероссийского научного центра "Государственный оптический институт им. С.И. Вавилова" (ОАО "НИТИОМ ВНЦ "ГОИ им. С.И. Вавилова") | Покрытие для заготовки космического зеркала |
WO2014181858A1 (ja) * | 2013-05-09 | 2014-11-13 | 株式会社ニコン | 光学素子、投影光学系、露光装置及びデバイス製造方法 |
EP3049836A1 (en) | 2013-09-23 | 2016-08-03 | Carl Zeiss SMT GmbH | Multilayer mirror |
TWI565681B (zh) * | 2013-10-15 | 2017-01-11 | 中原大學 | 多孔二氧化矽氣凝膠複合薄膜及其製造方法以及二氧化碳吸收裝置 |
DE102014200932A1 (de) * | 2014-01-20 | 2015-07-23 | Carl Zeiss Smt Gmbh | EUV-Spiegel und optisches System mit EUV-Spiegel |
US9581890B2 (en) | 2014-07-11 | 2017-02-28 | Applied Materials, Inc. | Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof |
US9612522B2 (en) * | 2014-07-11 | 2017-04-04 | Applied Materials, Inc. | Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor |
DE102015207140A1 (de) * | 2015-04-20 | 2016-10-20 | Carl Zeiss Smt Gmbh | Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage |
CN104749663A (zh) * | 2015-04-21 | 2015-07-01 | 中国科学院长春光学精密机械与物理研究所 | 具有极紫外光谱纯度和抗辐照损伤的多层膜 |
EP4120291A3 (en) * | 2015-06-30 | 2023-04-05 | Jaiswal, Supriya | Coatings for extreme ultraviolet and soft x-ray optics |
JP2017026928A (ja) * | 2015-07-27 | 2017-02-02 | エヌ・ティ・ティ・アドバンステクノロジ株式会社 | Euv光用多層膜反射鏡 |
DE102016200814A1 (de) | 2016-01-21 | 2017-07-27 | Carl Zeiss Smt Gmbh | Reflektives optisches Element und optisches System für die EUV-Lithographie |
DE102016208987A1 (de) | 2016-05-24 | 2017-11-30 | Carl Zeiss Smt Gmbh | Optisches Element und EUV-Lithographiesystem |
TWI774375B (zh) | 2016-07-27 | 2022-08-11 | 美商應用材料股份有限公司 | 具多層吸收劑的極紫外遮罩坯料及製造方法 |
DE102016218028A1 (de) | 2016-09-20 | 2018-03-22 | Carl Zeiss Smt Gmbh | Reflektives optisches Element |
DE102017206118A1 (de) * | 2017-04-10 | 2018-04-19 | Carl Zeiss Smt Gmbh | Reflektives optisches Element und optisches System |
US11086209B2 (en) * | 2017-04-27 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV lithography mask with a porous reflective multilayer structure |
DE102017213181A1 (de) | 2017-07-31 | 2019-01-31 | Carl Zeiss Smt Gmbh | Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas |
US11268911B2 (en) * | 2019-01-04 | 2022-03-08 | Kla-Tencor Corporation | Boron-based capping layers for EUV optics |
TW202043905A (zh) | 2019-03-01 | 2020-12-01 | 美商應用材料股份有限公司 | 物理氣相沉積系統與處理 |
CN110221369B (zh) * | 2019-06-26 | 2020-10-27 | 西安交通大学 | 一种基于BN(Al)薄膜的布拉格反射镜及制备方法 |
DE102019212910A1 (de) * | 2019-08-28 | 2021-03-04 | Carl Zeiss Smt Gmbh | Optisches Element und EUV-Lithographiesystem |
KR20210089406A (ko) | 2020-01-08 | 2021-07-16 | 주식회사 에스앤에스텍 | 극자외선용 반사형 블랭크 마스크 및 포토마스크 |
US11630385B2 (en) | 2020-01-24 | 2023-04-18 | Applied Materials, Inc. | Extreme ultraviolet mask absorber materials |
TW202131087A (zh) | 2020-01-27 | 2021-08-16 | 美商應用材料股份有限公司 | 極紫外光遮罩吸收劑材料 |
TW202141165A (zh) | 2020-03-27 | 2021-11-01 | 美商應用材料股份有限公司 | 極紫外光遮罩吸收材料 |
US11143604B1 (en) | 2020-04-06 | 2021-10-12 | Kla Corporation | Soft x-ray optics with improved filtering |
TWI836207B (zh) | 2020-04-17 | 2024-03-21 | 美商應用材料股份有限公司 | 極紫外光遮罩吸收材料 |
DE102020206117A1 (de) | 2020-05-14 | 2021-11-18 | Carl Zeiss Smt Gmbh | Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln |
TW202202641A (zh) | 2020-07-13 | 2022-01-16 | 美商應用材料股份有限公司 | 極紫外線遮罩吸收劑材料 |
US11609490B2 (en) | 2020-10-06 | 2023-03-21 | Applied Materials, Inc. | Extreme ultraviolet mask absorber materials |
KR20220075021A (ko) | 2020-11-26 | 2022-06-07 | 삼성전자주식회사 | 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템 |
US11513437B2 (en) | 2021-01-11 | 2022-11-29 | Applied Materials, Inc. | Extreme ultraviolet mask absorber materials |
US11592738B2 (en) | 2021-01-28 | 2023-02-28 | Applied Materials, Inc. | Extreme ultraviolet mask absorber materials |
US20220382148A1 (en) * | 2021-05-28 | 2022-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet mask with alloy based absorbers |
US11762278B2 (en) | 2021-06-16 | 2023-09-19 | Applied Materials, Inc. | Multilayer extreme ultraviolet reflectors |
US11815803B2 (en) | 2021-08-30 | 2023-11-14 | Applied Materials, Inc. | Multilayer extreme ultraviolet reflector materials |
US11782337B2 (en) | 2021-09-09 | 2023-10-10 | Applied Materials, Inc. | Multilayer extreme ultraviolet reflectors |
DE102022203495A1 (de) * | 2022-04-07 | 2023-10-12 | Carl Zeiss Smt Gmbh | Reflektives optisches Element für eine Wellenlänge im extrem ultravioletten Wellenlängenbereich |
Family Cites Families (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4214818A (en) * | 1978-11-08 | 1980-07-29 | Westinghouse Electric Corp. | Hot pressed SiC-high power laser mirror |
US5433988A (en) | 1986-10-01 | 1995-07-18 | Canon Kabushiki Kaisha | Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray |
JP2566564B2 (ja) | 1986-10-01 | 1996-12-25 | キヤノン株式会社 | 軟x線又は真空紫外線用多層膜反射鏡 |
JPS63106703A (ja) | 1986-10-24 | 1988-05-11 | Nikon Corp | 光学素子 |
US4778251A (en) * | 1987-03-09 | 1988-10-18 | Rockwell International Corporation | Thickness error compensation for digital gradient-index optical coatings |
JPH0795120B2 (ja) * | 1987-07-13 | 1995-10-11 | 日本航空電子工業株式会社 | 多層膜反射鏡 |
JPH0213902A (ja) | 1988-07-01 | 1990-01-18 | Mitsubishi Electric Corp | 紫外光反射板 |
JP2883100B2 (ja) * | 1989-05-22 | 1999-04-19 | キヤノン株式会社 | 軟x線・真空紫外線用ハーフミラー又はビームスプリッター |
JP2787987B2 (ja) * | 1989-11-22 | 1998-08-20 | 株式会社リコー | 反射鏡 |
US5265143A (en) * | 1993-01-05 | 1993-11-23 | At&T Bell Laboratories | X-ray optical element including a multilayer coating |
US5356662A (en) * | 1993-01-05 | 1994-10-18 | At&T Bell Laboratories | Method for repairing an optical element which includes a multilayer coating |
US5591958A (en) * | 1993-06-14 | 1997-01-07 | Nikon Corporation | Scanning exposure method and apparatus |
US5360659A (en) * | 1993-05-24 | 1994-11-01 | The Dow Chemical Company | Two component infrared reflecting film |
US5513039A (en) * | 1993-05-26 | 1996-04-30 | Litton Systems, Inc. | Ultraviolet resistive coated mirror and method of fabrication |
DE4407502A1 (de) * | 1994-03-07 | 1995-09-14 | Leybold Ag | Mehrlagige Beschichtung |
JP3345512B2 (ja) | 1994-07-12 | 2002-11-18 | 株式会社日立製作所 | 半導体装置の製造方法 |
US5646976A (en) * | 1994-08-01 | 1997-07-08 | Osmic, Inc. | Optical element of multilayered thin film for X-rays and neutrons |
US5521031A (en) | 1994-10-20 | 1996-05-28 | At&T Corp. | Pattern delineating apparatus for use in the EUV spectrum |
JPH08220304A (ja) * | 1995-02-13 | 1996-08-30 | Tadahiro Omi | 光学物品及びそれを用いた露光装置又は光学系並びにその製造方法 |
JP2658966B2 (ja) * | 1995-04-20 | 1997-09-30 | 日本電気株式会社 | フォトマスク及びその製造方法 |
US5850309A (en) * | 1996-03-27 | 1998-12-15 | Nikon Corporation | Mirror for high-intensity ultraviolet light beam |
US5789320A (en) * | 1996-04-23 | 1998-08-04 | International Business Machines Corporation | Plating of noble metal electrodes for DRAM and FRAM |
DE69717975T2 (de) * | 1996-12-24 | 2003-05-28 | Asml Netherlands B.V., Veldhoven | In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät |
JPH10199801A (ja) * | 1997-01-13 | 1998-07-31 | Mitsubishi Materials Corp | 人工ダイヤモンド膜の残留応力が少ないx線リソグラフィー用マスク |
US5911858A (en) | 1997-02-18 | 1999-06-15 | Sandia Corporation | Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors |
JP3607454B2 (ja) * | 1997-03-31 | 2005-01-05 | Hoya株式会社 | X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法 |
EP1039509A4 (en) * | 1997-04-18 | 2005-01-12 | Nikon Corp | ALIGNER, EXPOSURE METHOD USING THE SAME, AND METHOD OF MANUFACTURING CIRCUIT DEVICE |
US6268904B1 (en) * | 1997-04-23 | 2001-07-31 | Nikon Corporation | Optical exposure apparatus and photo-cleaning method |
JPH1138192A (ja) * | 1997-07-17 | 1999-02-12 | Nikon Corp | 多層膜反射鏡 |
CN1182440C (zh) * | 1997-09-30 | 2004-12-29 | 西门子公司 | 用于深紫外线光刻的层状结构以及形成光刻层状结构的方法 |
US5958605A (en) | 1997-11-10 | 1999-09-28 | Regents Of The University Of California | Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography |
US6042995A (en) | 1997-12-09 | 2000-03-28 | Lucent Technologies Inc. | Lithographic process for device fabrication using a multilayer mask which has been previously inspected |
US6391400B1 (en) * | 1998-04-08 | 2002-05-21 | Thomas A. Russell | Thermal control films suitable for use in glazing |
WO2000020928A1 (en) * | 1998-10-08 | 2000-04-13 | Rochester Institute Of Technology | Photomask for projection lithography at or below about 160 nm and a method |
US6229652B1 (en) * | 1998-11-25 | 2001-05-08 | The Regents Of The University Of California | High reflectance and low stress Mo2C/Be multilayers |
US6013399A (en) * | 1998-12-04 | 2000-01-11 | Advanced Micro Devices, Inc. | Reworkable EUV mask materials |
US6180291B1 (en) * | 1999-01-22 | 2001-01-30 | International Business Machines Corporation | Static resistant reticle |
TWI267704B (en) * | 1999-07-02 | 2006-12-01 | Asml Netherlands Bv | Capping layer for EUV optical elements |
KR20010085493A (ko) * | 2000-02-25 | 2001-09-07 | 시마무라 기로 | 노광장치, 그 조정방법, 및 상기 노광장치를 이용한디바이스 제조방법 |
-
2000
- 2000-06-20 TW TW089112078A patent/TWI267704B/zh not_active IP Right Cessation
- 2000-06-20 TW TW089112079A patent/TW561279B/zh not_active IP Right Cessation
- 2000-06-28 JP JP2000195020A patent/JP4068285B2/ja not_active Expired - Fee Related
- 2000-06-28 US US09/605,651 patent/US6449086B1/en not_active Expired - Lifetime
- 2000-06-28 DE DE60036510T patent/DE60036510T2/de not_active Expired - Lifetime
- 2000-06-28 EP EP00305434A patent/EP1065532B1/en not_active Expired - Lifetime
- 2000-06-28 DE DE60018328T patent/DE60018328T2/de not_active Expired - Lifetime
- 2000-06-28 KR KR1020000035870A patent/KR100589240B1/ko active IP Right Grant
- 2000-06-28 JP JP2000194831A patent/JP3652221B2/ja not_active Expired - Fee Related
- 2000-06-28 US US09/605,657 patent/US6724462B1/en not_active Ceased
- 2000-06-28 EP EP07005669.2A patent/EP1801658B1/en not_active Expired - Lifetime
- 2000-06-28 EP EP00305432A patent/EP1065568B1/en not_active Expired - Lifetime
- 2000-06-28 KR KR1020000035869A patent/KR100599940B1/ko active IP Right Grant
-
2002
- 2002-07-26 US US10/205,206 patent/US6738188B2/en not_active Expired - Lifetime
-
2006
- 2006-03-28 US US11/390,536 patent/USRE42338E1/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US6724462B1 (en) | 2004-04-20 |
EP1801658B1 (en) | 2016-06-15 |
US6449086B1 (en) | 2002-09-10 |
EP1065532A3 (en) | 2003-03-19 |
JP2001059901A (ja) | 2001-03-06 |
DE60036510T2 (de) | 2008-06-19 |
EP1065532B1 (en) | 2005-03-02 |
EP1801658A3 (en) | 2007-07-18 |
DE60018328D1 (de) | 2005-04-07 |
KR100599940B1 (ko) | 2006-07-12 |
EP1801658A2 (en) | 2007-06-27 |
EP1065568A3 (en) | 2003-03-19 |
KR20010066881A (ko) | 2001-07-11 |
KR100589240B1 (ko) | 2006-06-14 |
US20030043456A1 (en) | 2003-03-06 |
TW561279B (en) | 2003-11-11 |
EP1065568A2 (en) | 2001-01-03 |
JP2001051106A (ja) | 2001-02-23 |
JP4068285B2 (ja) | 2008-03-26 |
EP1065568B1 (en) | 2007-09-26 |
US6738188B2 (en) | 2004-05-18 |
DE60036510D1 (de) | 2007-11-08 |
EP1065532A2 (en) | 2001-01-03 |
DE60018328T2 (de) | 2006-04-06 |
TWI267704B (en) | 2006-12-01 |
KR20010066880A (ko) | 2001-07-11 |
USRE42338E1 (en) | 2011-05-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3652221B2 (ja) | 反射度を高めた多層極端紫外線ミラー | |
KR100779699B1 (ko) | 다층 거울용 스펙트럼 퓨리티 필터, 이러한 다층 거울을포함하는 리소그래피 장치, 원하는 방사선과 원하지 않는방사선의 비율을 확대시키는 방법, 및 디바이스 제조방법 | |
JP5087076B2 (ja) | Euvマスク用反射防止コーティング | |
JP6005069B2 (ja) | かすめ入射リフレクタ、リソグラフィ装置、かすめ入射リフレクタ製造方法、およびデバイス製造方法 | |
TW567534B (en) | Lithographic projection apparatus, method of manufacturing integrated circuits, method of manufacturing a reflector, and phase shift mask | |
JP2004134743A (ja) | リソグラフ装置およびデバイス製造方法 | |
JP3837405B2 (ja) | リソグラフィ装置およびデバイス製造方法 | |
EP1394815B1 (en) | Lithographic apparatus comprising a Mo/Si-multilayer with capping layer | |
EP1369744A1 (en) | Lithographic apparatus and device manufacturing method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20040301 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20040312 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20040614 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20040617 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040913 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20050204 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20050222 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 3652221 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20061010 |
|
S531 | Written request for registration of change of domicile |
Free format text: JAPANESE INTERMEDIATE CODE: R313531 |
|
S533 | Written request for registration of change of name |
Free format text: JAPANESE INTERMEDIATE CODE: R313533 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20080304 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090304 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100304 Year of fee payment: 5 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100304 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110304 Year of fee payment: 6 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120304 Year of fee payment: 7 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130304 Year of fee payment: 8 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130304 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140304 Year of fee payment: 9 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |