DE69934986T2 - Verfahren für anisotropes ätzen - Google Patents

Verfahren für anisotropes ätzen Download PDF

Info

Publication number
DE69934986T2
DE69934986T2 DE69934986T DE69934986T DE69934986T2 DE 69934986 T2 DE69934986 T2 DE 69934986T2 DE 69934986 T DE69934986 T DE 69934986T DE 69934986 T DE69934986 T DE 69934986T DE 69934986 T2 DE69934986 T2 DE 69934986T2
Authority
DE
Germany
Prior art keywords
plasma
film
passivation layer
etching
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69934986T
Other languages
English (en)
Other versions
DE69934986D1 (de
Inventor
Kiron Jyoti BHARDWAJ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Surface Technology Systems Ltd
Original Assignee
Surface Technology Systems Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB9815931.2A external-priority patent/GB9815931D0/en
Priority claimed from GBGB9823364.6A external-priority patent/GB9823364D0/en
Priority claimed from GBGB9904925.6A external-priority patent/GB9904925D0/en
Priority claimed from GBGB9910725.2A external-priority patent/GB9910725D0/en
Priority claimed from GBGB9911401.9A external-priority patent/GB9911401D0/en
Application filed by Surface Technology Systems Ltd filed Critical Surface Technology Systems Ltd
Application granted granted Critical
Publication of DE69934986D1 publication Critical patent/DE69934986D1/de
Publication of DE69934986T2 publication Critical patent/DE69934986T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J12/00Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor
    • B01J12/007Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor in the presence of catalytically active bodies, e.g. porous plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/2445Stationary reactors without moving elements inside placed in parallel
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/24Inter-halogen compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00002Chemical plants
    • B01J2219/00027Process aspects
    • B01J2219/00038Processes in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00002Chemical plants
    • B01J2219/00027Process aspects
    • B01J2219/0004Processes in series
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00049Controlling or regulating processes
    • B01J2219/00051Controlling the temperature
    • B01J2219/00054Controlling or regulating the heat exchange system
    • B01J2219/00056Controlling or regulating the heat exchange system involving measured parameters
    • B01J2219/00058Temperature measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00049Controlling or regulating processes
    • B01J2219/00162Controlling or regulating processes controlling the pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0803Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J2219/0805Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • B01J2219/0807Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges involving electrodes
    • B01J2219/0809Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges involving electrodes employing two or more electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0803Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J2219/0805Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • B01J2219/0807Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges involving electrodes
    • B01J2219/0837Details relating to the material of the electrodes
    • B01J2219/0841Metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0869Feeding or evacuating the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0871Heating or cooling of the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0894Processes carried out in the presence of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Magnetic Heads (AREA)

Description

  • Die Erfindung betrifft ein Verfahren sowie eine Vorrichtung zum anisotropen Ätzen eines Substrats. Insbesondere, jedoch nicht ausschließlich, betreffen Verfahren und Vorrichtung die Behandlung eines Halbleiterwafers.
  • Ein Verfahren zum anisotropen Ätzen von Silizium durch zyklisches Ätzen und Abscheiden in einer Plasmaumgebung ist aus der US-A-4 579 623 oder der WO-A-9 414 187 bekannt. Dieses anisotrope Plasmaätzverfahren ist auch auf andere Materialien angewendet worden wie Ge, SiGe und GaAs, was zu hohen Ätzgeschwindigkeiten führt (in Bezug auf das herkömmliche Plasmaätzen, bei dem die Anisotropie durch gleichzeitiges Ätzen und Passivieren während des Verfahrens gesteuert wird). Verfahren der IEEE, 1998, Band 86, Nr. 8, S. 1636–1551 beschreiben Massensiliziumätztechniken, die bei der Herstellung von mikromaschinellen Sensoren, Antrieben und Konstruktionen eingesetzt werden. Die zur Verfügung stehenden Ätzverfahren fallen in drei Kategorien, was den Zustand des Ätzmittels anbelangt, nämlich Naß, Dampf und Plasma. Die Zeitschrift Microelectromechanical Systems, 1996, Band 5, Nr. 4, S. 256–269 offenbart die Ätzgeschwindigkeit für verschiedene Materialien, die bei der Herstellung von mikroelektromechanischen Systemen und integrierten Schaltungen in einer Vielfalt von Naß-Plasma- und Gasphasenätzungen verwendet werden, sowie Details der Ätzvorbereitung, Verwendung und chemischen Reaktionen. Die Zeitschrift Electrochemical Society, 1993, Band 140, Nr. 2, S. 567–570 beschreibt ein Gasphasenätzen von einfachem und polykristallinem Silizium unter Verwendung eines Gemisches aus HF/H2O und O3. Die chemischen Verbindungen bzw. Verfahren, die in jeder dieser Veröffentlichungen offenbart sind, können genausogut für die unten beschriebene vorliegende Erfindung Verwendung finden.
  • Die US 4 529 475 offenbart eine Trockenätzvorrichtung, die reaktionsfähige Gase benutzt und in der Lage ist, anisotropes Ätzen ohne Strahlungsschäden für ein Werkstück zu erreichen. Die Druckschrift beschreibt jedoch keinen zyklischen oder alternierenden Prozeß.
  • Es wird daher als Hypothese angenommen, daß gemäß der vorliegenden Erfindung zur Erreichung einer anisotropen hohen Ätzgeschwindigkeit die folgende all gemeine Technik angewendet werden kann. Die anisotrope hohe Ätzgeschwindigkeit sollte dann erreichbar sein, wenn alle folgenden drei Bedingungen erfüllt werden:
    • (i) Das Material kann bei hoher Geschwindigkeit geätzt werden (d. h. es bildet sich schnell oder spontan ein flüchtiges Reaktionsprodukt, sobald es dem speziellen Ätzmittel ausgesetzt wird), und zwar unabhängig vom Ätzprofil;
    • (ii) ein geeigneter Passivierungsfilm kann niedergeschlagen werden oder die geätzte Oberfläche kann so passiviert werden, daß der Passivierungsfilm oder die passivierte Oberfläche durch das spezielle Ätzmittel mit einer geringeren Geschwindigkeit geätzt werden kann als das zu ätzende Material; und
    • (iii) das Passivierungsmaterial kann selektiv von der Basis (oder waagerechten Oberfläche des Materials) entfernt werden, so daß das Ätzmittel parallel zu dieser Richtung weiterlaufen kann.
  • Das obige Modell der Erfindung besagt, daß dort, wo eine der drei Bedingungen nicht erfüllt werden kann, das Verfahren nicht zur anisotropischen Ätzung irgendeines Materials benutzt werden kann. Es wird darauf hingewiesen, daß bei dem geätzten Material das Ätzprodukt von der Oberfläche entfernt werden muß. Dies kann entweder dadurch geschehen, daß sichergestellt wird, daß die Produkte bei den vorherrschenden Verfahrensbedingungen sich verflüssigen oder mit Hilfe anderer Mittel, einschließlich Waschens mit einem Lösungsmittel. Das genaue Verfahren zur Durchführung der obigen Schritte hängt von dem zu ätzenden Material ab sowie dem der am besten geeigneten Chemie. Beispielsweise kann der Schritt (i) mit Hilfe eines chemischen Stoffes in der Gas-, Dampf- oder Flüssigphase durchgeführt werden. Der Schritt (ii) kann entweder durch Passivierung der Oberfläche (beispielsweise dann, wenn ein Metall oder ein Halbleitermaterial, das ein Oxid, ein Nitrit, Karbid oder eine andere geeignete Grenzfläche bildet, die gegen das chemische Ätzen resistent ist) oder durch Abscheidung eines Passivierungsfilms oder einer Passivierungsschicht erfolgen. Techniken zur Bildung einer passivierenden Grenzfläche oder Schicht sind dem auf diesem Gebiet tätigen Fachmann gut bekannt. Die folgende Diskussion konzentriert sich deshalb mehr auf die Abscheidung eines passivierenden Films, da dieses Verfahren auf das Ätzen dielektrischer Schichten genausogut anwendbar ist wie für Metalle und Halbleiter. So läßt sich der Schritt (ii) (wobei im folgenden nur auf die Abscheidung einer Passivierungsschicht Bezug genommen wird) mit Hilfe eines Plasmas oder einer durch Energiestrahlung (beispielsweise UV) verstärkten Polymerisation. Der Schritt (iii) kann ebenfalls in einer von einer Anzahl verschiedener Weisen durchgeführt werden, so beispielsweise mit Hilfe eines Plasmas oder mit Hilfe kollimierter (gerichteter) Oberflächenstrahlung (einschließlich UV und IR, die von einem Laser oder einer anderen Quelle kommen) durchgeführt werden. Die Vorrichtungsdetails hängen daher davon ab, welche Verfahren für jeden der Schritte zur Anwendung gelangen. Es ist natürlich vorteilhaft, kompatible Vorrichtungsmittel zur Durchführung der Schritte zu benutzen, wobei die Verwendung einer einzelnen Raumvorrichtung (oder einzelnes Gerät) vorzuziehen ist, da dies die reine Ätzgeschwindigkeit optimieren wird, weil unnötige Substratbehandlungen vermieden werden.
  • Die Erfindung ermöglicht die Anwendung der zyklischen Ätzpassivierungstechnik auf Materialien, die nicht notwendigerweise wegen annehmbarer niedriger Ätzgeschwindigkeiten durch eine Plasmaeinrichtung geätzt werden. Statt dessen bezieht sich die Erfindung auf Nichtplasmaätzen und optional auf einen Nichtplasmapassivierungsschritt. In der Tat lassen sich chemische Stoffe, die mit dem Plasmaätzen nicht kompatibel sind, verwenden. Ein Plasma führt im wesentlichen zu einem gewissen Dissoziationsgrad der Vorstufengase, und die Ätzung beruht auf einer gewissen Kombination der chemisch aktiven Radikalen mit elektrisch geladenen Teilchen, um relativ flüchtige Reaktionsprodukte zu entfernen. Zu den Beispielen für die Anwendung der Erfindung gehört das Ätzen von Dielektrika, beispielsweise Siliziumdioxid (z. B. unter Verwendung von HF-basierten Chemikalien), Halbleitern, beispielsweise Silizium (entweder gedopt oder nicht gedopt) auf der Grundlage entweder bekannter feuchter HF/HNO3/CH3COOH Chemie oder auf der Grundlage von reiner Halogenverbindungschemie, beispielsweise ClF3, BrF5 und dergleichen und Leiter wie beispielsweise Au und Pt unter Verwendung von Königswasser (HCl/HNO3) basierter Chemie. Die feuchten bzw. nassen Lösungen wie beispielsweise HF/CH3COOH/HNO3 und HCl/HNO3 können in der Dampfphase verwendet werden. In diesen Fällen läßt sich eine Anzahl Verfahren anwenden, um die Naßlösung auf das Substrat in Dampfform aufzubringen. Zu diesen gehören Ultraschallaufrühren oder elektrostatisches Aufladen, um über dem Substrat einen feinen atomisierten Sprühnebel zu erzeugen. Es versteht sich, daß jede Ätzgeschwindigkeit auf ein Maximum beschränkt ist, das sich an die Geschwindigkeit des Schrittes (i) annähert, so daß die Wahl der höchsten Ätzgeschwindigkeitschemie zur Maximierung dieses Vorgangs notwendig ist.
  • So kann beispielsweise Königswasser sowohl Gold als auch Platin ätzen, und zwar mit Ätzgeschwindigkeiten bei etwa einigen 100 μm pro Minute, während die für Silizium genannte Chemie Ätzgeschwindigkeiten von annähernd 100 μm pro Minute ermöglicht.
  • Die Erfindung kann für das anisotrope Ätzen von Oxiden angewendet werden. Oxid bezieht sich im breitesten Sinne auf Oxide von Silizium, Quarz, Glas, Pyrex, Si O2, abgeschieden durch CVD, und SiO2, entstanden durch thermische Mittel, Plasma oder andere Mittel, wobei die Si-Oberfläche oxidiert wird. Diese Oxide können gedopt oder nicht gedopt sein. Oxide von etwas anderen Materialien, beispielsweise Ge, können auf diese Weise ebenfalls geätzt werden.
  • Das Plasmaätzen von Oxid ist bekannt. Der Stand der Technik, beispielsweise Flamm und Mucha (Kapitel über Plasmaätzen in der Chemie der Halbleiterindustrie, Eds Moss und Ledwith, ISBN 0-216-92 005-1, 19987) befaßt sich mit dem Oxidätzen durch Fluor (hauptsächlich in der Form von Fluorkohlenstoff). Es ergibt sich die folgende Reaktion: SiO2 + CFx plasma SiF4 + COx
  • Das Plasma stellt die Energie zur Verfügung, die das CFx dissoziiert, um dadurch fluorhaltige Radikale freizusetzen und CFy +-Ionen zu produzieren. Diese Ionen werden über dem Plasmamantel beschleunigt, und zwar durch das Eigenspannungspotential und Stoß auf die SiO2-Oberfläche. Dieses Ionenbombardement ist zum anisotropen Ätzen des Oxids erforderlich. Tatsächlich werden hohe Ätzgeschwindigkeiten (im Bereich von 1 μm/min) nur erreicht, wenn hohe Ionenenergien benutzt werden (wenigstens einige 100 eV). Hochdichte Plasmaquellen (beispielsweise ICP, ECR, MORI) können hohe Ätzgeschwindigkeiten bei etwas geringeren Ionenenergien ergeben, jedoch ist diese Energie in Bezug auf die Bedingungen, die für das Ätzen anderer Materialien mit vergleichbaren Geschwindigkeiten (beispielsweise Silizium) erforderlich sind, noch groß. Somit spielt das Ionenbombardement bei dem Oxidätzprozeß eine Schlüsselrolle, die die Ätzgeschwindigkeiten und sowohl Profil als Maske selektiv beeinflußt. Typischerweise dient Fotowiderstand als Maskenmaterial und ist in Abhängigkeit von der Maske typischerweise kleiner als 10:1. Insbesondere für Silizium (was die Unterschicht oder tatsächliche Maske sein kann) beträgt er typischerweise bis zu 20:1.
  • Oxid kann ebenfalls isotrop in einem Plasma geätzt werden, und zwar gewöhnlicherweise in "abstromseitiger" Weise, d. h. entweder wenn das Plasma von dem Werkstück durch eine gehärtete und vorgespannte Metallplatte "abgekoppelt" ist oder einfach außer Sichtweite ist. Hier ist das Ionenbombardement vernachlässigbar und das Ätzen wird hauptsächlich durch Radikale bewirkt, die in dem Plasma erzeugt werden. Ätzgeschwindigkeiten bis zu etwa 1 μm/min sind durch Verwendung von NF3 erreicht worden. Ein anderes gutbekanntes Mittel zum isotropen Ätzen des Oxids ist die Verwendung von HF-Lösung oder Dampf. HF-Lösung (gewöhnlich mit NH4 OH zur Steuerung der Reaktionsgeschwindigkeiten gepuffert) kann Oxid mit Geschwindigkeit ätzen, die unter 1 μm/min liegen, wobei jedoch die Steuerung der Ätzparameter mit chemischen Lösungen im allgemeinen schwierig wird, wenn der Formfaktor steigt.
  • Ein anderes bekanntes Verfahren besteht in der Anwendung von HF-Dampfchemie. Dieses Verfahren ist in der Zeitschrift Semiconductor International, November 1987, sowie in den US-Patenten 4 749 440, 4 857 142 und den deutschen Patenten 4 317 274 und 19 704 454 beschrieben. Hier wurde von Ätzgeschwindigkeiten bis zu 2,4 μm/min berichtet. Die Reaktion ist SiO2 + 4HF → SiF4 + 2 H2O
  • Die Reaktion wird Benutzung von H2O eingeleitet, und tatsächlich kann während der Reaktion Wasserdampf zusammen mit einem wasserfreien HF-Gasstrom eingeleitet werden, um die HF-Adsoption auf der Waferoberfläche zu verstärken (Reaktion mit dem SiO2 zur Bildung von Hydroxid Si(OH)4). Die Selektivität zum entweder Fotowiderstand oder Silizium ist hoch (viel größer als 100:1). Andere geeignete chemische Prozesse, die ebenfalls in der Literatur berichtet worden sind, arbeiten mit Alkoholen (beispielsweise Iso-Propylalkohol und Methanol), die den Wasserzusatz zu dem HF ersetzen. Diesbezüglich wird auf Butterbaugh (Proc. Electrochem. Soc. 1994, Teile 94–7), Lee (J. Electrochem. Soc. Band 143, Nr. 3, 1996) und Torek (J. Electrochem. Soc. Band 142, Nr. 4, 1995) Bezug genommen.
  • Was das Plasmaätzen von Oxid anbelangt, so ist die Bedingung (i) des obigen Modells sehr schwer zu erfüllen, da isotrope Ätzgeschwindigkeiten und anisotrope Ätzgeschwindigkeiten vergleichbar sind (etwa 1 μm/min).
  • Das US-Patent 4 529 475 und das deutsche Patent 4 241 045 offenbaren Verfahren zur Behandlung eines Substratmaterials mit aufeinanderfolgenden Ätz- und Niederschlagschritten, gefolgt von einer selektiven Entfernung der Passivierungsschicht von dem geätzten Produkt.
  • Es besteht daher Bedarf an einem Verfahren zur verläßlichen anisotropen Ätzung eines Substrats (beispielsweise eines Oxids), insbesondere zum Tiefätzen eines Oxids. Diese Aufgabe läßt sich mit Hilfe der vorliegenden Erfindung lösen.
  • Gemäß der vorliegenden Erfindung wird ein Verfahren zur Behandlung eines Substratmaterials oder Films vorgeschlagen, der auf der Materialoberfläche ausgebildet ist, die mit einem Abdeckmuster versehen worden ist, wobei das Verfahren die zyklische Durchführung der folgenden Schritte umfaßt:
    • (a) Ätzen des Materials oder Films;
    • (b) Abscheidung oder Ausbildung einer Passivierungsschicht auf den Oberflächen einer geätzten Sache; und
    • (c) selektives Entfernen der Passivierungsschicht von der geätzten Sache, so daß die Ätzung in einer Richtung im wesentlichen lotrecht zu der Material- oder Filmoberfläche fortschreitet,
    dadurch gekennzeichnet, daß der Zyklus wiederholt durchgeführt wird mit dem Ergebnis, daß nach jedem Zyklus Merkmale tiefer in das Substrat eingeätzt sind, und wobei wenigstens der Schritt (a) der Schritte (a) und (b) in Abwesenheit eines Plasmas erfolgt.
  • Somit kann der Schritt (a) ein chemischer Ätzschritt sein. Die Passivierungsschicht wird typischerweise auf allen Oberflächen des Materials oder Films niedergeschlagen.
  • In den Fällen, in denen das Verfahren zur Behandlung eines Films benutzt wird, der auf der Materialoberfläche vorhanden ist, kann der Film dünn sein. Bei der Ausführung der Schritte (a), (b) und (c) und dann Wiederholung der Schritte, bis die gewünschte Tiefe des geätzten Merkmals erreicht ist, kann das Verfahren in dem Material oder Film eine tiefe, anisotrope geätzte Figur schaffen.
  • Es wird darauf hingewiesen, daß der erste Schritt in dem Zyklus nicht notwendigerweise der Schritt (a) sein muß.
  • Das Verfahren ist insbesondere zur Behandlung von Si, Si Ge, Ge und Oxiden anwendbar, obgleich es auch für andere Materialien, beispielsweise die oben erwähnten Halbleiter oder Leiter gleichermaßen brauchbar ist. Daher kann das Substrat jedes beliebige Substrat sein, das zum Ätzen geeignet ist, beispielsweise ein Halbleiterwafer oder ein Werkstück. Der Dünnfilm kann ein Dielektrikum, Halbleiter oder Leiter sein. Im Falle des genannten Beispiels kann das Dielektrikum Siliziumdioxid oder irgendein geeignetes Oxid sein. Darüber hinaus können das Material oder der Dünnfilm überstrichen oder nicht überstrichen sein.
  • Bei einer Ausführungsform der Erfindung zum Ätzen von Oxiden ist H2O im Schritt (a) vorhanden, wo es als ein Auslöser für das chemische Ätzen wirkt. Zusätzlich oder alternativ können N2 oder andere inerte Gase im Schritt (a) vorhanden sein. Der Stickstoff kann als Trägergas dienen. Darüber hinaus läßt sich Stickstoff als Reinigungsgas verwenden, um das Gerät zwischen den verschiedenen Schritten des Verfahrens zu reinigen. Dazu kommt, daß, wie oben in Verbindung mit dem Stand der Technik ausgeführt, Alkohol durch Wasserchemie ersetzt werden kann, beispielsweise in Form von Isopropylalkohol und/oder Methanol. Alternativ dazu lassen sich bei einer anderen Ausführungsform andere Gasquellen für den Ätzungsschritt verwenden, einschließlich reiner Halogenmoleküle oder Verbindungen (Inter-Halogen) wie beispielsweise F2 oder ClF3 (einschließlich relevanter zulässiger Mischungen derselben) oder QRy (wobei Q und R unterschiedliche elementare Halogene), die spontan Silizium ätzen. Die trockene chemische Ätzung von Silizium läßt sich durch Oberflächenbestrahlung (jedoch nicht mit Plasma) verstärken. Die Oberflächenbestrahlung bei der vorliegenden Erfindung ist jedoch nicht auf Lasererregerstrahlung beschränkt.
  • Die Passivierungsschicht kann durch Verwendung eines Polymers, beispielsweise eines der allgemeinen Formeln CxFy, CxHy, CxHyFz, wobei x, y und z irgendwelche geeigneten Werte sein können, niederschlagen.
  • Das Niederschlagen der Passivierungsschicht im Schritt (b) kann entweder mit oder ohne einem vorhandenen Plasma erfolgen. Wenn ein Plasma nicht vorhanden ist, läßt sich irgendein beliebiges geeignetes Nichtplasmamittel verwenden, wobei jedoch ein Beispiel für den Niederschlag der Passivierungsschicht ein foto verstärkter Polymerisationsprozeß ist. Ein besonderes Beispiel ist die UV-verstärkte Polymerisation. Es wurde gefunden, daß diese Polymerisation das Verfahren bildet, das in einem sehr weiten Bereich von Materialien anwendbar ist.
  • Bei einer Ausführungsform kann CxFy Polymerkette des Typs n (CxFy) abgeschieden werden. Wenn x = 1 und y = 2 ist, so ist dies PTFE. Ein Erfordernis der Passivierungsschicht der bevorzugten Ausführungsform besteht darin, daß sie für die Ätzchemie höchst selektiv ist. Dies ist tatsächlich bei der HF-Chemie der Fall, die für PTFE unbegrenzt selektiv ist und damit für das CxFy Polymer, das im Schritt (b) Verwendung findet sowie auch für herkömmliche organische Maskenbildungsmaterialien, beispielsweise fotoresistente Materialien.
  • Die selektive Entfernung der Passivierungsschicht (Schritt (c)) läßt sich mit Hilfe eines Plasmas ausführen. Alternative Verfahren der Oberflächenbestrahlung können ebenfalls geeignet sein. So kann beispielsweise die thermische Erwärmung entweder der vorderen und/oder hinteren Oberfläche des Materials oder Films benutzt werden, was zu einer thermolytischen Zersetzung führt. Alternativ dazu kann die Bestrahlung von einer Lichtquelle ausgehen, beispielsweise einem Laser, und zwar auf der Vorderseite des Materials oder Films, wodurch sich ein fotolytischer Abbau ergibt. Die Bestrahlung kann gerichtet oder gezielt parallel zur Richtung der fortschreitenden Ätzfront sein. In den Fällen, in denen ein Plasma benutzt wird, ist bei einer Ausführungsform die in diesem Plasmaverfahrensschritt benutzte Ionenenergie größer als 10 eV und liegt vorzugsweise zwischen 10 und 100 eV. Vorzugsweise ätzt das Plasma nicht spontan die Passivierungsschicht, da es wichtig ist, die gerichtete Ätzung durch vorzugsweises Entfernen von den Basis- oder waagerechten Oberflächen des zu ätzenden Gegenstandes aufrecht zu erhalten. Das Plasma weist ein Vorläufergas oder ein Gemisch aus Vorläufergasen auf. Beispiele geeigneter Plasmas sind entweder inerte Gase (in Bezug auf die Chemie) wie Argon, die physikalisch das Polymer beseitigen, oder solche Gase, die physikalisch die Basisschicht mit chemischen Verstärkungen wie Halo- oder Hydrocarbonen beseitigen. Bei einer Ausführungsform kann der Vorläufer mit dem Material verdünnt werden, das zur Abscheidung der Passivierungsschicht dient, insbesondere überlappend mit dem Ende des Schrittes (b). Alternativ dazu kann der Vorläufer entweder mit Ätzmittel, das zum Ätzen des Substrats verwendet wird, verdünnt sein oder verdünnt werden, und zwar entweder vollständig oder zumindest teilweise in Überlappung mit dem ganzen Schritt (a) oder seiner Startphase.
  • Obgleich irgendwelche geeigneten Betriebsbedingungen zur Anwendung für das erfindungsgemäße Verfahren angewendet werden können, sind bei einer bevorzugten Ausführungsform die Betriebsbedingungen folgende:
    • Schritt (a) kann bei hohen oder niedrigen Drücken ausgeführt werden (beispielsweise von atmosphärischem Druck bis zu einigen Torr [Mehrfaches von 133 Pa]). Es ist kein Plasma vorhanden. Die gesamten chemischen Ätzmittelströme können im Bereich von Bruchteilen eines SLM bis 10 s eines SLM (Normliter pro Minute) liegen, und zwar unter Verwendung eines geeigneten Trägergases, eines chemischen Verstärkungsmittels und der hauptsächlichen Ätzchemikalien.
    • Schritt (b) kann bei geringem Druck eines Plasmas ausgeführt werden, der beispielsweise von einigen 100 Torr (Mehrfaches von 13 333 Pa) bis herunter zu einigen mTorr (Mehrfaches von 0,133 Pa), wobei die gesamten Gasströme von wenigen cm3 bis zu 1 SLM für beispielsweise ein Trägergas und das Passivierungsvorläufergas reichen.
    • Schritt (c) kann mit einem Plasma niedrigen Drucks, möglicherweise bis zu mehreren 100 Torr (mehrere Male 13 333 Pa) ausgeführt werden, jedoch vorzugsweise mit weniger als 100 mTorr (weniger als 13,3 Pa), wobei die gesamten Gasströme im Bereich von 5–100 cm3 liegen (wenn beispielsweise Argon in dem Plasma verwendet wird.
  • In einer Ausführungsform wird jeder der Schritte (a) bis (c) als separater Schritt durchgeführt, wobei keine Überlappung zwischen jedem Schritt erfolgt. Zwischen einem beliebigen der Schritte und insbesondere zwischen den Schritten (a) und (b) und/oder zwischen den Schritten (c) und (a) kann ein Abpumpschritt vorgesehen werden.
  • Die Vorrichtung zur Durchführung des oben beschriebenen Verfahrens kann eine Kammer mit einem Chemikalieneinlaß und einem Chemikalienauslaß aufweisen, in der ein Träger zur Aufnahme eines Substrats angeordnet ist. Des weiteren weist sie (a) eine Einrichtung zum Ätzen eines Substratmaterials oder eines Films mit einem oder mehreren geeigneten Chemikalien auf der Materialoberfläche auf, die mit einem Maskenmuster ausgestattet worden ist. Ferner weist die Vorrichtung (b) eine Einrichtung zur Abscheidung einer Passivierungsschicht auf den Oberflächen eines geätzten Merkmals und (c) eine Einrichtung zur wahlweisen Entfernung der Passivierungsschicht von dem geätzten Merkmal auf, so daß die Ätzung in eine Richtung voranschreitet, die im wesentlichen lotrecht zur Material- oder Filmoberfläche liegt. Die Vorrichtung ist so eingestellt, daß die Schritte (a), (b) und (c) zyklisch durchgeführt werden, wobei der Zyklus wiederholt wird mit dem Ergebnis, daß die Merkmale nach jedem Zyklus, wenn die Passivierungsschicht entfernt ist, tiefer in das Substrat geätzt werden, und wobei wenigstens der Schritt (a) von den Schritten (a) und (b) in Abwesenheit eines Plasmas durchgeführt wird.
  • Die Vorrichtung kann des weiteren eine Einrichtung zur Erzeugung von RF-Energie (induktiv und/oder kapazitiv über die Elektroden gekoppelt) oder Mikrowellenenergie für ein in der Kammer befindliches Plasma, und zwar für wenigstens einen Teil des Zyklus, aufweisen. Außerdem kann eine Einrichtung zur Erzeugung einer elektrischen Spannung an der Substratelektrode vorgesehen werden, um die Ionen für wenigstens einen Teil des Zyklus auf die Materialoberfläche oder den Film zu beschleunigen. Die Vorrichtung kann des weiteren mit einer Einrichtung zur Erzeugung von in die Kammer gerichtete Strahlungsenergie versehen sein. Die Vorrichtung kann des weiteren eine Einrichtung zur Steuerung der Temperatur des Substrats oder Werkstücks der Kammer aufweisen, um dadurch die Abscheidung des Passivierungsfilms und/oder die Ätzgeschwindigkeit des Substrats und/oder die Ätzgeschwindigkeit des Passivierungsmaterials zu erhöhen.
  • Die Vorrichtung kann des weiteren mit einer Einrichtung zum Drehen des Substrats ausgerüstet sein, um dadurch die Prozeßhomogenität über dem Substrat zu steigern.
  • Somit können die Einrichtung zum Ätzen des Substrats, die Einrichtung zur Abscheidung der Passivierungsschicht und die Einrichtung zur selektiven Entfernung der Passivierungsschicht einer einzigen Kammer zugeordnet sein. Dies ist für den Durchsatz vorteilhaft, da dadurch keine zusätzlichen Substratbehandlungszeiten auftreten. Alternativ jedoch kann für jeden der Schritte eine separate Kammer vorgesehen werden, wenn sich die Notwendigkeit ergibt, die gewünschte Einrichtung für den Passivierungs- oder Passivierungsschichtentfernungsschritt zu unterstützen.
  • Jede der Einrichtungen zum Ätzen, zum Niederschlagen der Passivierungsschicht und zum selektiven Entfernen der Passivierungsschicht kann derselben oder einer getrennten Kammer zugeordnet werden, in der das Substrat angeordnet ist.
  • Daher braucht nicht nur jede Einrichtung mit einer einzelnen Kammer ausgestattet zu sein, sondern eine Kammer kann für jede Einrichtung (was drei Kammern insgesamt bedeutet) oder zwei der Einrichtungen können einer einzelnen Kammer zugeordnet werden, wobei dann die andere Einrichtung einer separaten Kammer zugeordnet wird.
  • Für die Durchführung des Verfahrens dieser Erfindung können irgendwelche verwendeten Gase von einem Liefersystem geliefert werden, das örtlich an einer Kammer angeordnet ist, in der das Verfahren durchgeführt wird. "Örtlich" bedeutet entweder, daß das Liefersystem eher zu der Kammer gehört als daß es einen zentralen Zufuhrring bildet und/oder daß das Gas an der Stelle erzeugt wird, an der es benutzt wird. In gleicher Weise kann die für das erfindungsgemäße Verfahren verwendete Vorrichtung ein Liefersystem für Gase enthalten, das an der Reaktionskammer der Vorrichtung lokalisiert ist. Das örtliche Liefersystem kann geschmolzene Elektrolytgaserzeuger, insbesondere für Fluor, Stickstoff, Trifluide oder Chlortrifluide oder zwischenhalogene Gase, wie beispielsweise deren Mischungen, verwenden. Die Gasgeneratoren enthalten einen Feststoff, wenn sie kalt sind, der den sicheren Transport und die sichere Lagerung der Generatoren ermöglicht. Sie sind in der Lage, die Prozeßgase mit hoher Reinheit und mit annehmbaren Kosten und Risiken zu erzeugen. Die Fähigkeit, Gase zu erzeugen oder Gasmischungen zu kombinieren, die entweder ein Plasma zur Erzeugung der reaktiven Stoffe erfordern oder spontan mit dem Substrat reagieren, ermöglichen die Einführung eines Verfahrensplans, der für den einen oder anderen der Prozeßschritte im gesamten Verfahrensplan nur ein Plasma erfordern kann.
  • Die Erfindung kann auf verschiedenen Wegen verwirklicht werden und spezielle Beispiele dieser Wege werden nun im folgenden beschrieben, und zwar unter Bezug auf die beigefügten Zeichnungen, in denen sind:
  • 1 eine vergrößerte schematische Querschnittsansicht einer anisotrop geätzten Rinne bei einem zyklischen Ätz- und Passivierungsverfahren;
  • 2 eine vergrößerte schematische Querschnittsansicht einer geätzten Rinne, die durch herkömmliche anisotrope Ätzung hergestellt wird;
  • 3 eine vergrößerte schematische Querschnittsansicht eines isotropen chemischen Ätzprozesses;
  • 4 eine vergrößerte schematische Querschnittsansicht eines Niederschlags eines passivierenden Films;
  • 5 eine vergrößerte schematische Querschnittsansicht der selektiven Entfernung des passivierenden Films vom Boden eines geätzten Merkmals;
  • 6 eine schematische Ansicht einer Vorrichtung zur Durchführung des Ätzschrittes der vorliegenden Erfindung;
  • 7A und 7B schematische Ansichten einer Vorrichtung zur Durchführung der abschließenden beiden Schritte des Verfahrens oder aller Verfahrensschritte der vorliegenden Erfindung;
  • 8A bis 8C schematische Darstellungen verschiedener unterschiedlicher Schrittfolgen der vorliegenden Erfindung;
  • 9A und 9B schematische Ansichten einer alternativen Vorrichtung zur Durchführung aller Schritte oder der beiden Endschritte des Verfahrens der vorliegenden Erfindung; und
  • 10A bis 10C und 11 schematische Darstellungen der Schritte zur Erzeugung eines Mehrfachdüsenduschkopfs bzw. eines sich ergebenden Produktes.
  • In 1 ist eine schematische Ansicht einer Rinne dargestellt, die wie in der WO-A-94 14 187 beschrieben, ausgebildet ist. Das in jener Druckschrift beschriebene Verfahren benutzt aufeinanderfolgende und diskrete Ätz- und Niederschlagsschritte, so daß nach dem ersten Ätzschritt die Seitenwände, wie bei 1 gezeigt, hinterschnitten sind und diese Hinterschneidung dadurch eine niedergeschlagene Passivierungsschicht 2 geschützt wird. Wie aus 1 ersichtlich, schafft diese Anord nung eine hohe Seitenwand, und in dem Maße, wie die Ätzschritte zunehmen oder sich tatsächlich das Flächenverhältnis vergrößert, können in dem Profil Ausbauchungen oder wiedereintretende Nuten entstehen. Es wird darauf hingewiesen, daß das Verfahren das Muster in die Oberfläche des Substrats überträgt, das in der Maske 3 ausgebildet wird.
  • 2 zeigt eine schematische Ansicht eines geätzten Substrats, das mit Hilfe herkömmlichen anisotropen Ätzens geätzt worden ist. Wiederum ist eine Maske 4 oben auf der Rinne 5 vorhanden. Wie in den abhängigen Ansprüchen definiert, betrifft die vorliegende Erfindung ein Verfahren, bei dem der Ätzschritt in Abwesenheit eines Plasmas ausgeführt wird. Hier beschriebene Verfahren, die den Ätzschritt betreffen, der in einem Plasma ausgeführt wird, sind für das Verständnis der vorliegenden Erfindung nützlich.
  • Wie oben erwähnt, läßt sich für einen zyklischen anisotropen Prozeß mit hoher Ätzgeschwindigkeit ein Modell entwickeln. Somit kann die Technik zur Vergrößerung der Ätzgeschwindigkeiten angewandt werden, und zwar unter Beibehaltung der Anisotropie für ein beliebiges Material, wenn die drei oben erwähnten Bedingungen (i) bis (iii) erfüllt werden können. Dieses Modell ist bei seiner Anwendung schematisch in den 3 bis 5 dargestellt. Was 3 anbelangt, so ist dort ein Substrat 6 gezeigt, auf dem eine Maskenschicht 7 liegt, die dem isotropen chemischen Ätzen unterworfen wird, um eine Rinne 8 zu erzeugen. Wie in 4 gezeigt, kann die Ablagerung oder Abscheidung eines Passivierungsfilms 9 zur Erzeugung eines Schutzes ausgeführt werden. Der nächste Schritt, der von dem Modell vorgeschlagen wird, ist die Entfernung des Passivierungsmaterials 9 von dem Grund 10 oder der waagerechten Oberfläche des Materials 11. Dies ermöglicht, daß das Ätzen in der gewünschten Ätzrichtung lotrecht zur Ebene des Substrats voranschreitet. Dieses Modell hat zu dem Plasmaätzen von Silizium unter Verwendung von SF6 oder NF3 auf der Grundlage der Ätzchemie und CXHy oder CXHyFz Passivierungschemie geführt. Das Modell besagt jedoch, daß dort, wo irgendeine der obigen Bedingungen (i) bis (iii) nicht erfüllt ist, das Verfahren nicht besonders zur Erhöhung der Prozeßleistung eingesetzt werden kann. Betrachtet man beispielsweise den Stand der Technik, so betrifft dieser nur die Verstärkung des anisotropen Plasmaätzens durch Verwendung dieses Verfahrens. Daher ist das Verfahren nur für jene Materialien anwendbar, die in einem Plasma geätzt werden können, in dem die isotrope Plasmaätzkomponente das hohe Ätzgeschwindigkeitsmittel schafft und die Seitenwandpassivierung das anisotrope Mittel bildet. Die Verwendung von chemischen Stoffen, die mit der Plasmaätzung nicht kompatibel sind und tatsächlich einfachere Nichtplasmaverfahren werden nicht offenbart. Auch Definition ist somit der Stand der Technik nicht anwendbar, um anisotrope Ätzgeschwindigkeiten von Materialien zu verstärken, welche nicht gleichzeitig eine hohe isotrope Ätzgeschwindigkeitskomponente haben. Materialien wie Siliziumdioxid, Siliziumcarbit oder Metalle wie beispielsweise Au, Pt, NiFe, Fe, NiFeCo (und andere metallische magnetische Materialien) fallen in diese Kategorie. Die vorliegende Erfindung verwendet somit ein nichtplasmatisches chemisches Ätzmittel unter der Bedingung (i) des Modells entweder mit einem Nichtplasma (beispielsweise strahlungsverstärkt) oder ein Plasma, verstärkt durch den Passivierungsschritt in Bedingung (ii) und ein Nichtplasma (beispielsweise strahlungsverstärkt) oder im Plasmaschritt zur Erfüllung der Bedingung (iii) des Modells. Im wesentlichen kann der zweite der ersten beiden Schritte in Abwesenheit eines Plasmas ausgeführt werden.
  • Die Wahl der Chemie hängt insbesondere von den Materialien ab, die geätzt werden. Die US-Patente 5 221 366, 5 213 621 und 5 213 622 beschreiben die Verwendung von Diketonen-, Ketoiminen-, halogenierter Karboxylsäure-, Essigsäure- und Ameisensäurechemie, um verschiedene Metalle in der Dampfphase zu ätzen. Derartige chemische Stoffe (und Erweiterungen einschließlich Hexafluoro-2,4-Pentaneduin und andere fluoronierte Acetyl-Azeton-Gruppen) können für das Dampfätzen verschiedener metallischer und magnetischer Materialien eingesetzt werden. Der Stand der Technik offenbart die Benutzung erhöhter Temperaturen, um das Ätzen zu verstärken. Tatsächlich sind in einigen Fällen mehrere 100° notwendig, um eine Ätzung zu erreichen. Das Verfahren der vorliegenden Erfindung läßt sich bei Drücken über dem Atmosphärendruck und/oder bei erhöhten Temperaturen (abhängig von Temperaturbeschränkungen, die durch das zu ätzende Material auferlegt werden) durchführen, um die Ätzgeschwindigkeit für derartige metallische und magnetische Materialien zu erhöhen.
  • In einer speziellen Ausführungsform betrifft die Erfindung das Oxidätzen durch:
    • (i) die Verwendung von anhydrierten HF-basierten Chemikalien zur Ätzung des Oxids;
    • (ii) Abscheidung der Passivierungsschicht (vorzugsweise bei der Verwendung von CxFy entweder mit oder ohne Plasmaverstärkung); und
    • (iii) die Verwendung von entweder einem gerichteten plasmaerzeugten Ionenbombardement oder einem gerichteten Nichtplasmastrahlungsmittel zur Entfernung der Passivierungsschicht.
  • 6 zeigt eine Ausführungsform einer speziellen Vorrichtung, ganz allgemein mit 12 bezeichnet, wie sie bei der Erfindung zur Ausführung des chemischen Ätzens mit HF-gestützter Chemie benutzt wird. Das anhydrierte HF und andere chemische Stoffe können bei der Einlaßöffnung 13 eintreten und an der Auslaßöffnung 14 austreten, die durch eine Kammer 15 verbunden sind. Des weiteren befindet sich in der Kammer 15 eine Elektrode 16, auf der ein oxidisches Substrat 17 (beispielsweise Siliziumdioxid) angeordnet ist. Die Kammer 15 steht unter einem Druck von etwa 760–500 Torr (101 331 Pa–66 665 Pa) und der gesamte Gasstrom beträgt zwischen 15 und 13 SLM. Bei Benutzung wird das anhydrierte HF zusammen mit entweder einem Alkohol oder H2O und N2 Trägergas durch die Einlaßöffnung 13 in die Kammer 15 geleitet und ätzt das Substrat 17, um dadurch in der Kammer ein geätztes Merkmal zu bilden. Eine alternative Vorrichtung zur Durchführung dieses Schrittes ist in der DE 19 704 454 und DE 4 317 274 offenbart.
  • Wie aus 6 hervorgeht, kann die Kammer 15 mit dem Prozeßgas durch die Einlaßöffnung 13 von einem lokalen Liefersystem 15A versorgt werden, das in der Lage ist, das gewünschte Gas oder die gewünschten Gase aus vorhandenen geschmolzenen Elektrolytgasgeneratoren zu erzeugen.
  • Die 7A und 7B zeigen alternative schematische Vorrichtungen, die entweder für alle drei Schritte der Erfindung oder für den zweiten und dritten Schritt (d. h. die Passivierung und die gerichtete Entfernung der Passivierungsschicht) eingesetzt werden können. 7A zeigt eine Vakuumkammer 18, die eine Trägerelektrode 19 zur Aufnahme des oxidischen Substrats 17 enthält, das in Form eines Halbleiterwafers vorliegen kann. Auch ist eine weitere beabstandete Elektrode 20 gezeigt.
  • Die Kammer 18 wird von einer Spule 22 umgeben, die von einer RF-Quelle 23 beschickt wird, welche zur Induzierung eines Plasmas in der Kammer 11 zwischen den Elektroden 19 und 20 dient, beispielsweise dann, wenn dies in irgendeinem der plasmabasierten Schritte der Erfindung verlangt wird. Eine Spannungseinrichtung 21 ist der Elektrode 19 zugeordnet. Die Kammer ist mit einer Gaseinlaßöff nung 24 versehen, durch die Abscheidungs- oder Ätzgase eingeleitet werden können, sowie einer Auslaßöffnung 25 zur Entfernung gasförmiger Prozeßprodukte und jedweden überschüssigen Prozeßgases.
  • Die in den 7A und 7B gezeigte Spannungseinrichtung 21 spannt den an der Substratelektrode anliegenden Strom, um dadurch den Schritt 3 zu ermöglichen. Die Spannung ist gewöhnlicherweise eine kapazitiv gekoppelte Wechselstromspannung, jedoch ist Gleichstromspannung möglich, wenn leitende Substrate benutzt werden.
  • 7B zeigt eine schematische Ansicht einer zu der Vorrichtung von 7A alternativen Vorrichtung. Die Vorrichtung arbeitet in ähnlicher Weise wie die in 7A gezeigte, und gleiche Bezugszeichen zeigen daher gleiche Teile. Der Unterschied zwischen der in 7B gezeigten Vorrichtung und der in 7A gezeigten Vorrichtung betrifft die Art der RF-Energie (induktiv und kapazitiv), die mit dem Plasma zur Durchführung irgendeines der plasmagestützten Schritte verbunden ist. 7A zeigt ein induktives Verfahren der Kopplung des RF-Stroms, während 7B ein kapazitives Verfahren des RF-Stroms, also Wechselstroms, zeigt. Obgleich nicht dargestellt, können auch andere Arten von Plasmaquellen, so beispielsweise MORI, ECR und dergleichen Verwendung finden. So ist in 7B eine erste RF-Quelle und Scheinwiderstandsanpassungseinheit gezeigt, die auf die Elektrode 19 wirken. Der erste RF-Strom und die Anpassungseinheit können auf die obere Elektrode einwirken, und eine zusätzliche zweite RF-Quelle und Anpassungseinheit können auf die untere Elektrode wirken, um die Spannungszufuhr herzustellen.
  • Für den zweiten Schritt der Erfindung, d. h. die Abscheidung der Passivierungsschicht, sind die idealen Betriebsbedingungen folgende: Ein geringer Plasmadruck (das Mehrfache von 13 333 Pa) bis zu einigen mTorr (das Mehrfache von 0,133 Pa), sind in Abhängigkeit von dem Reaktortyp in der Kammer 18 vorhanden. Die Fluorcarbongasquelle, beispielsweise C4F8, möglicherweise in einem Helium- oder Argonträgergas, wird durch die Einlaßöffnung 24 in die Kammer 18 eingeleitet und auf den Oberflächen des Substrats 17 polymer abgeschieden.
  • Der dritte Schritt der Erfindung, das ist also die selektive Entfernung der Passivierungsschicht, wird ebenfalls in der Kammer 18 durchgeführt, die in den 7A und 7B gezeigt ist. Ein geringer Plasmadruck, vorzugsweise niedriger als einige 100 Torr (das Mehrfache von 13 333 Pa) und im Idealfall niedriger als oder gleich 10 mTorr (1,33 Pa) wird in Abhängigkeit vom Reaktortyp verwendet, und der gesamte Gasstrom liegt im Bereich von 5–100 sccm, wenn Argon für die selektive Entfernung benutzt wird.
  • 8A zeigt die ideale Aufeinanderfolge von Chemie/Gasen, die nur in die Prozeßkammer hinein stattfindet. Der Schritt 1 bezieht sich auf die Strömung der Ätzmittelchemikalien in die Kammer, der Schritt 2 auf den Durchgang eines Passivierungsgases in die Kammer und der Schritt 3 auf den Durchgang des Plasmaätzgases in die Kammer. Es wurde jedoch insbesondere festgestellt, daß sich die Strömungsgeschwindigkeiten und die Betriebsdrücke zwischen dem ersten Schritt und den anderen beiden Schritten weitgehend unterscheiden und daß oft ein Auspumpen und eine Druckstabilisierung erforderlich sind. Dies führt zu der Aufeinanderfolge, die in 8B gezeigt ist. Obgleich die gezeigte Aufeinanderfolge einen einzigen chemischen Stoff oder Gasstrom zeigt, versteht es sich, daß mehr als ein chemischer Stoff oder Gas Verwendung finden können, falls erforderlich. Als Beispiel zeigt 8C den detaillierten Abbruch nur der Aufeinanderfolge der Gase des Schrittes 1, wobei auch die Verwendung des N2-Trägergases als Highlight in Erscheinung tritt.
  • Dieser Nachteil der unterschiedlichen Betriebsdrücke und Betriebsbedingungen läßt sich durch eine andere Ausführungsform überwinden. Dies ist schematisch in 9A dargestellt, aus der eine Vorrichtung ersichtlich ist, die zur Abscheidung eines Polymers im Schritt 2 mit Hilfe der Strahlung des Vorläufergases verwendet werden kann, das über das Substrat 17 strömt, nachdem es in den Reaktor durch die Öffnung 24 eingetreten ist und den Reaktor über die Öffnung 25 verläßt. Vorzugsweise wird die Waferträgerelektrode 19 gekühlt, so daß die Polymerkondensation auf dem Substrat verstärkt wird. Die Strahlung (einschließlich entweder UV oder IR) wird durch die externe Einrichtung 26 zugeführt, zu der die Lasererregungseinrichtung gehört, so beispielsweise ein Anregungslaser. Sie tritt in die Kammer durch ein geeignetes Fenster 27 ein. Strahlungverstärkende Polymerisaitonsverfahren sind dem auf diesem Gebiet der Technik tätigen Fachmann gut bekannt. Die Prozeßsteuerung in form von Betriebsdrücken kann sehr ähnlich derjenigen sein, die für den chemischen Ätzschritt erforderlich ist, also bei Drücken nahe dem atmosphärischen Druck.
  • 9B zeigt schematisch eine Vorrichtung zur Durchführung des Schrittes 3 unter Verwendung eingestellter Oberflächenstrahlung. Da dies ohne Plasma stattfindet, lassen sich beim Schritt 1 dieselben Vorteile der Ähnlichkeit des Betriebsfensters erhalten. Die Figur zeigt das auf der Wafertragelektrode 19 liegende Substrat 17. Die Strahlung wird durch die externe Einrichtung 26 geliefert und tritt in die Kammer durch ein geeignetes Fenster 27 über einen Collimator 28 ein. Alternativ dazu beschreibt die US 4 529 475 die Verwendung von zwei Strahlungsquellen lotrecht zueinander, von denen die eine für die Abscheidung (Schritt (ii)) verwendbar ist, während die andere für die Entfernung des Polymers benutzt wird (Schritt (iii)).
  • Damgfabgabeverfahren
  • Elektrostatische Sprühstrahlabgabetechniken lassen sich wie folgt verwenden:
    • a) die Lösung wird in die Kammer eingeführt (beispielsweise mit Hilfe eines MFC oder einer Heberspritze oder einer mit konstanten statischem Druck arbeitenden Förderpumpe), um einen Tropfen Strom zu erzeugen; und
    • b) ein elektrostatisches Feld wird erzeugt, um die Tröpfchen an das Substrat elektrostatisch anzuziehen.
  • Die Tröpfchen werden mit Hilfe einer Hochspannungsstromquelle, die mit dem Tröpfcheneintrittspunkt in die Kammer verbunden ist, mit einer positiven oder negativen Gleichstromladung versehen. Die Substratelektrode wird in Bezug auf die Gleichstromzufuhr geerdet. Am Tröpfcheneintritt sind typische elektrostatische Feldstärken im Bereich von 2 bis 30 kV/mm erforderlich. Bei diesen Intensitäten wird an dem Eintrittspunkt eine Coronaentladung erzeugt, die die Aufladung und Atomisierung der Tröpfchen unterstützt und den sich ergebenden Sprühstrahl gegen die geerdete Substratelektrode lenkt. Die Aufladung der Tröpfchen kann auch die Prozeßreaktionsgeschwindigkeit erhöhen. Auch kann die Lösungsleitfähigkeit die Tröpfchengröße beeinflussen. So führt beispielsweise Oxalsäurezusatz zu einer erhöhten elektrischen Leitfähigkeit und dadurch zu einem feineren Tropfensprühstrahl.
  • Idealerweise weist die Austrittsöffnung eine Düse auf, deren Innendurchmesser kleiner oder gleich 1 mm ist. Im praktischen Fall wird zum Erhalt einer guten Gleichförmigkeit über relativ große Bereiche eines der folgenden Verfahren benötigt:
    • a) Rasterabtastung einer oder mehrerer Düsen (die nur eine teilweise Sprühstrahlabdeckung über dem Substrat ermöglichen) und/oder geeignete Substratrotation;
    • b) mehrere Düsen, die eine vollständige Substratabdeckung bei möglicher Substratrotation erlauben (siehe unten).
  • Vielfachdüsenduschkopf zur Ermöglichung der vollständigen Substratabdeckung
  • Gegenwärtige Verfahren und der Stand der Technik beziehen sich auf die Verwendung einer oder mehrerer Düsen zur Einleitung des Dampfes. Die Düsen müssen leitfähig sein, um die elektrostatische Aufladung der Spitze zu ermöglichen. Da die Spitzenabmessung klein ist (< 1 mm), tritt elektrische Feldverstärkung ein. Diese Verstärkung vergrößert das elektrische Feld an der Spitze im Bereich von 2 bis 30 kV/mm um einige Größenordnungen. Bei diesen hohen Feldern erfolgt eine lokale Coronaentladung, die das Entstehen eines feinen beladenen Tröpfensprühstrahls unterstützt, der von der gleichstromgeerdeten Substratelektrode angezogen wird. Im praktischen Fall ist es schwierig, eine große Anzahl Düsen zu verwenden, um den großen Bereich der Substratbehandlung abzudecken.
  • Dieser Aspekt der Erfindung bezieht sich auf die Gestaltung eines Duschkopfes, der sowohl eine gleichmäßige Dampfverteilung ermöglicht als auch die Beibehaltung hoher elektrischer Feldverstärkungsfaktoren.
  • 10 zeigt die Fabrikationsschritte für die Herstellung des Duschkopfes 29, nämlich
    • A. Eine dielektrische Platte 30 (beispielsweise Quartz, Glas oder Jenaer Glas) wird von der Rückseite aus mit kegelförmigen Löchern 31 durchbohrt (oder einer Anzahl übereinander gelagerter Löcher mit sich verringerndem Querschnitt), um dadurch die gewünschte Düsenform zu erzeugen. Kleine Löcher (beispielsweise bis zu 1 mm) werden von der Vorderseite eingebohrt, gefolgt von größeren Löchern, die von der Rückseite eingebohrt werden. Die gewählte Zahl der Löcher und deren Trennung hängt von verlangter Dampfströmungsgeschwindigkeit und Druck ab, die durch das elektrische Feld verstärkt werden.
    • B. Die Rückseite 32 der Platte wird dann zur Herstellung eines durchgehenden elektrischen Pfades zwischen der hinteren Oberfläche und der Innenseite jeder Düse bis zur Spitze jeder Düse metallisiert.
    • C. An dem metallisierten Abschnitt auf der Rückseite werden dann elektrische Anschlüsse für die Gleichstromzufuhr hergestellt.
  • 11 zeigt eine Abänderung, bei der unterschiedliche Bereiche des Duschkopfes 33 an verschiedene Stromzuführungen angeschlossen werden können, wobei entweder dasselbe Potential oder unterschiedliche Potentiale Verwendung finden, um einen Feldgradienten über der Düsenspitze des Duschkopfes zu bilden. Diese Ausführungsform kann zur gewünschten Einstellung der Dampfverteilung über dem Duschkopf verwendet werden. Der Duschkopf wird durch einen nicht metallisierten Abschnitt 36 in die Bereiche 34, 35 unterteilt, wobei getrennte Gleichstromkontakte 37, 38 für jeden Bereich hergestellt werden. Die Düsenlöcher 39 (nur teilweise gezeigt) bedecken den Duschkopf 33 gleichmäßig.

Claims (25)

  1. Verfahren zur Behandlung eines Substratmaterials oder Films (17) auf der Materialoberfläche, die mit einem Abdeckmuster versehen worden ist, umfassend die zyklische Durchführung der folgenden Schritte: a) Ätzen des Materials oder Films; b) Abscheidung oder Ausbildung einer Passivierungsschicht auf den Oberflächen eines geätzten Merkmals und c) selektives Entfernen der Passivierungsschicht von dem geätzten Merkmal, um zu bewirken, daß die Ätzung in einer Richtung im wesentlichen lotrecht zur Material- oder Filmoberfläche fortschreitet, wobei der Zyklus wiederholt durchgeführt wird mit dem Ergebnis, daß nach jedem Zyklus Merkmale tiefer in das Substrat eingeätzt sind, und wobei wenigstens der Schritt a) der Schritte a) und b) in Abwesenheit eines Plasmas erfolgt.
  2. Verfahren nach Anspruch 1, wobei Schritt a) mit einem oder mehreren geeigneten chemischen Stoffen durchgeführt wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei Schritt b) in Gegenwart eines Plasmas durchgeführt wird.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Material oder der Film ein Dielektrikum ist.
  5. Verfahren nach Anspruch 4, wobei das Material oder der Film ein Oxid ist, vorzugsweise Silizium, Quarz, Glas, Pyrex, SiO2, abgeschieden durch CVD oder SiO2, gewachsen durch thermische, Plasma- oder andere Mittel zur Ablagerung oder zum Wachsen des Oxids.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Material oder der Film mit HF geätzt ist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei H2O und/oder ein Alkohol beim Schritt a) vorhanden sind.
  8. Verfahren nach einem der vorhergehenden Ansprüche 1 bis 3, wobei das Material oder der Film ein Halbleiter ist, vorzugsweise ein Si-, SiGe- oder Ge-Halbleiter.
  9. Verfahren nach Anspruch 8, wobei das Material oder der Film mit HF, HNO3 und CH3COOH geätzt wird oder mit einer Wasserstoff enthaltenden Verbindung, vorzugsweise einem Wasserstoff enthaltenden Gas, das nur Wasserstoffkomponenten enthält.
  10. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Material und der Film ein Leiter, vorzugsweise ein Au- oder Pt-Leiter ist.
  11. Verfahren nach Anspruch 10, wobei das Material oder der Film unter Verwendung von Königswasser geätzt wird.
  12. Verfahren nach einem vorhergehenden Anspruch, wobei N2 oder ein anderes inertes Gas beim Schritt a) vorhanden ist und/oder als Reinigungsgas zwischen den Verfahrensschritten verwendet wird.
  13. Verfahren nach einem vorhergehenden Anspruch, wobei die Passivierungsschicht gegen die ätzenden Chemikalien resistent und auf einer Oberfläche ausgebildet ist.
  14. Verfahren nach einem vorhergehenden Anspruch, wobei die Passivierungsschicht ein Polymer ist.
  15. Verfahren nach Anspruch 14, wobei das Polymer der Formel n (CxFy) entspricht, wobei x und y irgendwelche geeigneten Werte sind.
  16. Verfahren nach einem vorhergehenden Anspruch, wobei dann, wenn beim Schritt b) kein Plasma vorhanden ist, ein fotoverstärkter Polymerisationsprozeß beim Abscheiden der Passivierungsschicht benutzt wird.
  17. Verfahren nach einem vorhergehenden Anspruch, wobei die selektive Entfernung der Passivierungsschicht durch Oberflächenbestrahlung ausgeführt wird.
  18. Verfahren nach Anspruch 17, wobei die Bestrahlung eine thermische Erwärmung entweder der vorderen und/oder der hinteren Oberfläche des Materials oder Films ist, um eine thermolytische Auflösung zu bewirken, oder durch eine Lichtquelle auf der Vorderseite des Materials oder Films bewirkt wird, die eine fotolytische Auflösung zur Folge hat, oder wobei als Strahlungsquelle ein Anregungslaser dient.
  19. Verfahren nach Anspruch 17 oder 18, wobei die Strahlung gerichtet ist oder parallel zur Richtung der fortschreitenden Ätzfront kollimiert ist.
  20. Verfahren nach einem der Ansprüche 1 bis 16, wobei die selektive Entfernung der Passivierungsschicht unter Verwendung eines Plasmas ausgeführt wird, wobei die Ionenenergie in dem Plasma vorzugsweise größer als 10 eV ist.
  21. Verfahren nach Anspruch 20, wobei das Plasma ein Vorstufengas oder eine Mischung von Vorstufengasen aufweist.
  22. Verfahren nach Anspruch 21, wobei das Vorstufengas ein inertes Gas aufweist, das in der Lage ist, die Passivierungsschicht physikalisch zu entfernen und/oder ein Gas, das in der Lage ist, die Passivierungsschicht physikalisch mit chemischer Verstärkung zu entfernen.
  23. Verfahren nach Anspruch 21 oder 22, wobei das Vorstufengas ein chemisches Ätzmittel aufweist, das im Schritt a) verwendet wird oder ein Material, das zur Ablagerung der Passivierungsschicht im Schritt b) verwendet wird.
  24. Verfahren nach einem vorhergehenden Anspruch, wobei irgendwelche Gase Verwendung finden, die an einem Benutzungspunkt eines Liefersystems abgegeben werden, das in der Nähe eines Raums liegt, in dem das Verfahren durchgeführt wird.
  25. Verfahren nach einem vorhergehenden Anspruch zur Behandlung eines Substratmaterials oder Films, der aus metallischen und magnetischen Materialien gebildet wird, wobei das Verfahren unter Drücken über Atmosphärendruck und/oder bei erhöhten Temperaturen unter Verwendung von Ätzmate rialien betrieben wird, wobei die letztgenannten Materialien irgendeiner oder mehrere der folgenden Stoffe sind: Diketone, Ketoamine, halogenisierte Carboxylsäure, Essigsäure und ameisensaure Chemikalien sowie Streckungen einschließlich Hexafluor-2,4-Pentanione und andere fluorinierte Acetyl-Azeton-Gruppen.
DE69934986T 1998-07-23 1999-07-23 Verfahren für anisotropes ätzen Expired - Lifetime DE69934986T2 (de)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
GBGB9815931.2A GB9815931D0 (en) 1998-07-23 1998-07-23 Method and apparatus for anisotropic etching
GB9815931 1998-07-23
GBGB9823364.6A GB9823364D0 (en) 1998-10-27 1998-10-27 Method and apparatus for anisotropic etching
GB9823364 1998-10-27
GBGB9904925.6A GB9904925D0 (en) 1999-03-04 1999-03-04 Gas delivery system
GB9904925 1999-03-04
GBGB9910725.2A GB9910725D0 (en) 1999-05-11 1999-05-11 Method and apparatus for antisotropic etching
GB9910725 1999-05-11
GB9911401 1999-05-18
GBGB9911401.9A GB9911401D0 (en) 1999-05-18 1999-05-18 Method and apparatus for anisotropic etching
PCT/GB1999/002368 WO2000005749A2 (en) 1998-07-23 1999-07-23 Method and apparatus for anisotropic etching

Publications (2)

Publication Number Publication Date
DE69934986D1 DE69934986D1 (de) 2007-03-15
DE69934986T2 true DE69934986T2 (de) 2007-11-08

Family

ID=27517471

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69934986T Expired - Lifetime DE69934986T2 (de) 1998-07-23 1999-07-23 Verfahren für anisotropes ätzen

Country Status (7)

Country Link
US (1) US7141504B1 (de)
EP (1) EP1099244B1 (de)
JP (1) JP4698024B2 (de)
KR (1) KR100639841B1 (de)
AT (1) ATE352868T1 (de)
DE (1) DE69934986T2 (de)
WO (1) WO2000005749A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016200506B4 (de) 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US7560385B2 (en) * 2001-10-17 2009-07-14 Texas Instruments Incorporated Etching systems and processing gas specie modulation
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7691278B2 (en) 2005-09-27 2010-04-06 Lam Research Corporation Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
US8187483B2 (en) 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
WO2008121158A1 (en) * 2007-04-02 2008-10-09 Inphase Technologies, Inc. Non-ft plane angular filters
US20110207323A1 (en) * 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
US20110229687A1 (en) * 2010-03-19 2011-09-22 Qualcomm Incorporated Through Glass Via Manufacturing Process
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
CN103077920A (zh) * 2011-10-25 2013-05-01 上海华虹Nec电子有限公司 改善硅通孔横向开口的干法刻蚀方法
US8652969B2 (en) 2011-10-26 2014-02-18 International Business Machines Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US9190316B2 (en) * 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
JP5713043B2 (ja) * 2012-05-07 2015-05-07 株式会社デンソー 半導体基板の製造方法
US9142417B2 (en) * 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
JP6418694B2 (ja) * 2015-03-26 2018-11-07 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP2017152531A (ja) * 2016-02-24 2017-08-31 東京エレクトロン株式会社 基板処理方法
CN107919277A (zh) * 2016-10-08 2018-04-17 北京北方华创微电子装备有限公司 去除晶片上的二氧化硅的方法及制造工艺
WO2020018196A1 (en) * 2018-07-20 2020-01-23 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of silicon-germanium alloys
FI130065B (en) 2019-03-27 2023-01-13 Oxford Instruments Tech Oy Radiant window manufacturing method and radiant window structure
JP7338355B2 (ja) 2019-09-20 2023-09-05 東京エレクトロン株式会社 エッチング方法、及びエッチング装置
TWI712122B (zh) * 2019-12-10 2020-12-01 樂盟科技有限公司 晶圓表面處理裝置及晶圓表面處理方法
KR102578780B1 (ko) * 2020-09-29 2023-09-15 주식회사 히타치하이테크 반도체 제조 장치 및 반도체 장치의 제조 방법
DE102021200431A1 (de) * 2021-01-19 2022-07-21 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Bilden eines Trenchgrabens in einer ersten Halbleiterschicht eines Mehrschichtsystems

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3776187A (en) * 1970-08-05 1973-12-04 Ransburg Electro Coating Corp Electrostatic deposition apparatus
US4264641A (en) * 1977-03-17 1981-04-28 Phrasor Technology Inc. Electrohydrodynamic spraying to produce ultrafine particles
JPH0622212B2 (ja) * 1983-05-31 1994-03-23 株式会社東芝 ドライエッチング方法
JPS6050923A (ja) 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPH0612767B2 (ja) * 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4748043A (en) * 1986-08-29 1988-05-31 Minnesota Mining And Manufacturing Company Electrospray coating process
JPH01232728A (ja) * 1988-03-12 1989-09-18 Fujitsu Ltd 光異方性エッチング方法
JPH01316932A (ja) * 1988-06-15 1989-12-21 Nec Corp 半導体装置の製造方法
US4857142A (en) 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
JP2874263B2 (ja) * 1990-03-26 1999-03-24 ソニー株式会社 シリコン化合物系からなる被エッチング膜のエッチング方法
US5009725A (en) * 1990-03-30 1991-04-23 Air Products And Chemicals, Inc. Fluxing agents comprising β-diketone and β-ketoimine ligands and a process for using the same
JP3086234B2 (ja) * 1990-06-29 2000-09-11 株式会社東芝 表面処理方法
DE69109391T2 (de) * 1990-07-25 1995-09-07 Ici Plc Elektrostatische Sprühmethode.
JPH0793289B2 (ja) * 1991-04-01 1995-10-09 エアー.プロダクツ.アンド.ケミカルス.インコーポレーテッド 金属表面の蒸気相エッチング法
US5213621A (en) 1991-10-11 1993-05-25 Air Products And Chemicals, Inc. Halogenated carboxylic acid cleaning agents for fabricating integrated circuits and a process for using the same
US5213622A (en) 1991-10-11 1993-05-25 Air Products And Chemicals, Inc. Cleaning agents for fabricating integrated circuits and a process for using the same
JPH05275402A (ja) * 1992-03-27 1993-10-22 Hitachi Ltd 固体表面加工方法
US5223226A (en) * 1992-04-14 1993-06-29 Millipore Corporation Insulated needle for forming an electrospray
DE69317141T2 (de) * 1992-04-20 1998-06-25 Texas Instruments Inc Anisotropische Ätzung von Metalloxid
US5344676A (en) * 1992-10-23 1994-09-06 The Board Of Trustees Of The University Of Illinois Method and apparatus for producing nanodrops and nanoparticles and thin film deposits therefrom
MX9305898A (es) * 1992-10-30 1995-01-31 Texas Instruments Inc Metodo de grabado fotoquimico anisotropico para la fabricacion decircuitos integrados.
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE4317274A1 (de) 1993-05-25 1994-12-01 Bosch Gmbh Robert Verfahren zur Herstellung oberflächen-mikromechanischer Strukturen
TW371775B (en) * 1995-04-28 1999-10-11 Siemens Ag Method for the selective removal of silicon dioxide
JPH09181081A (ja) * 1995-12-26 1997-07-11 Nippon Inter Electronics Corp 半導体装置の製造方法
DE19704454C2 (de) 1997-02-06 2000-03-02 Bosch Gmbh Robert Verfahren zur Herstellung oberflächenmikromechanischer Strukturen mittels Ätzung in der Dampfphase

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016200506B4 (de) 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren

Also Published As

Publication number Publication date
WO2000005749A2 (en) 2000-02-03
KR20010072054A (ko) 2001-07-31
ATE352868T1 (de) 2007-02-15
WO2000005749A3 (en) 2000-07-27
KR100639841B1 (ko) 2006-10-27
US7141504B1 (en) 2006-11-28
EP1099244B1 (de) 2007-01-24
JP4698024B2 (ja) 2011-06-08
EP1099244A2 (de) 2001-05-16
JP2002521814A (ja) 2002-07-16
DE69934986D1 (de) 2007-03-15

Similar Documents

Publication Publication Date Title
DE69934986T2 (de) Verfahren für anisotropes ätzen
EP0865664B1 (de) Verfahren zum anisotropen plasmaätzen verschiedener substrate
DE3209066C2 (de)
DE3789814T2 (de) Energiereiche oberflächenreaktionen unter verwendung eines cluster-strahls.
EP0015403B1 (de) Verfahren zum reaktiven Ionenätzen von Silicium
EP0894338B1 (de) Anisotropes fluorbasiertes plasmaätzverfahren für silicium
DE4104762A1 (de) Verfahren und vorrichtung zur bearbeitung einer oberflaeche
DE10305602B4 (de) Verfahren und Vorrichtung zum Erzeugen eines Gasplasmas und Verfahren zur Herstellung einer Halbleitervorrichtung
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
EP2506294B1 (de) Verfahren zum elektronenstrahlinduzierten Ätzen
DE3923188C2 (de)
EP2313913B1 (de) Verfahren zum elektronenstrahlinduzierten ätzen von mit gallium implantierten schichten
EP0625285A1 (de) Verfahren zum anisotropen ätzen von silicium
EP0625218A1 (de) Verfahren und vorrichtung zur oberflächenmodifikation durch physikalisch-chemische reaktionen von gasen oder dämpfen an oberflächen mit unterstützung von hochgeladenen ionen.
DE3326929A1 (de) Bauelement-herstellungsverfahren mit plasmaaetzen
DE3925070C2 (de) Verfahren zum Erhalt einer sauberen Siliziumoberfläche
DE69322058T2 (de) Plasma-Ätzverfahren
Yoshida et al. Formation of GaN self-organized nanotips by reactive ion etching
DE3335107A1 (de) Verfahren zum herstellen eines gegenstandes mit einem mehrkomponentenmaterial
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE10016938C2 (de) Selektives Trockenätzen eines dielektrischen Films
DE3935189A1 (de) Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
DE69033151T2 (de) Ätzverfahren für Verbindungshalbleiter
DE3219284A1 (de) Verfahren zum herstellen einer halbleitervorrichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition