DE10016938C2 - Selektives Trockenätzen eines dielektrischen Films - Google Patents

Selektives Trockenätzen eines dielektrischen Films

Info

Publication number
DE10016938C2
DE10016938C2 DE10016938A DE10016938A DE10016938C2 DE 10016938 C2 DE10016938 C2 DE 10016938C2 DE 10016938 A DE10016938 A DE 10016938A DE 10016938 A DE10016938 A DE 10016938A DE 10016938 C2 DE10016938 C2 DE 10016938C2
Authority
DE
Germany
Prior art keywords
etching
nitride layer
plasma
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10016938A
Other languages
English (en)
Other versions
DE10016938A1 (de
Inventor
Delores A Bennett
James P Norum
Hongwen Yan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE10016938A1 publication Critical patent/DE10016938A1/de
Application granted granted Critical
Publication of DE10016938C2 publication Critical patent/DE10016938C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

TECHNISCHES ANWENDUNGSGEBIET
Die vorliegende Erfindung bezieht sich im allgemeinen auf ein Verfahren zum Ätzen eines dielektrischen Films und im besonderen auf ein Verfahren zum Ätzen einer Oxidschicht auf einer Nitridschicht mit hoher Selektivität.
HINTERGRUND DER ERFINDUNG
In der Herstellung von Halbleitern besteht ein wesentlicher Schritt darin, eine Oxidschicht, die auf einer Nitridschicht wie beispielsweise Siliziumnitrid aufgetragen wurde, ganz oder teilweise wegzuätzen. Dabei stellt die Aufgabe, die Ätzung mit hoher Selektivität für die Oxidschicht durchzuführen, eine große Herausforderung dar. Da sowohl das Oxid- als auch das Nitridmaterial in einem Ätzplasma ungefähr gleich schnell geätzt werden, wurde die Herausforderung, ein Verfahren mit einer ausreichenden Selektivität bereitzustellen, bislang nicht erfüllt.
Ein Versuch, diese Herausforderung zu erfüllen, besteht in der Verwendung eines fluorsubstituierten Kohlenwasserstoffs als Ätzmittel. Das Fluor reagiert mit dem vorhandenen Kohlenstoff und bildet auf dem Substrat eine passivierte Fluorkohlenstoff- Polymerschicht. Dieses Polymer wird von Sauerstoffatomen aufgespalten, die während der Ätzung der Oxidschicht freigesetzt werden. Mit fortlaufender Ätzung der Oxidschicht wird die Nitridschicht aufgrund des Vorhandenseins der passivierenden Schicht immer langsamer geätzt. Leider wird auch die passivierende Schicht angegriffen, und zwar von freien Fluoratomen im Plasma. Die Folge ist, daß die Ätzung der Nitridschicht schneller voranschreitet als erwünscht.
Marks et al. beschreiben im US-Patent Nr. 5,423,945 ein weiteres Verfahren zum selektiven Ätzen einer Oxidschicht auf einer Nitridschicht. Das beschriebene Verfahren verwendet hochpolymerisierende Gase wie beispielsweise C4F8 oder CH2F2 mit einem Fluoradsorber wie beispielsweise CO. Dabei wird auf der Nitridschicht eine kohlenstoffreiche Polymerschutzschicht gebildet. Zwar wird damit eine bessere Ätzselektivität der Oxidschicht gegenüber der Nitridschicht erreicht, doch gehen mit diesem Verfahren auch mehrere Nachteile einher.
Erstens erfordert das von Marks et al. beschriebene Verfahren die Bildung eines Polymers auf der Nitridschicht, damit die Ätzung auf der Nitridschicht langsamer wird. Dieses Verfahren ist für sehr dünne Nitridschichten von 20 nm oder weniger nicht optimal geeignet, da die Nitridschicht durch den Ätzvorgang verbraucht sein kann, bevor der Effekt der Selektivität erreicht ist. Zweitens kann die Verwendung eines polymerisierenden Gases nicht wünschenswert sein, da seine hohe Selektivität bei der nachfolgenden Ätzung der Nitridschicht (dieser Schritt ist in der Halbleiterproduktion nach wie vor üblich) bleibende Schäden verursachen kann.
Darüber hinaus neigen hochpolymerisierende Gase dazu, sowohl auf dem geätzten Substrat als auch in der Prozeßkammer Rückstände in beträchtlichem Umfang zu hinterlassen. Infolge dieser Rückstände ist sowohl auf dem Substrat als auch in der Prozeßkammer eine Nachreinigung erforderlich. Dazu kommt, daß viele polymerisierende Gase, darunter auch CH2F2, brennbar, toxisch oder brennbar und toxisch sind. CO, das als Adsorbergas verwendet wird, ist toxisch und neigt dazu, aus rostfreien Edelstahl-Komponenten Nickel und Eisen zu extrahieren und diese in der Gasleitung der Ätzkammervorrichtung abzulagern.
Die Nachteile bei der Verwendung herkömmlicher Verfahren zum Ätzen einer Oxidschicht auf einer Nitridschicht machen deutlich, daß ein Verfahren benötigt wird, das eine hohe Selektivität für die Oxidschicht aufweist, nicht­ polymerisierende Gase verwendet und zur Verwendung auf einer Oxidschicht, die über einer dünnen Nitridschicht aufgetragen wurde, geeignet ist. Um die Nachteile herkömmlicher Verfahren zu überwinden, wird ein neues Verfahren vorgestellt. Ein Gegenstand der vorliegenden Erfindung besteht darin, ein Verfahren zum Ätzen einer Oxidschicht auf einer Nitridschicht mit hoher Selektivität bereitzustellen. Gleichzeitig wird versucht, ein Verfahren anzubieten, das sich für Oxidschichten auf dünnen Nitridschichten eignet. Ein weiterer Gegenstand der vorliegenden Erfindung besteht darin, ein Verfahren anzubieten, in dem nichtpolymerisierende Gase verwendet werden.
ZUSAMMENFASSUNG DER ERFINDUNG
Um diese und weitere Ziele zu erreichen, sowie in Anbetracht ihrer Zwecke, stellt die vorliegende Erfindung ein Verfahren zum Ätzen einer Oxidschicht auf einer Nitridschicht in einem oberen Teil eines Substrats bereit. Das Substrat wird mit hoher Selektivität für die Oxidschicht auf der Nitridschicht geätzt, und zwar mit einem Plasma, das von einem kohlenstoff- und fluorhaltigen Gas sowie von einem stickstoffhaltigen Gas abgeleitet ist. Das Ätzen des Substrats durch die Oxidschicht auf die Nitridschicht erzeugt eine SixNy-Form, die auf der Nitridschicht abgelagert wird. Dieser Vorgang liegt einigermaßen im Gleichgewicht mit der Ätzung der Nitridschicht.
Bei dem genannten kohlenstoff- und fluorhaltigen Gas handelt es sich vorzugsweise um CF4, C2F6 oder eine Mischung dieser Verbindungen. Bei dem stickstoffhaltigen Gas handelt es sich vorzugsweise um N2, NH3 oder eine Mischung dieser Gase. Die Nitridschicht, die sich unter der Oxidschicht befindet, sollte vorzugsweise durch chemische Dampfabscheidung (CVD) gebildet worden sein. In Frage kommende Verfahren wären hier beispielsweise die chemische Niederdruck-Dampfabscheidung (LPCVD) oder die plasmaverstärkte chemische Dampfabscheidung (PECVD). Am besten geeignet für die Bildung der Nitridschicht wäre die plasmaverstärkte chemische Dampfabscheidung (PECVD).
Es wird darauf hingewiesen, daß sowohl die obige allgemeine Beschreibung als auch die nachfolgende ausführliche Beschreibung nur Beispielcharakter haben und das Prinzip der vorliegenden Erfindung durch die Beschreibung nicht eingeschränkt wird.
KURZE BESCHREIBUNG DER FIGUR
Das Prinzip der vorliegenden Erfindung wird am besten verständlich, wenn die nachfolgende ausführliche Beschreibung in Verbindung mit der beiliegenden Figur gelesen wird. Es sei darauf hingewiesen, daß entsprechend der üblichen Vorgehensweise die verschiedenen Bestandteile der Figur nicht maßstabsgetreu dargestellt sind. Das Gegenteil ist der Fall: die verschiedenen Abmessungen wurden zur besseren Veranschaulichung willkürlich vergrößert oder verkleinert. Die einzige Figur, Fig. 1, zeigt schematisch einen Querschnitt einer herkömmlichen Ätzvorrichtung.
AUSFÜHRLICHE BESCHREIBUNG DER ERFINDUNG
Als nächstes soll die vorliegende Erfindung unter Verweis auf Fig. 1 veranschaulicht werden. Die Figur ist beispielhaft, nicht einschränkend. Sie soll dem besseren Verständnis der Beschreibung dieser Vorrichtung, die für das Verfahren der vorliegenden Erfindung verwendet wird, dienen.
Fig. 1 ist ein Querschnitt einer herkömmlichen Ätzkammer 10. Ein Gehäuse 12, das beispielsweise aus Aluminium bestehen kann, definiert eine Ätzkammer 14. Das zu verarbeitende Substrat 22, beispielsweise ein Silizium-Wafer, ist an einem Elektrodenaufnahmeelement 16 befestigt. Dieses Element 16 ist normalerweise die Kathode. Die Innenwände des Gehäuses 12 bilden die Anode. Die Kathode 16 ist an eine HF-Quelle 18 angeschlossen. Ein Gasverteiler 20, der an eine Prozesgasquelle (nicht dargestellt) angeschlossen ist, liegt in einem geringen Abstand dem Kathodenelement 16 genau gegenüber. Wenn das Prozeßgas den Gasverteiler 20 über die Öffnungen 23 verläßt, wird es auf das Substrat 22 geleitet. Wenn die HF-Spannung an die Substrathalterung 16 angelegt wird und die Prozeßgase an den Verteiler 20 geleitet werden, wird zwischen dem Verteiler 20 und dem Substrat 22 ein Plasma gebildet. Eine abgehende Leitung 24, die an eine Vakuumpumpe (nicht dargestellt) angeschlossen ist, erhält den Druck in der Kammer aufrecht und leitet verbrauchte Gase und Reaktionsprodukte ab.
Allgemein gilt: Wenn ein Fluorkohlenstoff-Ätzgas, beispielsweise CF4, C2F6, C3F8, CH2F4, das sowohl Kohlenstoff als auch Fluor enthält, auf ein Plasma geleitet wird, werden im Plasma verschiedene Fragmente erzeugt. Diese Fragmente sind, unter anderem, freie Fluoratome, CF- und CF2-Radikale. Das Fluor ätzt die Siliziumoxidschichten auf einem Substrat. Während des Ätzvorgangs wird jedoch auch ein Polymer aus Kohlenstoff und Fluor gebildet, das sich auf dem Substrat ablagert und eine passivierende Schicht bildet. Diese Polymere werden von Sauerstoffatomen angegriffen. Daher spalten die Sauerstoffatome der Oxidschicht das Polymer bei seiner Bildung, ohne daß dadurch die Ätzung der Oxidschicht beeinträchtigt wird. Wenn jedoch kein Sauerstoff vorhanden ist, was zum Beispiel der Fall ist, wenn eine sauerstofflose Schicht (beispielsweise eine Nitridschicht) erreicht wird, dann ist kein Sauerstoff vorhanden, um die passivierende Polymerschicht aufzuspalten. An dieser Stelle wird die Siliziumoxidschicht weitergeätzt, und die passivierende Nitridschicht ätzt langsamer.
Leider wird das passivierende Polymer ebenfalls von Fluor angegriffen, und die kontinuierliche Bildung von Fluorionen im Plasma bombardiert die Polymerschicht und greift sie an.
Dadurch wird das Polymer aufgespalten, worauf die Nitridschicht vom Plasma geätzt wird.
Es wurden bereits mehrere Versuche unternommen, als Ätzgas eine Mischung aus CHF3 und HeO2 zu verwenden, die ebenfalls ein passivierendes Polymer auf der Nitridschicht erzeugt. Dieser Polymeraufbau geht nicht sehr einheitlich vonstatten, d. h. die Uneinheitlichkeit der Ätzgeschwindigkeit ist von der Mitte bis zum Rand an der Ätzstop-Nitridschicht sehr hoch. Diese unterschiedlichen Ätzgeschwindigkeiten sind beim Ätzen der Nitridschicht in der nachfolgenden Verarbeitung ein großes Problem. Wenn darüber hinaus der Nitridfilm durch eine plasmaverstärkte chemische Dampfabscheidung gebildet wird, wird der Unterschied in der Ätzgeschwindigkeit aufgrund der einfacheren Ätzbarkeit der Nitridschicht noch größer.
Man hat herausgefunden, daß das Ätzen mit einem Plasma, das von einem kohlenstoff- und fluorhaltigen Gas und von einem stickstoffhaltigen Gas abgeleitet wurde, die oben genannten Mängel verringert. Insbesondere hat man entdeckt, daß das Ätzen eines Substrats, auf dem sich eine Oxidschicht über einer Nitridschicht befindet, mit einem Plasma, das von einem kohlenstoff- und fluorhaltigen Gas und von einem stickstoffhaltigen Gas abgeleitet wurde, eine SixNy-Form erzeugt. Diese SixNy-Form, in der x = 2, 3 oder 4 und y = 1, 2, 3 oder 4 ist, wird erzeugt durch die Reaktion von SiFx, einem Nebenprodukt des Ätzvorgangs, mit Stickstoffradikalen und -ionen, beispielsweise N, N2 - und N-, wenn der Ätzvorgang anhält, bis die Oxidschicht durchdrungen und die Nitridschicht erreicht ist. (Es ist möglich, daß mehrere verschiedene SixNy- Formen während eines einzigen Prozesses gebildet werden. Zum Beispiel kann eine Si2Ny-Form zusammen mit einer Si3Ny-Form gebildet werden.) Die SixNy-Form, bei der es sich vorzugsweise um die Si3N4-Form handelt, ist der Plasmavorläufer für Nitridschichten, die durch chemische Dampfabscheidung und insbesondere die plasmaverstärkte chemische Dampfabscheidung gebildet werden. Als Vorläufer hat die SixNy-Form eine Affinität für die Nitridschicht, wodurch sie an Teilen der Nitridschicht, die geätzt wurden, "festklebt".
Mit dem Vorhandensein von SixNy im Ätzplasma tritt der umgekehrte Prozeß der Nitridätzung ein: Teile der geätzten Nitridschicht werden durch Nitridablagerungen ersetzt. Der Nitridablagerungsprozeß liegt im wesentlichen in einem Gleichgewicht mit dem Nitridschicht-Ätzprozeß, wodurch sich eine hohe Selektivität der Oxidschicht gegenüber der Nitridschicht ergibt. Das Ergebnis ist eine Verringerung der Ungleichheit gegenüber bisherigen Prozessen.
In dieser Patentschrift bezeichnet der Begriff "Oxidschicht" diejenigen Oxidschichten, die üblicherweise in der Halbleiterherstellung verwendet werden. Dies sind beispielsweise Siliziumdioxid, Silanoxid oder Tetraethylorthosilikat (TEOS)-Oxid. Entsprechend bezeichnet der Begriff "Nitridschicht" solche Nitridschichten, die üblicherweise in der Halbleiterherstellung verwendet werden, beispielsweise Siliziumnitrid. Das Prinzip der vorliegenden Erfindung eignet sich auch für dünne Nitridschichten mit einer Dicke von weniger als etwa 20 nm.
In der vorliegenden Erfindung handelt es sich bei den verwendeten Fluorkohlenstoff-Ätzgasen vorzugsweise um CF4, C2F6 oder Mischungen dieser Verbindungen. Das stickstoffhaltige Gas ist vorzugsweise NH3, N2 oder eine Mischung dieser Verbindungen. Der Prozeß der vorliegenden Erfindung läßt sich in üblichen Prozeßkammern durchführen, beispielsweise Diodenkammern oder hochdichten Plasmakammern. Die Ätzkammer wird von einer HF-Energiequelle versorgt, die sich über die Elektroden der Kammer mit dem Plasma kapazitiv koppeln läßt. Die HF-Energiequelle kann auch induktiv durch eine Kammerwand mit dem Plasma gekoppelt werden.
Das folgende Beispiel wird angeführt, um einen leicht verständlichen Gesamtüberblick über das Prinzip der vorliegenden Erfindung zu geben. Es schränkt andere Anwendungsmöglichkeiten in keinster Weise ein.
Beispiel
Ein Silizium-Wafer mit einer Siliziumdioxidschicht, die etwa 1000 Angström dick ist, befindet sich auf einer Siliziumnitridschicht, die etwa 20 nm dick ist, wobei die Siliziumnitridschicht durch eine plasmaverstärkte chemische Dampfabscheidung gebildet wurde, wurde in einer HF- Ätzkammer geätzt. Das Ätzgas setzte sich aus CF4 und N2 zusammen und hatte einen Druck von 213 Pa. Die elektrische Energie betrug 800 W. Eine Vorspannung von -550 V, die mit einer HF-Energiequelle von 13,56 MHz erreicht wurde, wurde angelegt. Die Selektivität der Oxidschicht auf der Nitridschicht betrug 3 : 1.
Zwar wird das Prinzip der vorliegenden Erfindung anhand spezifischer Ausführungsbeispiele veranschaulicht und beschrieben, doch ist es nicht auf diese dargestellten Einzelheiten beschränkt. Innerhalb des Anwendungsbereichs sind vielfältige und weitreichende Abwandlungen möglich, ohne vom Grundprinzip der vorliegenden Erfindung abzuweichen.

Claims (10)

1. Ein Verfahren zum Ätzen einer Oxidschicht, die sich auf einer Nitridschicht im oberen Bereich eines Substrats befindet, das eine hohe Ätzselektivität für die Oxidschicht aufweist, wobei dieses Verfahren folgendes umfasst:
Bereitstellung eines Plasmas, das von einem kohlenstoff- und fluorhaltigen Gas und von einem stickstoffhaltigen Gas abgeleitet wurde; und
Ätzen des Substrats im Plasma, welches mit dem Substrat in Verbindung ist,
wobei die Atzung SiF4 erzeugt, und das Plasma SixNy-Formen enthält, die aus einer Reaktion von SiF4 und Stickstoffformen abgeleitet wurden, und wobei eine Ablagerung der SixNy-Formen auf der Nitridschicht im wesentlichen im gleichen Maße wie die Ätzung der Nitridschicht stattfindet.
2. Das Verfahren gemäß Anspruch 1, wobei als Substrat Silizium verwendet wird.
3. Das Verfahren gemäß Anspruch 1, wobei die Oxidschicht aus der Gruppe ausgewählt wird, die sich aus Siliziumdioxid, Tetraethylorthosilikatoxid und Silanoxid zusammensetzt.
4. Das Verfahren gemäß Anspruch 1, wobei als Nitridschicht Siliziumnitrid verwendet wird.
5. Das Verfahren gemäß Anspruch 1, wobei das kohlenstoff- und fluorhaltige Gas aus einer Gruppe ausgewählt wird, die sich aus CF4, C2F6 oder einer Mischung dieser Verbindungen zusammensetzt.
6. Das Verfahren gemäß Anspruch 1, wobei das stickstoffhaltige Gas aus einer Gruppe ausgewählt wird, die sich aus N2, NH3 und Mischungen dieser Verbindungen zuammensetzt.
7. Das Verfahren gemäß Anspruch 1, wobei eine Nitridschicht verwendet wird, deren Dicke unter 20 nm liegt.
8. Das Verfahren gemäß Anspruch 1, wobei:
der Ätzvorgang in einer Ätzkammer stattfindet, die mit Elektroden ausgestattet ist; und
das Verfahren außerdem einen Schritt umfasst, bei dem HF- Energie über die Elektroden kapazitativ in das Plasma eingekoppelt wird.
9. Das Verfahren gemäß Anspruch 1, wobei:
der Ätzvorgang in einer Ätzkammer stattfindet, die mit einer Kammerwand ausgestattet ist; und
das Verfahren außerdem einen Schritt umfasst, bei dem HF- Energie durch die Wand induktiv in das Plasma eingekoppelt wird.
10. Das Verfahren gemäß Anspruch 1, wobei die Nitridschicht auf dem Substrat durch chemische Dampfabscheidung gebildet wird.
DE10016938A 1999-05-05 2000-04-05 Selektives Trockenätzen eines dielektrischen Films Expired - Fee Related DE10016938C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/305,432 US6294102B1 (en) 1999-05-05 1999-05-05 Selective dry etch of a dielectric film

Publications (2)

Publication Number Publication Date
DE10016938A1 DE10016938A1 (de) 2000-11-16
DE10016938C2 true DE10016938C2 (de) 2003-04-24

Family

ID=23180756

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10016938A Expired - Fee Related DE10016938C2 (de) 1999-05-05 2000-04-05 Selektives Trockenätzen eines dielektrischen Films

Country Status (6)

Country Link
US (1) US6294102B1 (de)
JP (1) JP3649650B2 (de)
KR (1) KR100358459B1 (de)
DE (1) DE10016938C2 (de)
SG (1) SG83790A1 (de)
TW (1) TW554439B (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19928297A1 (de) * 1999-06-22 2000-12-28 Bosch Gmbh Robert Verfahren zur Herstellung eines Sensors mit einer Membran
JP3586605B2 (ja) * 1999-12-21 2004-11-10 Necエレクトロニクス株式会社 シリコン窒化膜のエッチング方法及び半導体装置の製造方法
KR100382720B1 (ko) * 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
JP2002319551A (ja) 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
KR100398574B1 (ko) * 2001-06-28 2003-09-19 주식회사 하이닉스반도체 반도체 소자의 게이트 스페이서 형성방법
DE10245671B4 (de) * 2002-09-30 2004-08-26 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur durch selektives isotropes Ätzen einer Siliziumdioxidschicht auf einer Siliziumnitridschicht
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
KR101042951B1 (ko) * 2009-09-21 2011-06-20 현대로템 주식회사 철도차량의 후미차량 전조등 제어회로
US20130143392A1 (en) * 2011-12-06 2013-06-06 Epowersoft, Inc. In-situ sin growth to enable schottky contact for gan devices
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572765A (en) * 1983-05-02 1986-02-25 Fairchild Camera & Instrument Corporation Method of fabricating integrated circuit structures using replica patterning
US4717447A (en) * 1982-11-16 1988-01-05 U.S. Philips Corporation Method of manufacturing a semiconductor device by means of plasma etching
EP0553490A1 (de) * 1992-01-29 1993-08-04 Sky Disc Holding SA Fluggerät
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
DE4232475C2 (de) * 1992-09-28 1998-07-02 Siemens Ag Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
JPH09296271A (ja) * 1996-05-02 1997-11-18 Samuko Internatl Kenkyusho:Kk プラズマcvd反応室清掃方法及びプラズマエッチング方法
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
TW351837B (en) * 1997-10-29 1999-02-01 United Semiconductor Corp Method for improving etching process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4717447A (en) * 1982-11-16 1988-01-05 U.S. Philips Corporation Method of manufacturing a semiconductor device by means of plasma etching
US4572765A (en) * 1983-05-02 1986-02-25 Fairchild Camera & Instrument Corporation Method of fabricating integrated circuit structures using replica patterning
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
EP0553490A1 (de) * 1992-01-29 1993-08-04 Sky Disc Holding SA Fluggerät
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
DE4232475C2 (de) * 1992-09-28 1998-07-02 Siemens Ag Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium

Also Published As

Publication number Publication date
KR100358459B1 (ko) 2002-10-25
DE10016938A1 (de) 2000-11-16
TW554439B (en) 2003-09-21
JP2001023957A (ja) 2001-01-26
US6294102B1 (en) 2001-09-25
KR20010020758A (ko) 2001-03-15
JP3649650B2 (ja) 2005-05-18
SG83790A1 (en) 2001-10-16

Similar Documents

Publication Publication Date Title
EP1620581B1 (de) Plasmabehandlung zur reinigung von kupfer oder nickel
DE19706682C2 (de) Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE10224935A1 (de) Verfahren zum Ätzen von Öffnungen mit hohem Seitenverhältnis
DE4241045C1 (de) Verfahren zum anisotropen Ätzen von Silicium
DE3420347C2 (de)
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69934986T2 (de) Verfahren für anisotropes ätzen
DE10016938C2 (de) Selektives Trockenätzen eines dielektrischen Films
DE10127622B4 (de) Verfahren zur Herstellung eines mit HDPCVD-Oxid gefüllten Isolationsgrabens
DE2340442A1 (de) Verfahren zur herstellung von halbleiterelementen
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
DE19844102C2 (de) Herstellverfahren für eine Halbleiterstruktur
DE4420962C2 (de) Verfahren zur Bearbeitung von Silizium
EP0089382B1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten
DE3925070A1 (de) Verfahren zum erhalt einer sauberen siliziumoberflaeche
WO2003100843A2 (de) Ätzgas und verfahren zum trockenätzen
DE4202447A1 (de) Verfahren zum aetzen von nuten in einem silizium-substrat
DE102009028256B4 (de) Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
DE3030814C2 (de) Verfahren zum Plasmaätzen eines Werkstücks
DE3219284C2 (de)
DE2449731A1 (de) Aetzverfahren
DE2224468A1 (de) Verfahren zum aetzen von vorzugsweise glas- bzw. siliciumdioxydschichten
DE19504434C1 (de) Verfahren zur Herstellung siliziumhaltiger Masken
DE10115492B4 (de) Verfahren zur Aufbereitung einer Reaktionskammer

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8304 Grant after examination procedure
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee