CN103650117A - 清洗方法和处理装置以及存储介质 - Google Patents

清洗方法和处理装置以及存储介质 Download PDF

Info

Publication number
CN103650117A
CN103650117A CN201280033416.2A CN201280033416A CN103650117A CN 103650117 A CN103650117 A CN 103650117A CN 201280033416 A CN201280033416 A CN 201280033416A CN 103650117 A CN103650117 A CN 103650117A
Authority
CN
China
Prior art keywords
mentioned
gas
attachment
wafer
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280033416.2A
Other languages
English (en)
Other versions
CN103650117B (zh
Inventor
井内健介
土桥和也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103650117A publication Critical patent/CN103650117A/zh
Application granted granted Critical
Publication of CN103650117B publication Critical patent/CN103650117B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在抑制在被处理体的表面上形成的图案的塌陷或被处理体的表面的膜粗糙化等对被处理体造成的损伤的同时易于去除附着于被处理体的表面的微粒等附着物。作为前处理,向晶圆(W)供给氟化氢的蒸气而使晶圆(W)的表面的自然氧化膜(11)溶解,从而使附着于上述自然氧化膜(11)的表面的附着物(10)成为自表面浮起的状态。之后,自压力比晶圆(W)所处的气氛的压力高的区域供给与基底膜(12)之间不具有反应性的二氧化碳气体,该气体通过绝热膨胀而被冷却到冷凝温度以下而产生气体团簇。然后,通过将该气体团簇以非离子化的状态向晶圆(W)照射,去除附着物(10)。

Description

清洗方法和处理装置以及存储介质
技术领域
本发明涉及用于将附着于被处理体的表面上的微粒等附着物去除的清洗方法和处理装置以及存储有上述方法的存储介质。
背景技术
作为用于将附着于半导体晶圆等作为被处理体的基板(以下称作“晶圆”)的表面上的微粒、污垢等附着物去除的技术,公知有例如专利文献1、2所记载的方法。在上述专利文献1、2中,向晶圆的表面照射气体团簇离子束(日文:ガスクラスターイオンビーム)。在这样的技术中,为了克服附着物附着于晶圆的附着力,例如,在使用气体团簇离子束时,通过加速电压、离子化的量来调整气体团簇离子束的物理性剪切力。
但是,随着形成在晶圆上的器件构造的精细化,上述器件构造变得容易因气体团簇离子束而受到损伤。即,例如,若对由形成在晶圆上的槽和线构成的图案照射气体团簇离子束,则在上述线的宽度尺寸为例如几十nm数量级时,存在该线因气体团簇离子束的照射而塌陷的风险。另外,即使在没有形成图案的情况下,在照射气体团簇离子束之后,晶圆的表面形状也会变差。
在专利文献3中,记载有在使用药液去除基板9上的自然氧化膜之后喷出被施加了超声波振动的空气的技术,另外,在专利文献4中记载有向基板的表面照射脉冲激光的技术。但是,在上述专利文献3、4中,均没有涉及精细的器件构造中的微粒的去除、晶圆受到的损伤。
现有技术文献
专利文献
专利文献1:日本特开2009-43975
专利文献2:日本特开2008-304737
专利文献3:日本特开2006-278387
专利文献4:日本特开2009-224721
发明内容
本发明是考虑这样的情况而做成的,其目的在于提供能够在抑制对被处理体造成的损伤的同时易于将附着于被处理体的表面的微粒等附着物去除的清洗方法和处理装置以及存储有上述方法的存储介质。
本发明的清洗方法自附着有附着物的被处理体的表面去除附着物,其特征在于,该清洗方法包括以下工序:对被处理体的表面和附着物中的至少一者进行包括蚀刻处理的前处理;自压力比被处理体所处的处理气氛的压力高的区域向处理气氛喷射与暴露于上述被处理体的表面的膜之间不具有反应性的清洗用气体,通过绝热膨胀生成上述清洗用气体的作为原子的聚集体的气体团簇或上述清洗用气体的作为分子的聚集体的气体团簇;以及向进行了上述前处理后的被处理体的表面照射清洗用气体的气体团簇而去除附着物。
上述前处理也可以包括对被处理体的表面和附着物中的至少一者进行的改性处理和对通过上述改性处理而被改性了的改性层进行的蚀刻处理。
也可以同时进行上述前处理的工序和去除上述附着物的工序。
上述前处理也可以包括为了进行上述蚀刻处理而照射气体团簇的工序。
在为了进行上述蚀刻处理而照射气体团簇的工序中,既可以使用与在照射上述清洗用气体的气体团簇而去除附着物的工序中用于照射气体团簇的生成机构相同的生成机构,也可以使用不同的生成机构。
照射上述清洗用气体的气体团簇而去除附着物的工序和为了进行上述蚀刻处理而照射气体团簇的工序也可以为配置多个用于照射气体团簇的生成机构并自上述生成机构照射气体团簇的工序。
照射上述清洗用气体的气体团簇而去除附着物的工序和为了进行上述蚀刻处理而照射气体团簇的工序也可以在用于照射气体团簇的生成机构相对于被处理体的角度可变的状态下进行。
本发明的处理装置是用于自附着有附着物的被处理体的表面去除附着物的被处理体的处理装置,其特征在于,该处理装置包括:前处理室,其用于在内部载置被处理体;前处理模块,其具有前处理机构,该前处理机构用于对载置于上述前处理室内的被处理体的表面或附着物中的至少一者进行包括蚀刻处理在内的前处理;清洗处理室,其用于在内部载置被处理体;气体团簇喷嘴,其设于上述清洗处理室,用于自压力比上述清洗处理室的内部的处理气氛的压力高的区域向处理气氛喷射与暴露于上述被处理体的表面上的膜之间不具有反应性的清洗用气体,通过绝热膨胀生成上述清洗用气体的作为原子的聚集体的气体团簇或上述清洗用气体的作为分子的聚集体的气体团簇,并向前处理后的被处理体供给上述气体团簇,以便去除上述附着物;以及输送机构,其用于相对于上述前处理室及上述清洗处理室进行被处理体的交接。
也可以是,上述前处理室是内部被保持为常压气氛的常压处理室,其与在常压气氛下输送被处理体的常压输送室相连接,上述清洗处理室是内部被保持为真空气氛的真空处理室,其与在真空气氛下输送被处理体的真空输送室气密地连接,在上述常压输送室与上述真空输送室之间设有用于切换内部的气氛的加载互锁室,在上述常压输送室中设有作为上述输送机构的常压输送机构,在上述真空输送室中设有作为上述输送机构的真空输送机构。
也可以是,上述前处理室和上述清洗处理室均为内部被分别保持为真空气氛的真空处理室,在上述前处理室与上述清洗处理室之间以气密地介于上述前处理室与上述清洗处理室之间的方式设有真空输送室,在该真空输送室中配置有上述输送机构。
上述前处理室和上述清洗处理室也可以被共用化。
上述真空输送室也可以与用于在上述前处理之前进行真空处理或在去除附着物之后接着进行真空处理的真空处理室气密地连接。
本发明的存储介质应用于用于进行被处理体的清洗的处理装置且存储有在计算机上运行的计算机程序,其特征在于,上述计算机程序为了执行上述清洗方法而编入有步骤。
本发明对被处理体的表面和附着物中的至少一者进行包括蚀刻处理在内的前处理,使附着物易于脱离被处理体的表面,接着,使用与暴露于被处理体的表面的膜之间不具有反应性的清洗用气体生成气体团簇。因而,即使以清洗用气体的气体团簇没有离子化的状态照射清洗用气体的气体团簇,也易于使附着物脱离被处理体而将该附着物去除,因此,具有能够在抑制对被处理体造成的损伤的同时易于去除附着物的效果。
附图说明
图1是表示应用本发明的第1实施方式中的清洗方法的被处理体的概要的示意图。
图2是表示上述被处理体的概要的示意图。
图3是表示上述清洗方法的作用的示意图。
图4是表示上述清洗方法的作用的示意图。
图5是表示上述清洗方法的作用的示意图。
图6是表示用于对上述被处理体进行前处理的装置的纵剖视图。
图7是表示为了实施上述清洗方法而向被处理体照射气体团簇的装置的纵剖视图。
图8是表示用于实施上述清洗方法的被处理体处理装置的横剖俯视图。
图9是表示本发明的第1实施方式的变形例中的清洗方法的作用的示意图。
图10是表示用于上述变形例的前处理的装置的纵剖视图。
图11是表示上述变形例中的处理装置的横剖俯视图。
图12是表示用于上述第3实施方式的前处理的装置的纵剖视图。
图13是表示应用本发明的第2实施方式中的清洗方法的被处理体的概要的示意图。
图14是表示上述第2实施方式中的清洗方法的作用的示意图。
图15是表示上述第2实施方式中的清洗方法的作用的示意图。
图16是表示上述第2实施方式中的清洗方法的作用的示意图。
图17是表示用于上述第2实施方式中的气体团簇的照射和前处理的装置的纵剖视图。
图18是表示应用本发明的第3实施方式中的清洗方法的被处理体的概要的示意图。
图19是表示上述第3实施方式中的清洗方法的作用的示意图。
图20是表示上述第3实施方式中的清洗方法的作用的示意图。
图21是表示用于上述第3实施方式的前处理的装置的纵剖视图。
图22是表示应用本发明的第4实施方式中的清洗方法的被处理体的概要的示意图。
图23是表示上述第4实施方式中的清洗方法的作用的示意图。
图24是表示应用本发明的第5实施方式中的清洗方法的被处理体的概要的示意图。
图25是表示上述第5实施方式中的清洗方法的作用的示意图。
图26是表示上述第5实施方式中的清洗方法的作用的示意图。
图27是表示在本发明的实施例中获得的实验结果的SEM照片。
图28是表示在本发明的实施例中获得的实验结果的SEM照片。
具体实施方式
第1实施方式:硅基板
参照图1~图5说明本发明的清洗方法的第1实施方式。首先,说明应用该清洗方法的晶圆W的结构和该清洗方法的概要。如图1所示,该晶圆W由硅(Si)构成,并在表面上形成有由例如作为凹部的槽5和作为凸部的线6构成的图案7。并且,如后所述,在该清洗方法中,能够在抑制在晶圆W上产生的上述线6的塌陷、晶圆W的表面的膜粗糙化(日文:膜荒れ)这样的损伤的同时易于去除图2所示那样的晶圆W表面的附着物10。
接着,详细叙述附着物10,该附着物10是由于例如在晶圆W上形成上述图案7时的等离子体蚀刻处理、或在该等离子体蚀刻处理之后接着进行的等离子体灰化处理而生成的残渣物。具体而言,附着物10由无机物和有机物等构成,该无机物含有自上述槽5的内部去除了的硅,该有机物是由层叠于晶圆W的上层的有机物构成的、作为光致抗蚀剂掩模的残渣的含碳(C)的有机物。此时,例如,由于在保管中晶圆W暴露在大气中而附着物10不是单纯搭乘于晶圆W的表面的状态,当微观地观察时,如图2所示,附着物10被形成于晶圆W表面的自然氧化膜包围,从而较强地粘附在晶圆W的表面上。即,在晶圆W的表面上形成有包围附着物10那样的例如自然氧化膜,由此,附着物10成为埋入于自然氧化膜的状态。即,附着物10借助形成于晶圆W的表面的架桥(日文:架橋)而成为被保持在该晶圆W上的状态。
此时,晶圆W的表面在例如在大气中输送该晶圆W时发生氧化而成为由硅氧化物(SiO2)构成的自然氧化膜11。自然氧化膜11的厚度尺寸为例如1nm左右。在以下的说明中,将由该自然氧化膜11的下方侧的硅构成的区域称作基底膜12。另外,还存在晶圆W的表面和附着物10通过例如化学键合而互相连结起来的情况,但是,在此,为了简化说明,如上所述,作为附着物10由形成于上述晶圆W与附着物10之间的架桥保持的情况。另外,在图1中,示意地表示了晶圆W和附着物10的各自的表面形状和尺寸。之后的图也同样示意地表示晶圆W和附着物10的各自的表面形状和尺寸。
接着,详细叙述本发明的清洗方法。首先,如图3所示,作为前处理,向晶圆W供给氟化氢(HF)水溶液的蒸气。在该氟化氢的蒸气的作用下,上述自然氧化膜11溶解而成为氟化硅,并被作为气体排出。此时,形成于晶圆W与附着物10之间的架桥也被蚀刻,如图4所示,从附着物10看时,晶圆W的表面向下方侧后退,从而附着物10成为自晶圆W的表面暴露的状态。
因而,通过前处理使埋入于晶圆W的表面的自然氧化膜且较强地吸附于该晶圆W的附着物10与晶圆W之间的附着力变弱。即,附着物10因对晶圆W的表面进行的蚀刻而暴露,从而成为仅与晶圆W表面略微接触的状态。此时,如后所述,在附着物10中含有硅氧化物的情况下,该附着物10也被氟化氢的蒸气蚀刻,但是,此处,着眼于晶圆W的表面进行说明。另外,在图4中,以晶圆W(基底膜12)的上表面与附着物10的下表面分开的方式进行了描绘,但实际上,上述基底膜12和附着物10略微接触。另外,对于用于向晶圆W供给氟化氢的蒸气的装置,由于该装置是通过将公知的气化器和处理容器组合而构成的,因此,在后面,与用于实施清洗方法的处理装置一并叙述。
接着,使用气体团簇,自晶圆W的表面去除附着物10。该气体团簇是如下的物质:自压力比晶圆W所处的处理气氛的压力高的区域向处理气氛供给气体,该气体通过绝热膨胀而冷却到气体的冷凝温度,从而气体的原子或分子聚集成聚集体而生成的物质。在图5中,示出了用于产生气体团簇的喷嘴23的一个例子。该喷嘴23包括:压力室32,其以沿上下方向延伸且下端部开口的方式形成为大致圆筒形状;以及气体扩散部33,其与该压力室32的下端部相连接。该气体扩散部33以如下方式形成:自压力室32的下端周缘部朝向该压力室32的中央部在整个周向上水平地缩径而构成节流部32a,并随着自该节流部32a朝向下方去而扩径。上述节流部32a的开口口径例如为0.1mm左右,节流部32a与载置台22上的晶圆W之间的分开距离例如为6.5mm左右。该喷嘴23的上端侧与用于向压力室32内供给例如二氧化碳(CO2)气体的气体供给路径34相连接。
而且,处理气氛中的处理压力被设定为例如1Pa~100Pa左右的真空气氛,并且,以例如0.3MPa~2.0MPa左右的压力对喷嘴23供给二氧化碳气体。该二氧化碳气体在被供给到处理气氛中之后,由于急剧的绝热膨胀而冷却到冷凝温度以下,因此,分子彼此通过范德华力结合,从而成为作为聚集体的气体团簇。此时,在气体供给路径34、喷嘴23的下方侧的气体团簇的流路上没有设置用于使该气体团簇离子化的离子化装置,因此,如图5所示,气体团簇被以非离子化的状态朝向晶圆W垂直地照射。
如上所述,通过前处理使晶圆W的表面的附着物10与该晶圆W之间的附着力变得非常弱,从而成为附着物10与基底膜12的表面略微接触的状态。因此,如图5所示,当气体团簇与晶圆W上的附着物10碰撞时,利用该气体团簇的喷射压力将附着物10自晶圆W的表面吹走而去除附着物10。此时,气体团簇由与基底膜12之间不具有反应性的二氧化碳气体构成。另外,气体团簇没有被离子化,而是被以非离子化的状态照射到晶圆W上。因此,通过上述前处理而暴露的晶圆W的作为表面部的基底膜12因气体团簇的照射而被削掉的情况得到抑制,另外,不存在形成在该基底膜12的内部的电布线被充电(charge-up)的风险。因而,不会对上述电布线造成损伤,或者能够将该损伤抑制在极低的水平。因此,气体团簇照射后的晶圆W的表面成为与自然氧化膜11的表面相似的状态。
当以这样对晶圆W的整个面内照射气体团簇的方式使晶圆W相对于喷嘴23相对地沿水平方向移动时,在整个面内去除附着物10而进行清洗处理。另外,在自然氧化膜11因上述的氟化氢的蒸气而溶解而产生作为副生成物的水的情况下,通过利用后述的调温机构加热晶圆W,能够抑制水的残留。
接着,以下说明包括用于对晶圆W供给上述氟化氢水溶液的蒸气的装置、用于照射气体团簇的装置的处理装置。首先,参照图6说明用于向晶圆W供给氟化氢的蒸气的装置。在该装置中设有处理容器42和作为前处理机构的气化器43而构成前处理模块,该处理容器42的内部设有用于载置晶圆W的载置台41,该气化器43用于向该处理容器42内供给氟化氢水溶液的蒸气。在图6中,附图标记44是晶圆W的输送口,附图标记45是用于抑制氟化氢的蒸气在载置台41上的晶圆W的表面上发生冷凝的加热器。
在处理容器42的顶面,以与载置台41上的晶圆W对置的方式连接有自气化器43延伸的气体供给路径46的一端侧。氟化氢的蒸气自气体供给路径46连同例如氮气(N2)等载气一起被供给到晶圆W。在图6中,附图标记V是阀,附图标记M是流量调整部。
在处理容器42的底面的例如多处形成有用于将该处理容器42内的气氛气体排出的排气口51,自该排气口51延伸的排气路径52经由蝶形阀等压力调整部53与真空泵54相连接。
并且,在该处理容器42中,当利用载气将在气化器43中蒸发了的氟化氢水溶液的蒸气供给到载置台41上的晶圆W时,如上所述,自然氧化膜11发生溶解。
接下来,参照图7说明用于对晶圆W照射气体团簇的装置。如图7所示,该装置设有清洗处理室21,该清洗处理室21用于将晶圆W收纳在内部而进行附着物10的去除处理,在该清洗处理室21内配置有用于载置晶圆W的载置台22。在清洗处理室21的顶面的中央部形成有朝向上方侧呈圆筒状突出的突出部21a,在该突出部21a中设有作为气体团簇的生成机构的上述喷嘴23。该喷嘴23在该例子中面向铅垂方向下方侧。在图7中,附图标记40是输送口,附图标记G是用于进行该输送口40的开闭的闸阀。
在清洗处理室21的底面中的位于例如偏向输送口40的位置处,设有以贯穿被形成于载置台22的贯通口的方式配置的支承销,在此,省略对支承销的图示。并且,通过设于载置台22的未图示的升降机构和上述支承销的配合作用,使晶圆W相对于载置台22升降,从而能够与清洗处理室21的外部的未图示的晶圆输送臂之间交接晶圆W。清洗处理室21的底面与用于对该清洗处理室21内的气氛气体进行真空排气的排气路径24的一端侧相连接,该排气路径24的另一端侧经由蝶形阀等压力调整部25与真空泵26相连接。
载置台22构成为在清洗处理室21内沿水平方向移动自如,使得喷嘴23能够相对于该载置台22上的晶圆W在整个面内相对地进行扫描。具体而言,在清洗处理室21的底面中的位于载置台22的下方的部分上设有沿着X轴方向水平地延伸的X轴导轨27和以沿着该X轴导轨27移动自如的方式构成的Y轴导轨29。并且,上述载置台22支承于Y轴导轨29的上方。另外,在载置台22上设有用于调整该载置台22上的晶圆W的温度的未图示的调温机构。
压力室32的上端部与以贯穿清洗处理室21的顶面的方式延伸的气体供给路径34的一端侧相连接,该气体供给路径34的另一端侧经由阀36及流量调整部35与贮存有二氧化碳的气体源37相连接。在上述压力室32上设有未图示的压力计,后述的控制部67借助该压力计来调整向该压力室32内供给的气体流量。另外,也可以利用未图示的驱动部来调整喷嘴23相对于载置台22的角度、距离。在这样调整了喷嘴23的角度、距离的情况下,能够防止自晶圆W去除了的附着物10再次附着于该晶圆W,或者能够谋求降低对图案7造成的损伤,而且附着于槽5的底面的附着物10变得易于去除。如后所述,在前处理中,在照射气体团簇的情况下,也可以同样地调整喷嘴23的角度、距离。
接着,参照图8说明具有处理容器42和清洗处理室21的处理装置的整个结构。在该处理装置中,例如在3处横向排列地设有用于对收纳有例如25张晶圆W的作为密闭型的输送容器的FOUP1进行载置的输入输出部(日文:ポート)60,并以沿着上述输入输出部60的排列的方式设有大气输送室61。在该大气输送室61内设有作为常压输送机构的晶圆输送机构61a,该晶圆输送机构61a由用于输送晶圆W的多关节臂构成。另外,在大气输送室61的侧方侧设有用于进行晶圆W的朝向的调整和对位的对准室62,在该大气输送室61的侧方侧,以与上述对准室62对置的方式连接有上述处理容器42。另外,大气输送室61的与输入输出部60相反的那一侧的面气密地连接于加载互锁室63,该加载互锁室63用于在常压气氛与大气气氛之间切换气氛。在该例子中,加载互锁室63以横向排列的方式设置在两处。
从大气输送室61看时,在比加载互锁室63、63靠里的进深侧的位置气密地连接有真空输送室64,该真空输送室64设有在真空气氛下输送晶圆W的作为真空输送机构的输送臂64a。在真空输送室64中气密地设有上述清洗处理室21。另外,真空输送室64分别与蚀刻处理室65及灰化处理室66气密地连接,该蚀刻处理室65用于进行用于在晶圆W上形成图案7的等离子体蚀刻处理,该灰化处理室66用于进行光致抗蚀剂掩模的等离子体灰化处理。另外,也可以使该真空输送室64与用于进行去除附着物10之后的处理、即例如CVD(Chemical Vapor Deposition:化学气相沉积)处理等的处理腔室气密地连接。
在该处理装置中设有用于进行整个装置的动作控制的由计算机构成的控制部67。在控制部67的存储器内,除了存储有用于进行以上说明的前处理和清洗处理的程序之外,还存储有用于进行蚀刻处理和灰化处理的程序。该程序为了执行与对晶圆W进行的处理相对应的装置的动作而编入有步骤组。上述程序自硬盘、光盘、光磁盘、存储卡、软盘等作为存储介质的存储部68安装到控制部67内。
在该处理装置中,在将FOUP1载置于输入输部口60之后,利用晶圆输送机构61a将晶圆W自该FOUP1取出。在该晶圆W的表面层叠有例如以与上述图案7相对应的方式图案形成的光致抗蚀剂掩模。接着,在对准室62中进行晶圆W的对准,之后,将该晶圆W输入到被设定为大气气氛的加载互锁室63。然后,将加载互锁室63内的气氛切换为真空气氛,之后,利用输送臂64a将晶圆W以蚀刻处理室65和灰化处理室66的顺序输送至蚀刻处理室65和灰化处理室66,并按照该顺序进行上述的图案7的形成和灰化处理。接着,将晶圆W经由加载互锁室63和大气输送室61输送至处理容器42内而进行上述的前处理,之后,将晶圆W输入到清洗处理室21内而进行气体团簇的照射处理。之后,将处理完成后的晶圆W经由加载互锁室63和大气输送室61返回到原来的FOUP1。
采用上述实施方式,在将附着于晶圆W的表面的附着物10去除时,作为前处理,对晶圆W供给氟化氢的蒸气,从而使晶圆W的表面的自然氧化膜11溶解。因此,附着物10成为与晶圆W的表面仅略微接触的状态,附着物10与该表面之间的附着力变得非常弱。因而,通过对该附着物10照射由二氧化碳气体构成的气体团簇,从而易于去除该附着物10。因此,在去除附着物10时,即使是上述那样形成有微细的图案7的晶圆W,由于能够抑制例如气体团簇的照射速度,因此,也能够抑制产生例如线6的塌陷等这样的损伤。
此时,二氧化碳气体与晶圆W的基底膜12之间不具有反应性。另外,气体团簇不被离子化就照射到晶圆W。因此,在向晶圆W照射气体团簇时,能够抑制产生该晶圆W的表面变得粗糙的损伤或该晶圆W的表面被物理性地削掉的损伤。另外,由于没有使气体团簇离子化,因此,不需要在例如上述清洗处理室21上设置用于使气体或气体团簇离子化的装置,因此,能够抑制装置的成本。
另外,由于晶圆W在处理容器42内暴露于氟化氢的蒸气的气氛中,因此,通过前处理,使附着物10相对于晶圆W的整个表面的附着力一次性地降低。因此,与例如以往的仅使用的反应性气体的气体团簇来去除附着物10的例子相比,能够在短时间内在整个面内均匀地进行处理,因此能够提高生产率。并且,通过将前处理和气体团簇的照射组合,与仅使用气体、气体团簇或药液来去除附着物10的情况相比,能够抑制气体、药液的使用量。此时,在前处理和气体团簇的照射中的任一工序中,均没有对晶圆W供给药液,因此能够抑制废液处理所需要的成本。
通过进行上述前处理,使晶圆W的表面自不具有导电性的自然氧化膜11成为具有导电性的基底膜12,也就是说该表面变得具有导电性。因此,即使在附着物10和自然氧化膜11之间除了具有上述物理性的粘着力之外还由于例如静电力而互相吸附在一起的情况下,由于该静电力通过前处理而消失或减弱,因此,也易于将附着物10自晶圆W去除。另外,即使在自然氧化膜11和附着物10互相化学键合的情况下,由于对该键合的自然氧化膜11进行了蚀刻,所以能够如上所述那样易于去除附着物10。
第1实施方式的变形例:硅基板的氧化
接着,参照图9说明第1实施方式的变形例。在上述第1实施方式中,对去除晶圆W的表面的自然氧化膜11的情况进行了说明,但由于难以对自然氧化膜11进行膜厚等的控制,因此,在需要清洗过程中的控制性、再现性的情况下,以如下方式进行前处理。
在需要清洗过程中的控制性、再现性的情况下,首先,进行该基底膜12的表层的氧化处理。具体而言,如图9所示,对晶圆W的表面供给作为氧化气体的例如臭氧气体。利用该臭氧气体使与附着物10相接触的基底膜12的表层略微被氧化例如仅1nm而生成作为改性层的氧化膜13。之后,按照上述的氟化氢的蒸气的供给和由二氧化碳气体构成的气体团簇的照射的顺序进行上述的氟化氢的蒸气的供给和由二氧化碳气体构成的气体团簇的照射,由此,将附着物10连同上述氧化膜13一起在整个面内去除。在该例子中,前处理是通过利用臭氧气体进行的基底膜12的氧化处理和氟化氢的蒸气的供给来进行的。作为用于对晶圆W供给臭氧气体的装置,能够替代上述的图6中的气化器43而使用具有臭氧气体供给源(未图示)的装置。
此处,在进行晶圆W的基底膜12的氧化处理时,向该晶圆W供给了臭氧气体,但也可以替代臭氧气体而供给臭氧水(含有臭氧气体的水溶液)。参照图10简单地说明用于对晶圆W供给臭氧水的前处理模块的一个例子。另外,由于利用臭氧水来氧化基底膜12的情况、之后的氧化膜13的蚀刻处理、气体团簇的照射均与上述例子相同,因此省略说明。
在该装置中设有用于对晶圆W供给臭氧水的处理容器81和构成用于载置晶圆W的载置台的旋转卡盘82。旋转卡盘82构成为支承晶圆W的下表面侧中央部并通过驱动部83绕铅垂轴线旋转自如和升降自如。在该旋转卡盘82的上方设有作为前处理机构的臭氧水喷嘴84,该臭氧水喷嘴84用于对晶圆W喷射臭氧水。在旋转卡盘82的上方侧,以与该旋转卡盘82上的晶圆W对置的方式设有借助未图示的升降机构升降自如的盖体85,该盖体85用于将对该晶圆W进行前处理的气氛密闭。上述臭氧水喷嘴84安装于该盖体85的中央部。在旋转卡盘82的侧方侧形成有以在整个周向上与晶圆W的周缘部面对的方式配置的环状的排气路径86。排气路径86的下表面侧经由蝶形阀等压力调整机构87与真空泵88相连接。在图10中,附图标记81a是晶圆W的输送口,附图标记81b是用于对上述输送口81a进行开闭的闸门。
在该处理容器81中,在自臭氧水喷嘴84对由旋转卡盘82吸附保持且绕铅垂轴线旋转的晶圆W的中央部喷射臭氧水时,该臭氧水在离心力的作用下扩展到晶圆W的周缘部侧,从而在晶圆W的整个面内形成液膜。然后,在上述氧化处理结束后,旋转卡盘82以高速进行旋转而将臭氧水向外缘部甩掉,之后,利用自未图示的冲洗喷嘴喷射的冲洗液来清洗晶圆W的表面。
在以上的第1实施方式和第1实施方式的变形例中,说明了在晶圆W上形成有图案7的例子。但是,即使是未形成有图案7的氧化硅膜、硅膜,也同样地能够通过前处理和由二氧化碳气体构成的气体团簇的照射来容易地去除附着物10。即,由于在利用例如CVD法形成膜时使用的源气体中含有有机物,因此,在该有机物作为附着物10附着于晶圆W的表面的情况下,能够以与以上说明的例子同样地去除该有机物。
另外,在以上的例子中,在大气气氛中进行了前处理,但也可以在真空气氛中进行前处理。在该情况下,既可以将用于进行前处理的处理容器42和用于进行清洗处理的清洗处理室21分别与上述图8所示的真空输送室64单独地连接,也可以将上述处理容器42和清洗处理室21共用化。具体而言,如图11和图12所示,真空输送室64与兼用作处理容器42的清洗处理室21气密地连接,在该清洗处理室21上,除了设有上述喷嘴23之外,还设有贮存有氟化氢气体的气体源47。在该例子中,在清洗处理室21的顶面中的比突出部21a的外缘靠外侧的部分,在多处设有自气体源47延伸的气体供给路径46,上述气体供给路径46的开口端分别以朝向载置台22上的晶圆W的中央部的方式配置。
在该图12所示的装置中,例如,将清洗处理室21内的压力设定为进行前处理的处理压力并对晶圆W进行前处理。接着,将清洗处理室21内的压力设定为低于上述处理压力的低压(高真空),之后,进行上述清洗处理。
第2实施方式:锗膜
接着,参照图13~图16说明本发明的第2实施方式。在该第2实施方式中,如图13所示,在晶圆W的硅层14的上层侧形成有由锗(Ge)膜构成的基底膜12。并且,在该基底膜12的表面附着有附着物10。此时的附着物10含有在通过例如CVD法等形成上述基底膜12时生成的副生成物等。在该第2实施方式中,进行以下的前处理。
具体而言,向基底膜12的表面供给臭氧气体。如图14所示,利用该臭氧气体在基底膜12的表层上使该表层略微氧化而生成作为改性层的氧化锗(Ge-O)膜15。接着,如图15所示,在对该晶圆W照射由例如水蒸气(H2O)构成的气体团簇时,氧化锗膜15溶解于水蒸气而被蚀刻。因此,通过进行利用上述臭氧气体进行的基底膜12的氧化处理和水蒸气的气体团簇的供给而进行前处理,如图16所示,使附着物10成为与晶圆W的表面仅略微接触的状态,附着力变得非常弱。此时,由水蒸气构成的气体团簇与作为基底膜12的锗膜之间不具有反应性。因此,利用由水蒸气构成的气体团簇,能够在抑制了对基底膜12造成损伤的状态下选择性地蚀刻氧化锗膜15。
然后,对该晶圆W照射由二氧化碳气体构成的气体团簇。由于二氧化碳气体的气体团簇与作为基底膜12的锗膜之间不具有反应性,因此,不会对基底膜12造成损伤,从而能够去除附着物10或将溶解于水蒸气后的氧化锗膜15连同附着物10一起去除。
作为该第2实施方式的用于将基底膜12氧化的装置,在上述图6所示的装置中,替代气化器43而使用连接有臭氧气体源的结构。另外,作为用于照射由水蒸气构成的气体团簇的装置,将与上述清洗处理室21相同的结构的前处理室气密地连接于真空输送室64并设有用于将纯水气化的作为气体源37的气化器。在第2实施方式中,用于向晶圆W供给臭氧气体的气体供给路径46和用于照射由水蒸气构成的气体团簇的喷嘴23构成前处理机构。另外,在将基底膜12氧化时,也可以使用上述图10的装置,替代臭氧气体而向晶圆W供给臭氧水。
此时,在使用臭氧气体的气体团簇的情况下,也可以以如下方式构成装置。即,如图17所示,也可以将用于使纯水气化的气化器38和自该气化器38延伸的水蒸气供给路径39连同用于照射由二氧化碳气体构成的气体团簇的气体供给路径34、气体源37一起连接于喷嘴23。因而,在该例子中,用于生成前处理中的气体团簇的生成机构与清洗用气体的气体团簇的生成机构为同一机构。在该情况下,如已经说明的那样,也可以在将基底膜12氧化之后按照由水蒸气构成的气体团簇的供给和由二氧化碳气体构成的气体团簇的供给的顺序进行由水蒸气构成的气体团簇的供给和由二氧化碳气体构成的气体团簇的供给。另外,由后述的实施例可知,也可以同时向晶圆W供给上述气体团簇,以同时进行氧化锗膜15的蚀刻处理和附着物10的去除。另外,在对氧化锗膜15进行蚀刻时,也可以不向晶圆W供给由水蒸气构成的气体团簇,而是向晶圆W供给作为气体的水蒸气或作为液体的纯水。在该情况下,在图6、图10的装置中,能够替代氟化氢水溶液、臭氧水而使用纯水。
第3实施方式:光致抗蚀剂掩模
接下来,参照图18和图19说明本发明的第3实施方式。在该实施方式中,如图18所示,示出了将在用于在晶圆W上形成上述图案7的光致抗蚀剂掩模16上附着的附着物10去除的例子。即,在对光致抗蚀剂掩模16进行曝光处理和显影处理而形成图案之后,通过该图案形成而被自光致抗蚀剂掩模16去除了的有机成分会作为附着物10附着于光致抗蚀剂掩模16的表面。因此,以如下方式去除该附着物10。
具体而言,作为前处理,使用上述图6所示的装置,对晶圆W的表面供给臭氧气体,而不供给氟化氢的蒸气。通过该处理,如图19所示,光致抗蚀剂掩模16的表面略微氧化而被蚀刻,因此,附着物10相对于光致抗蚀剂掩模16的附着力变得非常弱。因此,当对该晶圆W照射由二氧化碳气体构成的气体团簇时,由于该气体团簇与上述表面的下层侧的基底膜12即光致抗蚀剂掩模16之间不具有反应性,因此,能够将改性层18连同附着物10一起去除。
在该例子中,也可以替代臭氧气体而向晶圆供给臭氧水。另外,作为前处理,也可以使用臭氧气体来产生气体团簇,并利用该气体团簇使光致抗蚀剂掩模16的表面氧化。在该情况下,也可以以向晶圆W同时供给臭氧气体的气体团簇和二氧化碳气体的气体团簇的方式同时进行前处理和附着物10的去除。
另外,在去除光致抗蚀剂掩模16上的附着物10的情况下,作为前处理,也可以不供给臭氧气体,而如图20所示那样照射紫外线(UV)。即,通过照射紫外线,使光致抗蚀剂掩模16的表面由于劣化而固化,从而变脆。因此,当对该光致抗蚀剂掩模16照射由二氧化碳气体构成的气体团簇时,同样地,能够将光致抗蚀剂掩模16的表面的固化了的层连同附着物10一起去除。因而,在该例子中,可以说,由二氧化碳气体构成的气体团簇的照射工序兼用作前处理的一部分(光致抗蚀剂掩模16的表面的蚀刻)。或者,作为前处理,也可以同时进行臭氧气体的供给和紫外线(UV)的照射。在该情况下,与上述例子同样地,通过表面的蚀刻而使附着物10的附着力变得非常弱,因此,当对该晶圆W照射由二氧化碳气体构成的气体团簇时,易于去除附着物10。
参照图21简单地说明用于向晶圆W照射紫外线的装置。在该装置中配置有处理容器91和设于该处理容器91内的载置台92。在处理容器91的顶面中的与载置台92对置的位置气密地安装有由例如石英等构成的透明窗93。在透明窗93的上方侧设有作为前处理机构的紫外线灯94,该紫外线灯94用于经由透明窗93对载置台92上的晶圆W照射紫外线。在图21中,附图标记95是气体供给管,附图标记96是贮存有例如氮气的气体源,另外,附图标记97是真空泵,附图标记98是输送口。该处理容器91与例如上述真空输送室64气密地连接。另外,也可以将用于向晶圆W照射紫外线的上述处理容器91和用于向晶圆W供给臭氧气体的上述图6的处理容器42共用化而一边对晶圆W供给臭氧气体一边对晶圆W照射紫外线。
第4实施方式:金属膜
以下,参照图22和图23说明本发明的第4实施方式。在该第4实施方式中,示出了将层叠于晶圆W的硅层14的金属膜17上的附着物10或埋入到上述槽5内的金属膜17上的附着物10去除的例子。在该例子中,金属膜17由例如钨(W)构成。即,由于在利用例如CVD法等形成金属膜17时使用的源气体中如上所述那样含有有机物,因此,如图22所示,有时由该有机物构成的残渣作为附着物10附着于金属膜17的表面。因此,用如下的方式去除该附着物10。
具体而言,如图23所示,作为前处理,使用图6所示的装置来对晶圆W供给氯化氢(HCl)气体。利用该氯化氢气体将金属膜17的表层略微蚀刻而去除。因此,附着物10相对于金属膜17的附着力变得非常弱。因而,当对该晶圆W照射由与作为基底膜12的金属膜17之间不具有反应性的二氧化碳气体构成的气体团簇时,易于去除附着物10。
在该情况下,作为用于前处理的气体,也可以替代氯化氢气体而使用氟化氯(ClF3)气体。另外,作为金属膜17,也可以不是钨膜,而是钛膜。
第5实施方式:附着物的蚀刻
此处,叙述本发明的第5实施方式。在以上的各例子中,作为前处理,说明了对晶圆W的表面进行蚀刻的例子,但在该第5实施方式中,不对晶圆W的表面进行蚀刻,而是将附着物10的表面蚀刻。即,在已知构成附着物10的材质的情况下、或者能够预测附着物10所含有的材质的情况下,在对该材质进行蚀刻后,例如,从晶圆W侧看时,附着物10的下端部会向上方侧后退。因而,在该情况下,也易于使附着物10脱离晶圆W,从而能够同样地利用由二氧化碳气体构成的气体团簇来容易地去除该附着物10。
图24示出了构成附着物10的材质为氧化硅时的例子,该附着物10附着于作为晶圆W的表面的例如金属膜17。在该情况下,如图25所示,通过向晶圆W供给氟化氢的蒸气,对附着物10的表面进行蚀刻,因此,该附着物10成为仅搭乘于晶圆W的表面的状态。因此,之后,通过照射由二氧化碳气体构成的气体团簇,易于去除上述附着物10。
在该第5实施方式中,说明了附着物10为氧化硅的情况,但在附着物10为有机物的情况下,在前处理时,向晶圆W的表面供给(照射)臭氧、紫外线,在附着物10为金属颗粒的情况下,在前处理时,向晶圆W的表面供给氯系气体。另外,在附着物10为硅的情况下,如上述第1实施方式的变形例所说明的那样,也可以在进行附着物10的表面的蚀刻之前将该表面预先氧化。并且,即使附着物10的内部不是一样地由相同的材质构成的,只要附着物10的一部分含有可被蚀刻物质,则通过对该一部分进行蚀刻,就能够同样地使附着物10相对于晶圆W的表面的附着力降低。
另外,如图26所示,在晶圆W的表面和附着物10的表面含有相同材质、在该例子中含有氧化硅的情况下,在对附着物10的表面进行蚀刻的同时还能够对晶圆W的表面进行蚀刻,因此能够进一步降低附着物10的附着力。
对于在清洗处理室21内向晶圆W照射的气体团簇,在上述各例子中使用了二氧化碳气体。但是,作为用于气体团簇的气体,既可以替代二氧化碳气体而使用与晶圆W的基底膜12之间不具有反应性的非反应性气体、例如氩气(Ar)、氮气(N2),或者也可以将上述气体混合使用。此时,由二氧化碳气体构成的气体团簇在气体团簇的尺寸、即气体团簇的动能大于由上述氩气构成的气体团簇的动能、由上述氮气构成的气体团簇的动能。因此,对附着物10的去除效果也变大,因此优选使用该二氧化碳气体来生成气体团簇。
并且,如后述的实施例所示,也可以连同上述非反应性气体一起使用对晶圆W的表面或附着物10的表面具有蚀刻作用的蚀刻气体。即,也可以利用上述非反应性气体和上述蚀刻气体来产生气体团簇,就是说能也可以同时进行前处理(蚀刻处理)和附着物10的去除处理。
另外,在以上的各例子中,在清洗工序或前处理工序中,分别仅设有一个用于对晶圆W照射气体团簇的喷嘴23,但也可以在清洗工序和前处理工序中分别配置多个喷嘴23。在该情况下,各自的喷嘴23以与该晶圆W的外缘构成同心圆状的方式呈环状在例如晶圆W的上方侧配置有多个。另外,由配置成该环状的多个喷嘴23构成的照射部自晶圆W的中心部侧朝向外缘部配置为多圈。另外,在配置多个喷嘴23的情况下,也可以将多个喷嘴23以棋盘格状配置于晶圆W的上方侧。
作为以上说明了处理装置,列举了设有用于进行前处理的装置和用于照射由二氧化碳气体构成的气体团簇的装置的结构。但是,也可以采用以下结构:将上述装置作为单机装置而互相独立地配置,并利用外部的晶圆臂在上述装置之间交接晶圆W。
另外,本发明的保护范围也包括使在去除附着物10时照射的气体团簇离子化、例如以离解的程度较弱的状态使气体团簇离子化的情况。
实施例
以下,说明在针对本发明的实验中获得的结果。在该实验中,对裸硅晶圆吹送由粒径为23nm的氧化硅(二氧化硅)构成的颗粒而强制性地污染该晶圆,之后,在进行以下的实验条件所示的处理时,对上述颗粒的附着状况发生怎样的变化进行了确认。
实验条件
比较例
气体团簇的气体:氩气100%
向气体团簇喷嘴导入的气体压力:0.899MPaG(检测器读数)
实施例
气体团簇的气体:氩气95%+氟化氢5%
向气体团簇喷嘴导入的气体压力:0.85MPaG(检测器读数)
在图27的左侧和右侧分别表示在比较例中在气体团簇的照射前和照射后拍摄的SEM(Scanning Electron Microscope:扫描电子显微镜)照片。在图27中,可知,在照射氩气的气体团簇时,颗粒基本上没有被去除。
另一方面,在图28的左侧和右侧分别表示在实施例中在气体团簇的照射前和照射后的SEM照片,可知,在气体团簇的照射后,去除了大致所有的颗粒。因而,若仅靠氩气的气体团簇,不能够克服颗粒与晶圆之间的附着力,但通过利用氟化氢气体连同氩气一起产生气体团簇,易于去除上述颗粒。
因而,可以说,利用氟化氢的气体团簇如上所述那样蚀刻二氧化硅颗粒的表面而降低了颗粒相对于晶圆的附着力。因此,在实施例中,即使导入压力低于比较例的导入压力,也容易地去除了颗粒。此时,在实施例中,可知,连同氩气一起使用氟化氢气体产生了气体团簇,通过混合上述气体,同时进行前处理和清洗处理,详细地讲,在对二氧化硅颗粒进行蚀刻后迅速地利用氩气的气体团簇来去除二氧化硅颗粒。因此,可知,即使在按照前处理和清洗处理的顺序单独地进行前处理和清洗处理的情况下,也与该实施例同样地易于去除颗粒。
附图标记说明
W、晶圆;7、图案;10、附着物;11、自然氧化膜;12、基底膜;13、氧化膜;14、硅层;15、氧化锗膜;16、光致抗蚀剂掩模;17、金属膜;23、喷嘴。

Claims (16)

1.一种清洗方法,在该清洗方法中,自附着有附着物的被处理体的表面去除附着物,其特征在于,
该清洗方法包括以下工序:
对被处理体的表面和附着物中的至少一者进行包括蚀刻处理的前处理;
自压力比被处理体所处的处理气氛的压力高的区域向处理气氛喷射与暴露于上述被处理体的表面的膜之间不具有反应性的清洗用气体,通过绝热膨胀生成上述清洗用气体的作为原子的聚集体的气体团簇或上述清洗用气体的作为分子的聚集体的气体团簇;以及
向进行了上述前处理后的被处理体的表面照射清洗用气体的气体团簇而去除附着物。
2.根据权利要求1所述的清洗方法,其特征在于,
上述前处理包括对被处理体的表面和附着物中的至少一者进行的改性处理和对通过上述改性处理而被改性了的改性层进行的蚀刻处理。
3.根据权利要求1所述的清洗方法,其特征在于,
同时进行上述前处理的工序和去除上述附着物的工序。
4.根据权利要求1所述的清洗方法,其特征在于,
上述前处理包括为了进行上述蚀刻处理而照射气体团簇的工序。
5.根据权利要求4所述的清洗方法,其特征在于,
为了进行上述蚀刻处理而照射气体团簇的工序是使用与在照射上述清洗用气体的气体团簇而去除附着物的工序中用于照射气体团簇的生成机构相同的生成机构来进行照射的工序。
6.根据权利要求4所述的清洗方法,其特征在于,
为了进行上述蚀刻处理而照射气体团簇的工序是使用与在照射上述清洗用气体的气体团簇而去除附着物的工序中用于照射气体团簇的生成机构不同的生成机构来进行照射的工序。
7.根据权利要求1所述的清洗方法,其特征在于,
照射上述清洗用气体的气体团簇而去除附着物的工序是配置多个用于照射气体团簇的生成机构并自上述生成机构照射气体团簇的工序。
8.根据权利要求1所述的清洗方法,其特征在于,
为了进行上述蚀刻处理而照射气体团簇的工序是配置多个用于照射气体团簇的生成机构并自上述生成机构照射气体团簇的工序。
9.根据权利要求1所述的清洗方法,其特征在于,
照射上述清洗用气体的气体团簇而去除附着物的工序在用于照射气体团簇的生成机构相对于被处理体的角度可变的状态下进行。
10.根据权利要求4所述的清洗方法,其特征在于,
为了进行上述蚀刻处理而照射气体团簇的工序在用于照射气体团簇的生成机构相对于被处理体的角度可变的状态下进行。
11.一种处理装置,其是用于自附着有附着物的被处理体的表面去除附着物的被处理体的处理装置,其特征在于,
该处理装置包括:
前处理室,其用于在内部载置被处理体;
前处理模块,其具有前处理机构,该前处理机构用于对载置于上述前处理室内的被处理体的表面或附着物中的至少一者进行包括蚀刻处理在内的前处理;
清洗处理室,其用于在内部载置被处理体;
气体团簇喷嘴,其设于上述清洗处理室,用于自压力比上述清洗处理室的内部的处理气氛的压力高的区域向处理气氛喷射与暴露于上述被处理体的表面上的膜之间不具有反应性的清洗用气体,通过绝热膨胀生成上述清洗用气体的作为原子的聚集体的气体团簇或上述清洗用气体的作为分子的聚集体的气体团簇,并向前处理后的被处理体供给上述气体团簇,以便去除上述附着物;以及
输送机构,其用于相对于上述前处理室及上述清洗处理室进行被处理体的交接。
12.根据权利要求11所述的处理装置,其特征在于,
上述前处理室是内部被保持为常压气氛的常压处理室,其与在常压气氛下输送被处理体的常压输送室相连接,
上述清洗处理室是内部被保持为真空气氛的真空处理室,其与在真空气氛下输送被处理体的真空输送室气密地连接,
在上述常压输送室与上述真空输送室之间设有用于切换内部的气氛的加载互锁室,
在上述常压输送室中设有作为上述输送机构的常压输送机构,在上述真空输送室中设有作为上述输送机构的真空输送机构。
13.根据权利要求11所述的处理装置,其特征在于,
上述前处理室和上述清洗处理室均是内部被分别保持为真空气氛的真空处理室,
上述前处理室与上述清洗处理室之间以气密地介于上述前处理室与上述清洗处理室之间的方式设有真空输送室,在该真空输送室中配置有上述输送机构。
14.根据权利要求11所述的处理装置,其特征在于,
上述前处理室和上述清洗处理室被共用化。
15.根据权利要求12所述的处理装置,其特征在于,
上述真空输送室与用于在上述前处理之前进行真空处理的真空处理室或用于在去除附着物之后接着进行真空处理的真空处理室气密地连接。
16.一种存储介质,其应用于用于进行被处理体的清洗的处理装置且存储有在计算机上运行的计算机程序,其特征在于,
上述计算机程序为了执行权利要求1所述的清洗方法而编入有步骤。
CN201280033416.2A 2011-07-19 2012-07-12 清洗方法和处理装置 Active CN103650117B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011157955A JP5776397B2 (ja) 2011-07-19 2011-07-19 洗浄方法、処理装置及び記憶媒体
JP2011-157955 2011-07-19
PCT/JP2012/004521 WO2013011673A1 (ja) 2011-07-19 2012-07-12 洗浄方法、処理装置及び記憶媒体

Publications (2)

Publication Number Publication Date
CN103650117A true CN103650117A (zh) 2014-03-19
CN103650117B CN103650117B (zh) 2016-09-07

Family

ID=47557874

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280033416.2A Active CN103650117B (zh) 2011-07-19 2012-07-12 清洗方法和处理装置

Country Status (6)

Country Link
US (1) US9837260B2 (zh)
JP (1) JP5776397B2 (zh)
KR (1) KR101672833B1 (zh)
CN (1) CN103650117B (zh)
TW (1) TWI540658B (zh)
WO (1) WO2013011673A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107818912A (zh) * 2016-09-12 2018-03-20 株式会社斯库林集团 基板处理方法及基板处理装置
CN110189994A (zh) * 2018-02-23 2019-08-30 东莞新科技术研究开发有限公司 半导体表面微颗粒的处理方法
CN112447496A (zh) * 2019-08-28 2021-03-05 东莞新科技术研究开发有限公司 半导体离子刻蚀清洗方法
CN114585454A (zh) * 2019-11-01 2022-06-03 东京毅力科创株式会社 基片清洗装置和基片清洗方法
WO2023065435A1 (zh) * 2021-10-22 2023-04-27 长鑫存储技术有限公司 清洗装置及其清洗方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016509263A (ja) * 2013-02-25 2016-03-24 エクソジェネシス コーポレーション 基板処理方法における欠陥削減
JP2015026745A (ja) * 2013-07-26 2015-02-05 東京エレクトロン株式会社 基板洗浄方法及び基板洗浄装置
JP6311236B2 (ja) * 2013-08-20 2018-04-18 東京エレクトロン株式会社 基板洗浄装置
KR101429732B1 (ko) * 2013-12-18 2014-08-12 주식회사 엔픽스 건식 박리 장치, 건식 박리를 위한 고속 입자 빔을 생성하는 노즐 및 고속 입자 빔을 이용한 건식 박리 방법.
JP6566683B2 (ja) * 2014-07-02 2019-08-28 東京エレクトロン株式会社 基板洗浄方法および基板洗浄装置
SG11201702566RA (en) * 2014-09-05 2017-04-27 Tel Epion Inc Process gas enhancement for beam treatment of a substrate
US10625280B2 (en) 2014-10-06 2020-04-21 Tel Fsi, Inc. Apparatus for spraying cryogenic fluids
US10014191B2 (en) 2014-10-06 2018-07-03 Tel Fsi, Inc. Systems and methods for treating substrates with cryogenic fluid mixtures
JP6690915B2 (ja) 2014-10-06 2020-04-28 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 極低温流体混合物で基板を処理するシステムおよび方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
CN108292598B (zh) 2015-11-30 2022-09-02 东京毅力科创株式会社 基板处理装置的腔室清洁方法
US11761075B2 (en) 2015-12-07 2023-09-19 Tokyo Electron Limited Substrate cleaning apparatus
CN109923659B (zh) 2016-11-09 2024-03-12 东京毅力科创Fsi公司 用于在处理室中处理微电子衬底的磁悬浮且旋转的卡盘
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
WO2018140789A1 (en) 2017-01-27 2018-08-02 Tel Fsi, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US10890843B2 (en) * 2017-07-28 2021-01-12 Tokyo Electron Limited Fast imprint lithography
JP7357625B2 (ja) 2018-02-19 2023-10-06 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 制御可能なビームサイズの処理噴霧を有する小型電子機器処理システム
TWI776026B (zh) * 2018-06-04 2022-09-01 美商帕斯馬舍門有限責任公司 切割晶粒附接膜的方法
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
CN109545710A (zh) * 2018-09-29 2019-03-29 东方日升新能源股份有限公司 一种降低折射率的镀膜方法
US11177150B2 (en) * 2019-03-14 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and method using the same
US11551942B2 (en) * 2020-09-15 2023-01-10 Applied Materials, Inc. Methods and apparatus for cleaning a substrate after processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6449873B1 (en) * 1999-11-17 2002-09-17 Dasan C & I Co., Ltd. Apparatus and method for dry cleaning of substrates using clusters
US20040094508A1 (en) * 1999-09-29 2004-05-20 Kabushiki Kaisha Toshiba Surface treating method
JP2006278387A (ja) * 2005-03-28 2006-10-12 Dainippon Screen Mfg Co Ltd 基板洗浄装置および基板洗浄方法
CN102770942A (zh) * 2010-03-18 2012-11-07 东京毅力科创株式会社 基板清洗装置和基板清洗方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5512106A (en) * 1993-01-27 1996-04-30 Sumitomo Heavy Industries, Ltd. Surface cleaning with argon
JPH11330033A (ja) * 1998-05-12 1999-11-30 Fraser Scient Inc エネルギーを有するクラスタ・ビームを使用して汚染表面を洗浄する方法および装置
JP3817417B2 (ja) * 1999-09-29 2006-09-06 株式会社東芝 表面処理方法
US20040157456A1 (en) * 2003-02-10 2004-08-12 Hall Lindsey H. Surface defect elimination using directed beam method
JP3816484B2 (ja) * 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
JP2007242869A (ja) 2006-03-08 2007-09-20 Tokyo Electron Ltd 基板処理システム
JP2008124356A (ja) * 2006-11-15 2008-05-29 Sekisui Chem Co Ltd 表面処理方法及び装置
JP2008227283A (ja) * 2007-03-14 2008-09-25 Mitsui Eng & Shipbuild Co Ltd SiCパーティクルモニタウエハの製造方法
JP5016351B2 (ja) * 2007-03-29 2012-09-05 東京エレクトロン株式会社 基板処理システム及び基板洗浄装置
JP2008304737A (ja) 2007-06-08 2008-12-18 Sii Nanotechnology Inc フォトマスクの欠陥修正方法及び異物除去方法
TW200902461A (en) * 2007-06-29 2009-01-16 Asahi Glass Co Ltd Method for removing foreign matter from glass substrate surface and method for processing glass substrate surface
JP5006134B2 (ja) 2007-08-09 2012-08-22 東京エレクトロン株式会社 ドライクリーニング方法
JP5411438B2 (ja) 2008-03-18 2014-02-12 信越化学工業株式会社 Soi基板の製造方法
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
WO2010021265A1 (ja) * 2008-08-18 2010-02-25 岩谷産業株式会社 クラスタ噴射式加工方法、半導体素子、微小電気機械素子、及び、光学部品
US8097860B2 (en) * 2009-02-04 2012-01-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam processing system and method of operating
US8440578B2 (en) * 2011-03-28 2013-05-14 Tel Epion Inc. GCIB process for reducing interfacial roughness following pre-amorphization
US8513138B2 (en) * 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for Si-containing and Ge-containing materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040094508A1 (en) * 1999-09-29 2004-05-20 Kabushiki Kaisha Toshiba Surface treating method
US6449873B1 (en) * 1999-11-17 2002-09-17 Dasan C & I Co., Ltd. Apparatus and method for dry cleaning of substrates using clusters
JP2006278387A (ja) * 2005-03-28 2006-10-12 Dainippon Screen Mfg Co Ltd 基板洗浄装置および基板洗浄方法
CN102770942A (zh) * 2010-03-18 2012-11-07 东京毅力科创株式会社 基板清洗装置和基板清洗方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107818912A (zh) * 2016-09-12 2018-03-20 株式会社斯库林集团 基板处理方法及基板处理装置
CN110189994A (zh) * 2018-02-23 2019-08-30 东莞新科技术研究开发有限公司 半导体表面微颗粒的处理方法
CN112447496A (zh) * 2019-08-28 2021-03-05 东莞新科技术研究开发有限公司 半导体离子刻蚀清洗方法
CN114585454A (zh) * 2019-11-01 2022-06-03 东京毅力科创株式会社 基片清洗装置和基片清洗方法
WO2023065435A1 (zh) * 2021-10-22 2023-04-27 长鑫存储技术有限公司 清洗装置及其清洗方法

Also Published As

Publication number Publication date
KR101672833B1 (ko) 2016-11-04
TWI540658B (zh) 2016-07-01
WO2013011673A1 (ja) 2013-01-24
CN103650117B (zh) 2016-09-07
KR20140048989A (ko) 2014-04-24
JP2013026327A (ja) 2013-02-04
US20140227882A1 (en) 2014-08-14
TW201330139A (zh) 2013-07-16
US9837260B2 (en) 2017-12-05
JP5776397B2 (ja) 2015-09-09

Similar Documents

Publication Publication Date Title
CN103650117A (zh) 清洗方法和处理装置以及存储介质
US8062432B2 (en) Cleaning method for turbo molecular pump
KR101350052B1 (ko) 기판처리방법 및 기판처리장치
KR101506203B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2019215555A (ja) 反射型マスクの洗浄装置および反射型マスクの洗浄方法
CN105103266A (zh) 用于卤化物驱气的处理***及方法
JP2011204944A (ja) 基板処理装置および基板処理方法
JP5181085B2 (ja) 処理装置及び処理方法
JP2008078329A (ja) 基板処理装置および基板処理方法
US20100214712A1 (en) Method for charge-neutralizing target substrate and substrate processing apparatus
JP2017139279A (ja) 基板乾燥装置、および基板処理システム
KR102375576B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5048552B2 (ja) 基板洗浄装置及び基板処理装置
US20080295868A1 (en) Manufacturing method of a semiconductor device and substrate cleaning apparatus
KR101432388B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2008098430A (ja) 基板処理装置および基板処理方法
JP5581648B2 (ja) 炭素汚染除去処理方法及び炭素汚染除去処理装置
JPH0645305A (ja) 半導体基板表面処理装置
CN113412166B (zh) 用于清洁真空腔室的方法、用于真空处理基板的方法以及用于真空处理基板的设备
JP6740359B2 (ja) 基板処理方法および基板処理装置
JPH07308567A (ja) 容器の洗浄方法及び洗浄装置
JP2023133102A (ja) 基板処理方法および基板処理装置
JP2012230148A (ja) パターン欠陥修正方法及びパターン欠陥修正装置
KR20200022622A (ko) 기판 스트립 방법 및 기판 스트립 장치
KR20060077683A (ko) 플라즈마 식각 챔버 및 포커스 링 코팅 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant