TWI652734B - 雙重選擇性沉積 - Google Patents

雙重選擇性沉積 Download PDF

Info

Publication number
TWI652734B
TWI652734B TW104112213A TW104112213A TWI652734B TW I652734 B TWI652734 B TW I652734B TW 104112213 A TW104112213 A TW 104112213A TW 104112213 A TW104112213 A TW 104112213A TW I652734 B TWI652734 B TW I652734B
Authority
TW
Taiwan
Prior art keywords
substrate
metal
selectively
selectively depositing
deposition
Prior art date
Application number
TW104112213A
Other languages
English (en)
Other versions
TW201543570A (zh
Inventor
蘇維 賀加
雷傑 梅特洛
艾娃 多益斯
安提 尼斯卡嫩
馬可 圖敏南
哈努 霍它利
維爾傑米J 波爾
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201543570A publication Critical patent/TW201543570A/zh
Application granted granted Critical
Publication of TWI652734B publication Critical patent/TWI652734B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/408Oxides of copper or solid solutions thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供用於第一材料在基板之第一表面上以及第二材料在同一基板之不同的第二表面上的雙重選擇性沉積的方法。所述選擇性沉積之材料可為例如金屬、金屬氧化物或介電材料。

Description

雙重選擇性沉積
本申請案是有關於兩種材料在基板之兩個不同表面上的選擇性沉積。詳言之,相對於第二表面,將第一材料選擇性地沉積在基板之第一表面上,且相對於所述第一表面,將第二材料選擇性地沉積在所述基板之所述第二表面上。
【相關申請案之交叉引用】
本申請案主張2014年4月16日申請的標題為「雙重選擇性沉積」之美國臨時專利申請案第61/980,373號之權益,所述臨時專利申請案之揭露內容以全文引用的方式併入本文中。
積體電路當前是由其中各個材料層以預定配置依序建構於半導體基板上的複雜製程來製造。
材料在半導體基板上之預定配置常常藉由在整個基板表面上沉積材料,接著諸如藉由沉積遮罩層及隨後進行選擇性蝕刻製程自基板之預定區域移除所述材料來實現。
在某些情況下,與在基板上製造積體表面有關的步驟數目可藉由利用雙重選擇性沉積製程而減少,其中相對於第二表 面,將第一材料選擇性地沉積在基板之第一表面上且相對於第一表面,將第二材料選擇性地沉積在基板之第二表面上,無需後續加工或減少對其之需要。本文揭露用於相對於第二表面第一材料在基板之第一表面上以及相對於第一表面第二材料在第二表面上的雙重選擇性沉積的方法。
根據本發明之一些態樣,選擇性沉積可用於將第一材料沉積在基板之第一表面上及將第二材料沉積在同一基板之第二表面上。在一些實施例中,原子層沉積(atomic layer deposition,ALD)類型製程用於選擇性沉積。在一些實施例中,化學氣相沉積(chemical vapor deposition,CVD)類型製程可用於選擇性沉積。在一些實施例中,將金屬材料選擇性地沉積在基板之第一表面上且將介電材料沉積在同一基板之第二表面上。在一些實施例中,將第一金屬材料選擇性地沉積在基板之第一表面上且將第二金屬材料沉積在同一基板之第二表面上。
在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,在第一材料之選擇性沉積與第二材料之選擇性沉積之間無空氣洩漏。在一些實施例中,在同一反應器中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上。在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,在第一材料之選擇性沉積與第二材料之選擇性沉積之間無進 一步加工。
在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,其中第一材料之選擇性沉積的選擇性為至少80%。在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,其中第二材料之選擇性沉積的選擇性為至少80%。
在一些實施例中,第一材料之選擇性沉積包括至少一個沉積週期,所述沉積週期包括交替且依序使所述基板與第一金屬前驅物及第二反應物接觸。在一些實施例中,第二材料之選擇性沉積包括至少一個沉積週期,所述沉積週期包括交替且依序使所述基板與第二前驅物及第二反應物接觸。在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,其中進行多達1個至50個沉積週期以選擇性地沉積所述第一材料。在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,其中進行多達1個至50個沉積週期以選擇性地沉積所述第二材料。
在一些實施例中,第一表面包括Cu、Si-H、W、Ni、Co、Ru或另一貴金屬。在一些實施例中,第一表面經處理以在第二介電材料選擇性地沉積之前抑制介電材料在其上沉積。在一些實施例中,第一表面經氧化。在一些實施例中,第一表面經鈍化。在一些實施例中,第一表面包括金屬或半導體材料。
在一些實施例中,第二表面包括OH、NHx或SHx封端。 在一些實施例中,第二表面為介電材料之表面。在一些實施例中,第二表面經處理以在第一材料在同一基板之第一表面上選擇性地沉積之前抑制第一材料在其上沉積。在一些實施例中,第二表面經處理以在其上提供OH、NHx或SHx封端。
在一些實施例中,第一材料是由Sb、Ge、Ru、貴金屬、W、Cu、Al、Ni以及Co中選出;第二材料是由SbOx、GeO2、BiOx、MgO、SiO2、AlO2以及TiO2中選出。在一些實施例中,第一材料包括Ni、Ge、Fe、Co或TiO2;且第二材料為Al或Cu。
在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將第二材料選擇性地沉積在同一基板之第二表面上,其中將第二材料選擇性地沉積包括使用鈍化前驅物。在一些實施例中,鈍化化合物是由HCOOH、烷基胺鈍化化合物或兩者中選出。在一些實施例中,鈍化可發生在第二材料之每個沉積週期期間。
100‧‧‧將第二表面鈍化或去活化
101‧‧‧基板
110‧‧‧將第一表面活化
120‧‧‧將第一材料選擇性地沉積
121‧‧‧金屬的第一表面
122‧‧‧第一金屬材料
130‧‧‧自第二表面移除鈍化及/或將第二表面活化
140‧‧‧將第一表面鈍化或去活化
150‧‧‧將第二材料選擇性地沉積在第二表面上
151‧‧‧不同的第二表面
152‧‧‧第二介電材料
160‧‧‧將鈍化自第一表面移除
200‧‧‧沉積製程
210‧‧‧步驟
220‧‧‧步驟
230‧‧‧步驟
240‧‧‧步驟
250‧‧‧步驟
260‧‧‧步驟
270‧‧‧步驟
300‧‧‧雙重選擇性沉積
301‧‧‧雙重選擇性沉積
310‧‧‧選擇性沉積製程
311‧‧‧選擇性沉積製程
320‧‧‧選擇性沉積
321‧‧‧選擇性沉積
330‧‧‧介電質的第二表面
331‧‧‧介電質的第二表面
340‧‧‧金屬的第一表面
341‧‧‧金屬的第一表面
400‧‧‧雙重選擇性沉積
410‧‧‧將介電質選擇性地沉積
420‧‧‧將Cu選擇性地沉積
430‧‧‧不同的第二表面
440‧‧‧金屬的第一表面
450‧‧‧基板處理
500‧‧‧W及Sb之雙重選擇性沉積
510‧‧‧選擇性沉積製程
520‧‧‧選擇性沉積製程
530‧‧‧第二表面
540‧‧‧第一表面
550‧‧‧處理
600‧‧‧GeO2及Ni或NiO之雙重選擇性沉積
610‧‧‧將Ni或NiO選擇性地沉積
620‧‧‧將GeO2選擇性地沉積
630‧‧‧不同的第二表面
640‧‧‧第一表面
700‧‧‧雙重選擇性沉積
701‧‧‧雙重選擇性沉積
710‧‧‧將Ni選擇性地沉積
711‧‧‧將Ni選擇性地沉積
720‧‧‧將W選擇性地沉積
721‧‧‧將W選擇性地沉積
730‧‧‧第二表面
731‧‧‧第二表面
740‧‧‧第一表面
741‧‧‧第一表面
751‧‧‧處理以增強選擇性沉積
800‧‧‧Al膜及SiO2膜之雙重選擇性沉積
810‧‧‧將Al選擇性地沉積
820‧‧‧將SiO2選擇性地沉積
830‧‧‧介電質的第二表面
840‧‧‧金屬的第一表面
850‧‧‧暴露於還原劑
860‧‧‧Al表面之蝕刻
自實施方式及自附圖將更好地理解本發明,附圖意謂說明且不限制本發明,且其中:
圖1A繪示第一材料在基板之第一表面上及第二材料在同一基板之第二表面上的雙重選擇性沉積的製程流程。
圖1B繪示根據一些實施例,金屬材料在金屬的第一表面上及介電材料在不同的第二表面上之雙重選擇性沉積。
圖2繪示一種材料在基板之第一表面上相對於同一基板之不同的第二表面選擇性地沉積的製程流程。
圖3A繪示根據一實施例,Ru在基板之第一表面上及GeO2在同一基板之第二表面上的雙重選擇性沉積。
圖3B繪示根據一實施例,Ru在基板之第一表面上及SiO2在同一基板之第二表面上的雙重選擇性沉積。
圖4繪示根據一實施例,Cu或CuO在基板之第一表面上及GeO2在同一基板之第二表面上的雙重選擇性沉積。
圖5繪示根據一實施例,Sb在基板之第一表面上及W在同一基板之第二表面上的雙重選擇性沉積。
圖6繪示根據一實施例,Ni或NiO在基板之第一表面上及GeO2在同一基板之第二表面上的雙重選擇性沉積。
圖7A繪示根據一實施例,Ni在基板之第一表面上及W在同一基板之第二表面上的雙重選擇性沉積。
圖7B繪示根據另一實施例,Ni在基板之第一表面上及W在同一基板之第二表面上的雙重選擇性沉積。
圖8繪示根據一實施例,Al在基板之第一表面上及SiO2在同一基板之第二表面上的雙重選擇性沉積。
在一些情況下,需要在同一基板之兩個不同表面上選擇性地沉積兩種不同材料。舉例而言,在一些情況下,金屬將沉積在基板之金屬表面上且介電質將沉積在同一基板之介電質表面上。在其他情況下,將包括金屬之材料沉積在基板之金屬表面上且將介電質沉積在同一基板之氧化物或介電質表面上。在其他情況下,兩種不同材料將沉積在同一基板之介電質OH封端表面或 HF蝕刻Si表面(Si-H或氫封端矽)上。兩種待沉積之材料可為兩種待沉積在同一基板之相鄰表面上的不同金屬。將各材料選擇性地沉積在適當表面上的能力可提供諸如加工時間更快及降低成本之優點。一種用於包括與犧牲鎢相鄰之鐵的兩種不同材料之選擇性CVD的方法揭露於全文併入本文中的〈藉由雙重選擇性化學氣相沉積製程實現多個自對準鐵奈米線〉,《電化學與固態快報》,10(9)H251-H253(2007)(比恩等人)(Bien et al,Multiple Self-Aligned Iron Nanowires by a Dual Selective Chemical Vapor Deposition Process,Electrochemical and Solid-State Letters,10(9)H251-H253(2007))中。
如本文中所揭露之雙重選擇性沉積製程可用於多種背景下,例如形成覆蓋層、障壁層、蝕刻終止層、犧牲及/或保護層或用於密封諸如多孔低k材料中之孔。在一些實施例中,金屬材料可選擇性地沉積在基板之第一表面上,優先於同一基板之不同的第二表面,諸如介電質表面;且介電材料可相對於第一表面選擇性地沉積在第二表面上。在一些實施例中,金屬材料之沉積首先進行,而在其他實施例中,介電材料之沉積為先。在一些實施例中,第一表面及第二表面在基板上彼此相鄰。
一或多個表面可經處理以便相對於一或多個不同表面增強在一個表面上沉積。在一些實施例中,第一表面經處理或活化,以便相對於同一基板上之不同的第二表面增強在第一表面上之沉積。在一些實施例中,第二表面經處理或去活化,以便相對於同一基板上之不同的第一表面減少在第二表面上之沉積。在一些實施例中,第一表面經處理以增強沉積且第二表面經處理以減少沉 積,藉此增加相對於第二表面在第一表面上的選擇性沉積。在一些實施例中,去活化處理不涉及自組單層(SAM)或具有長碳鏈之類似單層的形成。在一些實施例中,去活化處理非以有機試劑進行之處理。舉例而言,在一些實施例中,去活化處理可為表面之氧化、還原或鹵化,諸如氯化。去活化可包括在反應器中使用存在於前驅物中之有機基團自氣相反應物原位(in-situ)鈍化,所述前驅物用於沉積諸如介電質之材料之一。在用有機基團或用SAM原位鈍化的任何鈍化下,鈍化較佳在沉積溫度下使用用於沉積膜之化學物質或使用其他化學物質進行移除,實現在不專門進行用於移除鈍化的分開步驟下鈍化。舉例而言,鈍化可使用用於生長第二材料之O3脈衝或使用其他O3脈衝來移除。
舉例而言,在一些實施例中,相對於同一基板之諸如導電表面、金屬表面或H封端表面之第二表面,將介電材料沉積在基板之介電質的第一表面上。第二表面可在介電材料沉積之前或開始時經氧化以相對於介電質表面減少介電材料在第二表面上之沉積。亦即,相對於經處理之第二表面增加在介電質表面上的選擇性沉積。在一些實施例中,第二表面諸如藉由處理表面以使得其包括烷基矽烷基而鈍化。鈍化可促進相對於經處理之第二表面在介電質表面上的選擇性沉積。舉例而言,氧化物在第二表面上之沉積可藉由鈍化而抑制。在一些實施例中,鈍化不包含SAM或具有長碳鏈之類似單層在第二表面上的形成。
在一些實施例中,介電質表面可經處理以促進相對於同一基板上之介電質表面,金屬在不同的第二表面上的選擇性沉積。舉例而言,介電質表面可經處理以提供親水性OH封端表面。 雖然OH封端表面可與某些前驅物反應,但其他前驅物可不與此封端反應。舉例而言,OH封端表面對於特定化合物(例如如Cu脒基化合物或具有兩個環戊二烯基(或其衍生物)配位體之釕化合物)的吸附可為不活潑的。因此,在一些實施例中,OH封端可用於抑制金屬在介電質表面上相對於不同的第二表面(例如導電表面、金屬表面或H封端表面)的沉積。
在一些實施例中,介電質表面可經鈍化以抑制金屬在其上的沉積。舉例而言,介電質表面可與提供矽烷化(-Si-(CH3)x或-Si(CH3)3)表面或鹵化表面或-SiH3表面的化學物質接觸。在一些實施例中,介電質表面經氯化或氟化,諸如Si-Cl表面。鹵化表面可藉由以能夠形成揮發性金屬鹵氧化物之鹵化物化學物質(諸如CCl4或金屬鹵化物,諸如WF6、NbF5或NbCl5)處理表面且在表面上留下鹵化物(諸如氯化物或氟化物)來實現。鈍化可用於抑制金屬在介電質表面上相對於同一基板上之金屬表面的沉積。在一些實施例中,鈍化化學物質為以下各者中之一或多者:三甲基氯矽烷(CH3)3SiCl(TMCS)、三甲基二甲基胺基矽烷(CH3)3SiN(CH3)2或具有式R4-xSiXx(其中x為1至3且各R可經獨立地選擇為C1-C5烴,諸如甲基、乙基、丙基或丁基,較佳為甲基,且X為鹵化物或X為能夠與OH基團反應的另一基團,諸如烷基胺基-NR1R2,其中各R1可經獨立地選擇為氫或C1-C5烴,較佳為甲基或乙基,R2可經獨立地選擇為C1-C5烴,較佳為甲基或乙基,較佳地X為氯基或二甲胺基)的另一類型烷基取代之矽烷。在一些實施例中,鈍化化學物質可為包括至少一個烷基胺基之矽烷化合物(諸如雙(二乙胺基)矽烷)或包括SiH3基團或矽氮 烷之矽烷化合物(諸如六甲基二矽氮烷(HMDS))。
在一些實施例中,提供一種半導體基板,其含有包括第一材料之第一表面及包括不同於第一材料之第二材料之第二表面。在一些實施例中,第一表面及第二表面彼此相鄰。在一些實施例中,第一表面為親水性的且可包括OH封端表面或具有一定量OH基團之表面。在一些實施例中,第一表面可為(例如但不限於)低k材料、SiO2或GeO2。在一些實施例中,第二表面為金屬表面。在一些實施例中,第二表面為導電表面。在一些實施例中,第二表面為H封端表面。舉例而言,第二表面可包括例如Cu、Ni、Co、Al、W、Ru或另一貴金屬。或其可包括Si-H物質(氫封端矽)。在一些實施例中,第二表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其經處理之後不再導電。舉例而言,導電表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。出於本揭露內容的目的,Sb及Ge視為金屬。在一些實施例中,第一表面為金屬表面、導電表面或Si-H表面,且第二表面為包括OH基團之表面,諸如介電質表面。
在一些實施例中,相對於第二表面,將第一材料選擇性地沉積在第一表面上,且相對於第一表面,將第二材料選擇性地 沉積在第二表面上。
圖1A繪示相對於第二表面第一材料選擇性地沉積在第一表面上,以及相對於同一基板之第一表面第二材料選擇性地沉積在第二表面上的一例示性製程流程。在一些實施例中,第一表面為金屬表面或半導體表面,第一材料為金屬材料,第二表面為親水性表面,且第二材料為介電材料。在一些實施例中,第一表面為親水性表面,第一材料為介電材料,第二表面為金屬層,且第二材料為金屬材料。換言之,兩個沉積(金屬與介電質)可按任一順序進行。在一些實施例中,第一材料及/或第二材料可藉由CVD沉積,且選擇性可經由前驅物在表面上的選擇性分解來實現。在一些實施例中,至少一個沉積為週期性氣相沉積,尤其是原子層沉積(ALD),且選擇性可經由選擇性吸收反應物之一來實現。在一些實施例中,ALD製程非純ALD製程,而是若保留選擇性,則可發生一些CVD反應。舉例而言,可能不一定需要反應物自反應空間完全清除,可發生一定量之氣相反應且仍然可保留選擇性。
在一些實施例中,相對於第二表面,將第一材料選擇性地沉積在第一表面上,且相對於同一基板之第一表面,將第二材料選擇性地沉積在第二表面上,沒有空氣洩漏或暴露於空氣。在一些實施例中,在同一反應器內,相對於同一基板之第二表面,將第一材料選擇性地沉積在第一表面上且相對於同一基板之第一表面,將第二材料選擇性地沉積在第二表面上。在一些實施例中,雙重選擇性沉積製程可包括在選擇性沉積製程之間無空氣洩漏或暴露於空氣下選擇性沉積第一材料及選擇性沉積第二材料。在一 些實施例中,進行雙重選擇性沉積製程,其中將第一材料沉積在第一表面上且將第二材料沉積在同一基板之第二表面上,在第一材料之沉積與第二材料之沉積之間無進一步加工。在一些實施例中,雙重選擇性沉積製程可包括第一材料之選擇性沉積及第二材料之選擇性沉積,兩者之間無進一步加工。
在一些實施例中,製程可在相對於第二表面,第一材料選擇性地沉積在第一表面上時開始。在沉積之前,可將第二表面鈍化或去活化100,例如如本文中所述,以便抑制第一材料沉積在第二表面上,但在一些實施例中,不採用此類去活化。可將第一表面活化110,例如如本文中所述,以便促進第一材料沉積在第一表面上,但在一些實施例中,不採用此類活化。隨後根據本文中所揭露之方法,相對於第二表面,將第一材料選擇性地沉積120在第一表面上。
在一些實施例中,相對於第二表面第一材料選擇性沉積在第一表面上包括氣相沉積製程,所述氣相沉積製程包括至少一個沉積週期,其中基板交替且依序與第一反應物及第二反應物接觸。
在一些實施例中,第一材料之選擇性沉積持續進行,直至在第一表面上獲得所需厚度之第一材料。在一些實施例中,第一材料之選擇性沉積持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積第一材料。
在一些實施例中,在沉積所需厚度之第一材料(或完成所需數目之週期)之後,可自第二表面移除任何鈍化(必要時) 且可將第二表面活化130,但在一些實施例中,不採用此類活化。可將第一表面鈍化或去活化140,例如如本文中所述,以便抑制第二材料在第一表面上的沉積,但在一些實施例中,不採用此類去活化。隨後根據本文中所揭露之方法,相對於第一表面,將第二材料選擇性地沉積在第二表面上150。在一些實施例中,可視情況將鈍化自第一表面移除160(必要時)。
在一些實施例中,相對於第一表面第二材料選擇性沉積在第二表面上包括氣相沉積製程,所述氣相沉積製程包括至少一個沉積週期,其中基板交替且依序與第一反應物及第二反應物接觸。
在一些實施例中,第二材料之選擇性沉積持續進行,直至在第二表面上獲得所需厚度之第二材料。在一些實施例中,第二材料之選擇性沉積持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積第二材料。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積及/或相對於基板之第一表面在基板之第二表面上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積及/或相對於第一表面在基板之第二表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠 的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,可在沉積之後或在沉積過程中使用蝕刻以移除未選擇性沉積之材料。儘管蝕刻步驟之添加通常將增加製程之成本及複雜性,但在一些情形中,例如,若其比其他選擇代價更小,則其可能是商業上所需的。在一些實施例中,蝕刻製程較佳為各向同性的,但可為濕式蝕刻製程或乾式蝕刻製程。在一些實施例中,乾式蝕刻為較佳。
在一些ALD實施例中,相對於基板之第二表面在基板之第一表面上的沉積或相對於第一表面在第二表面上的沉積可在失去選擇性之前進行多達約500個沉積週期,或在失去選擇性之前進行多達約50個沉積週期或多達約20個沉積週期或多達約10個沉積週期或多達約5個沉積週期。在一些實施例中,在失去選擇性之前甚至1或2個週期之沉積亦可為有用的。
當不再滿足上述選擇性時選擇性之失去可理解為已發生。視特定情況而定,當相對於基板之第二表面在基板之第一表面上的沉積或相對於第一表面在第二表面上的沉積低於約90%選擇性,低於約95%選擇性,低於約96%、97%、98%或99%選擇性時,選擇性之失去可視為已發生。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積或相對於第一表面在第二表面上的沉積可在失去選擇性之前進行多達約50奈米厚度,或在失去選擇性之前進行多達約10奈米或多達約5奈米或多達約3奈米或多達約2奈米或多達 約1奈米。在一些實施例中,在失去選擇性之前甚至多達3埃或5埃之沉積亦可為有用的。視特定情況而定,當相對於基板之第二表面在基板之第一表面上的沉積或相對於第一表面在第二表面上的沉積低於約90%選擇性,低於約95%選擇性,低於約96%、97%、98%或99%選擇性或更大選擇性時,選擇性之失去可視為已發生。
在一些實施例中,可能需要選擇性地沉積金屬氧化物且隨後將金屬氧化物還原為金屬。諸如藉由使用如HCOOH之強還原劑,將金屬氧化物還原成可使用之金屬的方法描述於2013年9月17日頒予之美國專利第8,536,058號及2007年7月10日頒予之美國專利第7,241,677號(每一者之整個揭示內容以引用的方式併入本文中)中。在一些實施例中,將金屬氧化物選擇性地沉積在基板之第一表面上且在第二材料選擇性地沉積在同一基板之第二表面上之前還原成金屬。在一些實施例中,將第一材料選擇性地沉積在基板之第一表面上且將金屬氧化物選擇性地沉積在基板之不同的第二表面上。金屬氧化物可接著還原成金屬。在一些實施例中,將金屬氧化物選擇性地沉積在基板之第一表面上且在金屬氧化物還原成金屬之前將第二材料選擇性地沉積在同一基板之不同的第二表面上。在一些實施例中,諸如HCOOH之還原劑亦可用於鈍化表面。
圖1B繪示第一金屬材料122在基板101之金屬的第一表面121上及第二介電材料152在同一基板101之不同的第二表面151(例如SiO2或低k表面)上的雙重選擇性沉積之一實例。
ALD類型製程
ALD類型製程是基於前驅化學物質之受控自限 (self-limiting)表面反應。藉由交替且依序使基板與前驅物接觸來避免氣相反應。例如藉由在反應物脈衝之間自反應室中移除過量反應物及/或反應物副產物使氣相反應物在基板表面上彼此分離。
簡言之,包括第一表面及不同的第二表面之基板一般在減壓下加熱至適合沉積溫度。沉積溫度一般維持在低於反應物之熱分解溫度下但維持在足夠高水準下以避免反應物冷凝且為所需表面反應提供活化能。當然,用於任一給定ALD反應之適當溫度窗將視所涉及之表面封端及反應物質而定。本文中,溫度視沉積之膜類型而變化且較佳為或低於約400℃,更佳為或低於200℃且最佳為約20℃至約200℃。
使基板表面與氣相第一反應物接觸。在一些實施例中,將氣相第一反應物之脈衝提供給含有基板之反應空間。在一些實施例中,將基板移動至含有氣相第一反應物之反應空間。條件較佳經選擇,以使得僅僅約一個單層之第一反應物以自限性方式吸附於基板表面上。本領域的技術人員可基於特定情形容易確定適當接觸時間。過量第一反應物及反應副產物(若存在)諸如藉由以惰性氣體淨化或藉由自第一反應物之存在中移開基板而自基板表面中移除。
淨化意謂諸如藉由以真空泵抽空腔室及/或藉由以諸如氬氣或氮氣之惰性氣體替代反應器內部之氣體而自基板表面移除氣相前驅物及/或氣相副產物。典型淨化時間為約0.05秒至20秒,更佳在約1秒與10秒之間,且更佳在約1秒與2秒之間。然而,可在必要時,諸如在需要在極高縱橫比結構或具有複雜表面形態之其他結構上的高度保形步階覆蓋的情況下,利用其他淨化時間。
使基板表面與氣相第二氣體反應物接觸。在一些實施例中,將第二氣體反應物之脈衝提供給含有基板之反應空間。在一些實施例中,將基板移動至含有氣相第二反應物之反應空間。自基板表面移除過量第二反應物及表面反應之氣體副產物(若存在)。重複接觸及移除之步驟,直至已在基板之第一表面上選擇性地形成所需厚度之薄膜,其中每一週期僅僅留下分子單層。可包含包括交替且依序使基板之表面與其他反應物接觸的額外階段以形成更複雜的材料(諸如三元材料)。
如上文所提及,每一週期之每一階段較佳均為自限性。在每一階段中提供過量反應物前驅物以使易受影響之結構表面飽和。表面飽和確保反應物佔據所有可利用之反應位點(例如受物理大小或「位阻(steric hindrance)」限制),且因此確保極佳之步階覆蓋。通常,藉由每一週期沉積材料之小於一個分子層,然而,在一些實施例中,在週期期間沉積大於一個分子層。
移除過量反應物可包含抽空反應空間之一些內含物及/或藉由氦氣、氮氣或另一惰性氣體淨化反應空間。在一些實施例中,淨化可包括切斷反應氣體之流動同時繼續將惰性載氣流動至反應空間。
用於ALD類型製程中之前驅物在標準條件(室溫及大氣壓)下可為固體、液體或氣體材料,其限制條件為前驅物在其與基板表面接觸之前處於氣相中。基板表面與汽化前驅物接觸意謂前驅物蒸氣與基板表面接觸有限時段。通常,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。在一些情況下,接觸時間可為約數分鐘。本領域的 技術人員可基於特定情形確定最佳接觸時間。
前驅物之質量流速亦可藉由本領域的技術人員確定。在一些實施例中,金屬前驅物之流速較佳(但不限於)在約1標準立方公分/分鐘(sccm)與1000標準立方公分/分鐘之間,更佳在約100標準立方公分/分鐘與500標準立方公分/分鐘之間。
反應室中之壓力通常為約0.01毫巴至約20毫巴,更佳約1毫巴至約10毫巴。然而,在一些情況下,壓力將高於或低於此範圍,如在特定情形下可由本領域的技術人員確定。
在開始沉積膜之前,基板通常加熱至適合生長溫度。生長溫度視所形成薄膜之類型、前驅物之物理性質等等而變化。下文關於所形成薄膜之每一類型更詳細地論述生長溫度。生長溫度可小於沉積材料之結晶溫度以使得形成非晶薄膜,或其可超過結晶溫度以使得形成結晶薄膜。較佳沉積溫度可視許多因素而變化,所述因素諸如且不限於反應物前驅物、壓力、流速、反應器之配置、沉積薄膜之結晶溫度,以及包含待沉積於上之材料之性質的基板組成。特定生長溫度可藉由本領域的技術人員選擇。
可使用的適合反應器之實例包含可購自亞利桑那州鳳凰城ASM美國公司(ASM America,Inc.of Phoenix,Ariz.)、日本東京ASM日本KK公司(ASM Japan KK,Tokyo,Japan)以及荷蘭阿爾梅勒ASM歐洲B.V.公司(ASM Europe B.V.,Almere,Netherlands)的ALD設備,諸如F-120®反應器、Eagle® XP8反應器、Pulsar®反應器以及Advance®400系列反應器。除這些ALD反應器以外,可採用能夠進行薄膜之ALD生長的許多其他種類反應器,包含裝備有用於脈衝前驅物之適當設備及構件的CVD反應 器。在一些實施例中,使用流動型ALD反應器。較佳地,反應物保持分開直至到達反應室為止,以最小化前驅物之共用管線。然而,其他配置為可能的,諸如如2004年8月30日申請之美國專利申請案第10/929,348號及2001年4月16日申請之第09/836,674號中所描述的預反應室之使用,所述申請案之揭露內容以引用的方式併入本文中。
生長製程可視情況在連接至組合工具之反應器或反應空間中進行。在組合工具中,由於各反應空間專用於一種類型製程,因此各模組中反應空間之溫度可保持恆定,與在各操作之前將基板加熱至製程溫度之反應器相比,其提高產出率。
獨立反應器可裝備有負載鎖(load-lock)。在該情況下,不必在各操作之間冷卻反應空間。
參看圖2及根據一些實施例,在步驟210提供包括第一表面及第二表面之基板且材料藉由包括多個週期之ALD類型沉積製程200相對於第二表面選擇性地沉積於基板之第一表面上,每一週期包括:在步驟230,使基板表面與汽化第一前驅物接觸;在步驟240,自表面移除過量第一前驅物及反應副產物(若存在);在步驟250,使基板表面與汽化第二反應物接觸;在步驟260,自表面移除過量第二反應物及形成於基板之第一表面上的第一前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;在步驟270,重複接觸及移除步驟,直至已形成包括所需 厚度之選擇性沉積材料的薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以在沉積製程200開始之前相對於一或多個不同表面增強在一個表面上之沉積。圖2中,此由步驟220指示。
儘管說明之沉積週期以基板表面與第一前驅物接觸開始,但在其他實施例中沉積週期以基板表面與第二反應物接觸開始。本領域的技術人員應瞭解基板表面與第一前驅物及第二反應物接觸在ALD週期中可互換。
在一些實施例中,可藉由停止第一前驅物之流動同時繼續惰性載氣(諸如氮氣或氬氣)之流動而自基板表面移除反應物及反應副產物。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面移除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,不執行移除步驟240及移除步驟260。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,基板自含有第一前驅物之腔室的一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
金屬之選擇性沉積
如上文所提及,在一些實施例中,相對於同一基板之不同的第二表面,諸如介電質表面,將金屬選擇性地沉積在基板之第一表面上。在一些實施例中,第一表面為貴金屬表面。在一些實施例中,金屬的第一表面為Al、Cu、Ru、Ni、Co或其他貴金 屬表面。在一些實施例中,第一表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第一表面為Cu表面。在一些實施例中,第一表面為Ni表面。在一些實施例中,第一表面為Co表面。在一些實施例中,第一表面為Al表面。在一些實施例中,第一表面為Ru表面。在一些實施例中,第一表面包括貴金屬。
在一些實施例中,第一表面包括金屬。在一些實施例中,第一表面為導電表面。在一些實施例中,第一表面為H封端表面。舉例而言,第一表面可包括Si-H物質(氫封端矽)。在一些實施例中,第一表面不為介電質表面。在一些實施例中,金屬表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,金屬表面可在其經處理之後不再導電。舉例而言,金屬表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。在一些實施例中,非金屬的第二表面為親水性OH封端表面或含有一定量之OH基團。在一些實施例中,非金屬的第二表面為介電質表面。在一些實施例中,非金屬的第二表面為SiO2、GeO2或低k材料。
在一些實施例中,非金屬的第二表面諸如藉由用以提供上面抑制金屬沉積之表面之處理而去活化。在一些實施例中,去活化可包括以鈍化化學物質進行處理。在一些實施例中,去活化處理可在金屬沉積於金屬的第一表面上之前發生。在一些實施例中,去活化處理可為原位去活化處理。在一些實施例中,親水性表面之去活化可包括以其他基團替代至少OH基團。在一些實施例中,去活化可包含用以增加非金屬的第二表面上OH基團之量 的處理。
在一些實施例中,第二表面諸如藉由在金屬沉積之前鈍化而去活化。在一些實施例中,第二表面之去活化可包括以其他基團替代至少一些OH基團。在一些實施例中,第二表面以鈍化化學物質處理以形成鈍化表面。舉例而言,第二表面可在金屬沉積之前經矽烷化或鹵化,諸如氯化或氟化。在一些實施例中,第二表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,第二表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,第二表面可經處理以提供H封端表面,例如-SiH3表面。舉例而言,在一些實施例中,第二表面可與提供-SiH3表面之化學物質接觸。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
藉由ALD選擇性沉積Sb
在一些實施例中,將Sb選擇性地沉積在包括第一表面及不同的第二表面之基板上的包括金屬之第一表面上。在一些實施例中,第一表面為親水性表面。在一些實施例中,第一表面為介 電質表面。在一些實施例中,相對於同一基板之不同的第二表面,將Sb選擇性地沉積在基板之第一表面,諸如Cu、Ni、Co、Al、W、Ru或另一貴金屬表面上。在一些實施例中,相對於不同的第二表面,將Sb選擇性地沉積在Cu表面上。在一些實施例中,相對於不同的第二表面,將Sb選擇性地沉積在Ni表面上。在一些實施例中,相對於不同的第二表面,將Sb選擇性地沉積在Co表面上。在一些實施例中,相對於不同的第二表面,將Sb選擇性地沉積在Al表面上。在一些實施例中,相對於不同的第二表面,將Sb選擇性地沉積在Ru表面上。在一些實施例中,相對於不同的第二表面,將Sb選擇性地沉積在貴金屬表面上。
在一些實施例中,第一表面包括金屬。在一些實施例中,第一表面為導電表面。在一些實施例中,第一表面為H封端表面。舉例而言,第一表面可包括Si-H物質(氫封端矽)。
在一些實施例中,相對於同一基板之不同的第二表面,將Sb選擇性地沉積在基板之第一親水性表面上。在一些實施例中,第一親水性表面可包括至少一些OH基團。在一些實施例中,第一表面為-NHx封端表面。在一些實施例中,第一表面為-SHx封端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2。在一些實施例中,不同的第二表面包括金屬表面或包括如本文中所述之Si-H表面封端的介電質表面。
在一些實施例中,金屬表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,金屬表面可在其經處理之後不再導電。舉例而言,金屬表面可在選擇性 沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,第二表面為親水性表面。在一些實施例中,親水性表面可包括至少一些OH基團。在一些實施例中,第二表面為-NHx封端表面。在一些實施例中,第二表面為-SHx封端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2
如先前論述,在一些實施例中,親水性表面經處理以促進相對於親水性表面,選擇性沉積Sb。舉例而言,表面可經處理以提供親水性OH封端表面。在一些實施例中,親水性OH封端表面可經處理以增加表面上OH基團之量。舉例而言,介電質表面可暴露於水蒸氣以便增加表面上OH基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經鼓泡器(bubbler)的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沉積之前未經處理。
在一些實施例中,親水性表面諸如藉由在Sb沉積之前鈍化而去活化。在一些實施例中,親水性表面之去活化可包括以其他基團替代至少OH基團。在一些實施例中,親水性介電質表面以鈍化化學物質處理以形成鈍化表面。舉例而言,親水性表面可在Sb沉積之前經矽烷化或鹵化,諸如氯化或氟化。在一些實施例中,親水性表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,親水性表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl 表面。在一些實施例中,親水性表面可經處理以提供H封端表面,例如-SiH3表面。舉例而言,在一些實施例中,親水性表面可與提供H封端表面的化學物質接觸。在一些實施例中,親水性表面可與HF接觸以提供H封端表面。
在一些實施例中,鈍化化學物質為以下各者中之一或多者:三甲基氯矽烷(CH3)3SiCl(TMCS)、三甲基二甲基胺基矽烷(CH3)3SiN(CH3)2或具有式R4-xSiXx(其中x為1至3且各R可獨立地選擇為C1-C5烴,諸如甲基、乙基、丙基或丁基,較佳為甲基,且X為鹵基或X為能夠與OH基團反應的另一基團,諸如烷基胺基-NR1R2,其中各R1可獨立地選擇為氫或C1-C5烴,較佳為甲基或乙基,R2可獨立地選擇為C1-C5烴,較佳為甲基或乙基,較佳地X為氯基或二甲胺基)的另一類型烷基取代之矽烷。在一些實施例中,鈍化化學物質可為包括至少一個烷基胺基的矽烷化合物(諸如雙(二乙胺基)矽烷)或包括SiH3基團或矽氮烷的矽烷化合物(諸如六甲基二矽氮烷(HMDS))。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,Sb沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,Sb藉由ALD類型製程選擇性地沉積。在一些實施例中,Sb在不使用電漿下選擇性地沉積。在一些實施例中,沉積可例如如美國公開案第2012/0329208號(美國申請案第13/504,079號)中所描述進行,所述公開案以引用的方式併入本文中。
在一些實施例中,提供包括第一表面及第二表面之基板且藉由包括多個週期之ALD類型沉積製程,將金屬,此處為Sb選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一金屬前驅物(例如SbCl3)接觸;自表面移除過量第一金屬前驅物及反應副產物(若存在);使基板表面與汽化第二反應物(例如Sb(SiEt3)3)接觸;自表面移除過量第二反應物及形成於基板之第一表面上的第一金屬前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已形成所需厚度之金屬(此處為Sb)薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,在沉積金屬(此處為Sb之前)非金屬的第二表面可經處理以提供OH封端表面,或可諸如藉由鈍化而去活化。
雖然說明之Sb沉積週期以基板表面與第一Sb前驅物接觸開始,但在其他實施例中沉積週期以基板表面與第二反應物接 觸開始。本領域的技術人員應瞭解基板表面與第一Sb前驅物及第二反應物接觸在ALD週期中可互換。
在一些實施例中,可藉由停止第一金屬前驅物之流動同時繼續惰性載氣(諸如氮氣或氬氣)之流動而自基板表面移除反應物及反應副產物。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣(諸如氮氣或氬氣)之流動而自基板表面移除反應物及反應副產物。
在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,不進行移除步驟。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,基板自含有第一金屬前驅物之腔室的一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
在一些實施例中,第二反應物可包括Sb前驅物。在一些實施例中,第二反應物為第二Sb前驅物。在一些實施例中,第二反應物為不同於第一Sb前驅物之第二Sb前驅物。
在一些實施例中,第一Sb前驅物具有SbX3之式,其中X為鹵素元素。在一些實施例中,第一Sb前驅物為SbCl3、SbBr3或SbI3
在一些實施例中,第二反應物不為氧源。術語「氧源」指包括氧之反應物,諸如水、臭氧、醇、氧原子、氧電漿以及氧自由基,通常用於ALD中來沉積金屬氧化物。在一些實施例中,第二反應物不為水、臭氧或醇。
在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不為烷基胺基鍺前驅物,諸如四烷基胺基鍺或有機碲前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不為硫族化物前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不含有電漿或激發物質。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不含氮。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不為經烷氧化物取代之前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不為經胺基取代之前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不為經烷基取代之前驅物。在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不含直接Sb-C鍵。
本文中所揭露之Sb前驅物之Sb中心原子可鍵結至Si、Ge或Sn原子。Sb的陰電性較Si、Ge或Sn更強,其將在鍵中產生極性,且因此在本文中所揭露之Sb前驅物之Sb中心原子上產生部分負電荷。在一些實施例中,Sb中心原子可具有負氧化態。咸信(不過不束縛於任何理論)本文中所揭露之前驅物中的中心原子之少量部分負電荷(例如Sb(SiEt3)3中的Sb之少量部分負電荷)與另一前驅物中的中心原子之部分正電荷(例如SbCl3中的Sb之部分正電荷)組合使得前驅物組合成功並使膜沉積成為可能。
在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物不為還原劑,諸如氫、H2/電漿、胺、亞胺、肼、矽烷、矽烷基硫族化物、鍺烷、氨、烷烴、烯烴或炔烴。如本文 中所使用,還原劑指能夠還原另一反應物之原子,通常為將在ALD製程中沉積於膜中之原子,且有時還原成元素形式的化合物。同時還原劑可經氧化。可注意到,藉由氧化化學物質,例如藉由氧化劑,亦可在將被沉積的原子之形式氧化態在其他前驅物中為負的情況下產生元素膜。在一些實施例中,本文中所揭露之Sb前驅物充當ALD製程中之還原劑。
在一些實施例中,待與本文中所揭露之Sb前驅物組合使用的第二反應物為氧化前驅物,諸如SbCl3。較佳地,氧化前驅物不為水、醇或臭氧。如本文中所使用,氧化前驅物為分子之中心原子(諸如在SbCl3之情況下的Sb)中具有部分正電荷的前驅物,且因此中心原子可視為具有正氧化態。氧化前驅物之部分正電荷將在沉積膜中減少,亦即分子之中心原子可視為略微還原但未發生實際氧化態增加。在一些實施例中,銻沉積週期僅僅使用兩種反應化合物。
較佳地,第二反應物為具有Sb(SiR1R2R3)3之式的Sb前驅物,其中R1、R2以及R3為包括一或多個碳原子之烷基。R1、R2以及R3烷基可基於前驅物之所需物理特性(諸如揮發性、蒸氣壓、毒性等等)來選擇。
在一些實施例中,第一Sb前驅物為SbCl3且第二Sb前驅物為Sb(SiEt3)3
選擇性Sb薄膜沉積期間之基板溫度較佳低於250℃,且更佳低於200℃且甚至更佳低於150℃。
反應器之壓力可在很大程度上視用於沉積之反應器而變化。通常反應器壓力低於正常環境壓力。
本領域的技術人員可基於選定前驅物之性質來確定最佳反應物蒸發溫度。諸如Sb(SiEt3)3或Sb(SiMe3)3之可藉由本文所描述之方法合成的第二Sb前驅物的蒸發溫度通常分別為約85℃或約室溫。諸如SbCl3之第一Sb前驅物的蒸發溫度通常為約30℃至50℃。
本領域的技術人員可基於選定前驅物之性質及沉積Sb薄膜之所需性質,經由常規實驗來確定最佳反應物接觸時間。較佳地,第一Sb反應物與第二Sb反應物接觸約0.05秒至10秒、更佳約0.2秒至4秒且最佳約1秒至2秒。移除過量反應物及反應副產物(若存在)的移除步驟長度較佳為約0.05秒至10秒,更佳為約0.2秒至4秒且最佳為1秒至2秒。
元素Sb薄膜之生長速率將視反應條件而變化。如下文所描述,在初始實驗中,生長速率在約0.3埃/週期與約0.5埃/週期之間變化。
如先前論述,在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,Sb沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Sb沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,其對於一些特定應用而言選擇性可為足夠的。
Sb前驅物
下文論述可用作針對本文中所揭露之Sb的ALD型選擇性沉積製程中之第一反應物或第二反應物的前驅物。
在一些實施例中,本文中所揭露之Sb前驅物可為第一Sb前驅物。在一些實施例中,本文中所揭露之Sb前驅物可為第二反應物。在一些實施例中,本文中所揭露之Sb前驅物可為第一Sb前驅物或第二反應物。在一些實施例中,本文中所揭露之Sb前驅物可為第一Sb前驅物及第二反應物。在一些實施例中,第一Sb前驅物為本文中所揭露之Sb前驅物且第二反應物為本文中所揭露之第二不同Sb前驅物。
在一些實施例中,可用作第一Sb前驅物、第二反應物或兩者之Sb前驅物包含Sb鹵化物(諸如SbCl3及SbI3)、Sb烷氧化物(諸如Sb(OEt)3)以及Sb醯胺。
在一些實施例中,Sb前驅物具有結合於至少一個矽原子、較佳至少結合於兩個矽原子的Sb且更佳Sb結合於三個矽原子。舉例而言,其可具有Sb(AR1R2R3)3之通式,其中A為Si或Ge,且R1、R2以及R3為包括一或多個碳原子之烷基。R1、R2以及R3配位體中之每一者可彼此獨立地選擇。R1、R2以及R3烷基可基於前驅物之所需物理性質(諸如揮發性、蒸氣壓、毒性等)在各配位體中彼此獨立地選擇。在一些實施例中,R1、R2及/或R3可為氫、烯基、炔基或芳基。在一些實施例中,R1、R2、R3可為含有雜原子(諸如N、O、F、Si、P、S、Cl、Br或I)之任何有機基團。在一些實施例中,R1、R2、R3可為鹵素原子。在一些實施例中,Sb前驅物具有Sb(SiR1R2R3)3之通式,其中R1、R2以及R3為包括一或多個碳原子之烷基。在一些實施例中,R1、 R2及/或R3可為未經取代或經取代之C1-C2烷基,諸如甲基或乙基。R1、R2以及R3烷基可基於前驅物之所需物理性質(諸如揮發性、蒸氣壓、毒性等)在各配位體中彼此獨立地選擇。在一些實施例中,Sb前驅物為Sb(SiMe2tBu)3。在其他實施例中,前驅物為Sb(SiEt3)3或Sb(SiMe3)3。在更佳實施例中,前驅物具有Sb-Si鍵且最佳為三Si-Sb鍵結構。
在一些實施例中,Sb前驅物具有Sb[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]之通式,其中A1、A2、A3可獨立地選擇為Si或Ge,且其中R1、R2、R3、R4、R5、R6、R7、R8以及R9可獨立地選擇為烷基、氫、烯基、炔基或芳基。在一些實施例中,R1、R2、R3、R4、R5、R6、R7、R8以及R9可為亦含有雜原子(諸如N、O、F、Si、P、S、Cl、Br或I)之任何有機基團。在一些實施例中,一或多個R1、R2、R3、R4、R5、R6、R7、R8以及R9可為鹵素原子。在一些實施例中,X1、X2以及X3可為Si、Ge、N、或O。在一些實施例中,X1、X2以及X3為不同元素。在X為Si之實施例中,Si將結合於三個R基團,例如Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在X為N之實施例中,氮將僅僅結合於兩個R基團Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在X為O之實施例中,氧將僅僅結合於一個R基團,例如Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3]。R1、R2、R3、R4、R5、R6、R7、R8以及R9基團可基於前驅物之所需物理性質(諸如揮發性、蒸氣壓、毒性等)在各配位體中彼此獨立地選擇。
Ge在金屬上之選擇性沉積
在一些實施例中,相對於同一基板之不同表面,將Ge選擇性地沉積在第一表面上。在一些實施例中,相對於同一基板之不同的第二表面,諸如鈍化表面,將Ge選擇性地沉積在金屬表面,諸如Ni、Co、Cu、Al、Ru或其他貴金屬表面上。在一些實施例中,第一表面為親水性表面。在一些實施例中,第一表面為介電質表面。在一些實施例中,相對於不同的第二表面,將Ge選擇性地沉積在Cu表面上。在一些實施例中,相對於不同的第二表面,將Ge選擇性地沉積在Ni表面上。在一些實施例中,相對於不同的第二表面,將Ge選擇性地沉積在Co表面上。在一些實施例中,相對於不同的第二表面,將Ge選擇性地沉積在Al表面上。在一些實施例中,相對於不同的第二表面,將Ge選擇性地沉積在Ru表面上。在一些實施例中,相對於不同的第二表面,將Ge選擇性地沉積在貴金屬上。
在一些實施例中,金屬表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,金屬表面可在其經處理之後不再導電。舉例而言,金屬表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,相對於同一基板之不同表面,將Ge選擇性地沉積在包括金屬,諸如Ni、Co、Cu、Al、Ru或其他貴金屬之表面上。然而,在較佳實施例中,相對於同一基板之Si-H封端表面,經由例如Ge烷氧化物前驅物之分解,將Ge選擇性地沉積在介電質OH封端表面上。親水性表面可包括至少一些OH基團。在一些實施例中,親水性表面為介電質表面。在一些實施例 中,親水性表面可包括Si-OH或Ge-OH表面基團、SiO2、低k材料或GeO2。在一些實施例中,藉由週期沉積製程將Ge選擇性地沉積。舉例而言,基板可交替且依序與鍺反應物(諸如鍺烷氧化物或烷基胺)及第二反應物(諸如氮反應物,如NH3)接觸。在一些實施例中,Ge反應物為Ge(OEt)4、Ge(OMe)4、Ge(OiPr)4或Ge(OtBu)4之一。
在一些實施例中,第一表面包括金屬。在一些實施例中,第一表面為導電表面。在一些實施例中,第一表面為H封端表面。舉例而言,第一表面可包括Si-H物質(氫封端矽)。
在一些實施例中,Ge藉由諸如2013年12月19日申請之美國申請案第14/135,383號中描述之製程的製程來選擇性地沉積,所述申請案以引用的方式併入本文中。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的Ge沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,Ge沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Ge沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,第二表面為親水性表面。在一些實施例中,親水性表面可包括至少一些OH基團。在一些實施例中,第二表面為-NHx封端表面。在一些實施例中,第二表面為-SHx封 端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2。在一些實施例中,Ge藉由ALD類型沉積製程來選擇性地沉積。舉例而言,基板可交替且依序與鍺反應物(諸如鍺烷氧化物或烷基胺)及第二反應物(諸如氮反應物,如NH3)接觸。
如先前論述,在一些實施例中,第二表面經處理以促進相對於第二表面Ge在金屬表面上的選擇性沉積。舉例而言,第二表面可經處理以提供親水性OH封端表面。在一些實施例中,親水性OH封端表面可經處理以增加表面上OH基團之量。舉例而言,介電質表面可暴露於水蒸氣以便增加表面上OH基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經鼓泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沉積之前未經處理。
在一些實施例中,親水性表面諸如藉由在Ge沉積之前鈍化而去活化。在一些實施例中,親水性表面之去活化可包括以其他基團替代至少OH基團。在一些實施例中,親水性介電質表面以鈍化化學物質處理以形成鈍化表面。舉例而言,親水性表面可在Ge沉積之前經矽烷化或鹵化,諸如氯化或氟化。在一些實施例中,親水性表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,親水性表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,親水性表面可經處理以提供H封端表面,例如-SiH3表面。舉例而言,在一些實施例中,親水性表面可與提 供H封端表面的化學物質接觸。
如上文所提及,本文中所描述之製程能夠使用ALD類型沉積技術來選擇性地沉積鍺。ALD類型沉積製程主要受表面控制(基於第一基板表面之受控反應),且因此具有在相對較低溫度下提供高保形性之優點。然而,在一些實施例中,鍺前驅物可至少部分地分解。因此,在一些實施例中,本文中所描述之ALD類型製程為未觀測到前驅物分解之純ALD製程。在其他實施例中,反應條件(諸如反應溫度)經選擇以使得達成純ALD製程且無前驅物分解發生。
由於不同化合物分解溫度之變化,可基於特定選擇之前驅物選擇任何給定實施例中之實際反應溫度。在一些實施例中,沉積溫度低於約600℃。在一些實施例中,沉積溫度低於約500℃。在一些實施例中,沉積溫度在約450℃以下。在一些實施例中,沉積溫度較佳低於約400℃且甚至在一些情況下低於約375℃。
在一些實施例中,藉由包括交替且依序使基板與第一Ge前驅物及第二反應物接觸之ALD類型製程,相對於基板之不同的第二表面,將Ge選擇性地沉積在基板之第一表面上。
在一些實施例中,提供包括第一表面及第二表面之基板且藉由包括多個週期之ALD類型沉積製程,將金屬,此處為Ge選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一金屬前驅物(例如TDMAGe)接觸;自表面移除過量第一金屬前驅物及反應副產物(若存在); 使基板表面與汽化第二反應物(例如NH3)接觸;自表面移除過量第二反應物及形成於基板之第一表面上的第一金屬前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已形成所需厚度之金屬(此處為Ge)薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,在沉積金屬,此處為Ge之前,非金屬的第二表面可經處理以提供OH封端表面,或可諸如藉由鈍化而去活化。
儘管說明之Ge沉積週期以基板與第一Ge前驅物接觸開始,但在其他實施例中沉積週期以基板與第二反應物接觸開始。本領域的技術人員應瞭解基板表面與第一Ge前驅物及第二反應物接觸在ALD週期中可互換。
當Ge前驅物接觸基板時,Ge前驅物可形成至少一個單層、少於一個單層或多於一個單層。
在一些實施例中,在整個沉積製程中載氣不斷地流動至反應空間。在一些實施例中,在各沉積週期中第一鍺前驅物經脈衝至反應室中。在一些實施例中,接著自反應室中移除過量鍺前驅物。在一些實施例中,載氣包括氮氣。在一些實施例中,利用分開的淨化氣體。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面移除反應物及反應副產物。在一 些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,不進行移除步驟。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,基板自含有第一金屬前驅物之腔室的一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
ALD類型製程中採用之Ge前驅物在標準條件(室溫及大氣壓)下可為固體、液體或氣體材料,其限制條件為Ge前驅物在其與基板表面接觸之前處於氣相中。
基板表面與汽化前驅物接觸意謂前驅物蒸氣與基板表面接觸有限時段。通常,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。在一些情況下,接觸時間可為約數分鐘。本領域的技術人員可基於特定情形確定最佳接觸時間。在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,基板自第一反應室移動至第二不同反應室。在一些實施例中,基板在第一反應室內移動。
在一些實施例中,例如,對於單一晶圓反應器中之300毫米晶圓而言,基板表面與Ge前驅物接觸約0.05秒至約10秒、約0.1秒至約5秒或約0.3秒至約3.0秒。
基板表面可與第二反應物接觸約0.05秒至約10秒、約0.1秒至約5秒或約0.2秒至約3.0秒。然而,在一些情況下,一種或兩種反應物之接觸時間可為約數分鐘。本領域的技術人員可基於特定情形確定各反應物之最佳接觸時間。
如上文所提及,在一些實施例中,Ge前驅物為鍺烷氧化物,例如Ge(OEt)4或Ge(OMe)4。在一些實施例中,Ge前驅物為TDMAGe。在一些實施例中,Ge前驅物包含烷基及/或烷基胺基。在一些實施例中,Ge前驅物不為鹵化物。在一些實施例中,Ge前驅物可在至少一種配位體但非全部配位體中包括鹵素。可藉助於諸如氬氣之惰性載氣提供鍺前驅物。
在一些實施例中,第二反應物包括氮氫鍵。在一些實施例中,第二反應物為氨(NH3)。在一些實施例中,第二反應物為分子氮。在一些實施例中,第二反應物為含氮電漿。在一些實施例中,第二反應物包括經活化或激發之氮物質。在一些實施例中,第二反應物可呈可為氮反應物與惰性氣體(諸如氬氣)之混合物的含氮氣體脈衝提供。
在一些實施例中,含氮電漿在反應器中形成。在一些實施例中,電漿可在基板頂上或緊密接近基板原位形成。在其他實施例中,在反應室上游之遠端電漿產生器中形成電漿且將電漿產物導引至反應室中與基板接觸。如本領域的技術人員將瞭解,在遠端電漿之情況下,可使通向基板之路徑最佳化,以使在到達基板之前電中性物質增至最多且使離子存在減至最少。
與所使用之第二反應物無關,在本揭露內容之一些實施例中,使用第二反應物不向沉積之膜提供大量氮。根據一些實施例,所得鍺膜含有小於約5原子%,小於約2原子%或甚至小於約1原子%氮。在一些實施例中,鍺膜之氮含量小於約0.5原子%或甚至小於約0.2原子%。
在一些實施例中,氫反應物不用於沉積製程。在一些實 施例中,在至少一個沉積週期中或在整個沉積製程中不提供元素氫(H2)。在一些實施例中,在至少一個沉積週期中或在整個沉積製程中不提供氫電漿。在一些實施例中,在至少一個沉積週期中或在整個沉積製程中不提供氫原子或自由基。
在一些實施例中,Ge前驅物包括至少一種胺或烷基胺配位體,諸如在式(2)至式(6)及式(8)與式(9)中存在之彼等配位體,且第二反應物包括NH3
如上文所論述,開始沉積膜之前,通常將基板加熱至適合生長溫度。較佳沉積溫度可視多個因素而變化,諸如且不限於反應物前驅物、壓力、流速、反應器配置以及包括基板上所沉積材料之性質的基板組成。在一些實施例中,選擇沉積溫度,使其介於在無第二前驅物下鍺前驅物不分解之溫度下限與前驅物自身分解之溫度上限之間。如別處所論述,在一些實施例中,溫度可小於約600℃,小於約450℃,小於約400℃且在一些情況下小於約375℃。在使用Ge(OCH2CH3)4及NH3作為鍺及第二反應物之一些實施例中,溫度為約350℃。
加工時間視將產生之層之厚度及膜之生長速率而定。在ALD中,薄膜之生長速率測定為每一週期之厚度增加量。一個週期由前驅物之接觸步驟及移除步驟組成且一個週期之持續時間通常在約0.2秒與約30秒之間,更佳在約1秒與約10秒之間,但在一些情況下,例如在存在大表面積及體積的情況下,其可為約數分鐘或更大。
在一些實施例中,鍺薄膜之生長速率可大於或等於約2埃/週期,大於或等於約5埃/週期,大於或等於約10埃/週期,且 在一些實施例中甚至大於約15埃/週期。
在一些實施例中,所形成之鍺膜為相對純之鍺膜。較佳地,除微量雜質以外,膜中不存在其他金屬或半金屬元素。在一些實施例中,膜包含小於1原子%除Ge以外之金屬或半金屬。在一些實施例中,鍺膜包括小於約5原子%除氫以外之任何雜質,較佳小於約3原子%除氫以外之任何雜質,且更佳包含小於約1原子%除氫以外之任何雜質。在一些實施例中,鍺膜包括小於約5原子%氮,小於約3原子%氮,小於約2原子%氮或甚至小於約1原子%氮。在一些實施例中,純鍺膜包括小於約2原子%氧,較佳小於約1原子%氧或小於約0.5原子%氧且甚至小於約0.25原子%氧。
在一些實施例中,利用包括氧之鍺前驅物且鍺膜不包括氧或包括少量氧作為雜質。在一些實施例中,使用包括氧之鍺前驅物沉積之鍺膜可包括小於約2原子%氧,小於約1原子%氧,小於約0.5原子%氧或甚至小於約0.25原子%氧。
在一些實施例中,在具有高縱橫比之結構上形成之鍺膜之步階覆蓋大於約50%,大於約80%,大於約90%,或甚至大於約95%。在一些實施例中,當將特徵之深度或高度與寬度比較時,高縱橫比結構具有大於約3:1之縱橫比。在一些實施例中,結構具有大於約5:1之縱橫比,或甚至10:1或更大之縱橫比。
Ge前驅物
大量不同Ge前驅物可用於選擇性沉積製程。在一些實施例中,Ge前驅物為四價(亦即,Ge具有+IV之氧化態)。在一些實施例中,Ge前驅物不為二價(亦即,Ge具有+II之氧化態)。在一些實施例中,Ge前驅物可包括至少一種烷氧化物配位體。在一 些實施例中,Ge前驅物可包括至少一種胺或烷基胺配位體。在一些實施例中,Ge前驅物為金屬-有機或有機金屬化合物。在一些實施例中,Ge前驅物包括至少一種鹵化物配位體。在一些實施例中,Ge前驅物不包括鹵化物配位體。
在一些實施例中,Ge前驅物包括Ge-O鍵。在一些實施例中,Ge前驅物包括Ge-N鍵。在一些實施例中,Ge前驅物包括Ge-C鍵。在一些實施例中,Ge前驅物不包括Ge-H鍵。在一些實施例中,Ge前驅物之每一個Ge原子包含等於或小於兩個Ge-H鍵。
在一些實施例中,Ge前驅物在室溫(例如約20℃)下不為固體。
舉例而言,在一些實施例中,可使用以下式(1)至式(9)之Ge前驅物。
(1)GeOR4
其中R可獨立地由烷基及經取代之烷基所構成之族群中選出;
(2)GeRxA4-x
其中x為1至4之整數;R為有機配位體且可獨立地由以下所構成之族群中選出:烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺;且A可獨立地由以下所構成之族群中選出:烷基、經取代之烷基、烷氧化物、烷基矽烷基、烷基、烷基胺、鹵化物以及氫。
(3)Ge(OR)xA4-x
其中x為1至4之整數;R可獨立地由烷基及經取代之烷基所構成之族群中選 出;且A可獨立地由以下所構成之族群中選出:烷基、烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺、鹵化物以及氫。
(4)Ge(NRIRII)4
其中RI可獨立地由氫、烷基以及經取代之烷基所構成之族群中選出;且RII可獨立地由烷基及經取代之烷基所構成之族群中選出;
(5)Ge(NRIRII)xA4-x
其中x為1至4之整數;RI可獨立地由氫、烷基以及經取代之烷基所構成之族群中選出;且RII可獨立地由烷基及經取代之烷基所構成之族群中選出;A可獨立地由以下所構成之族群中選出:烷基、烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺、鹵化物以及氫。
(6)Gen(NRIRII)2n+2
其中n為1至3之整數;RI可獨立地由氫、烷基以及經取代之烷基所構成之族群中選出;且RII可獨立地由烷基及經取代之烷基所構成之族群中選出;
(7)Gen(OR)2n+2
其中n為1至3之整數;且 其中R可獨立地由烷基及經取代之烷基所構成之族群中選出;
(8)GenR2n+2
其中n為1至3之整數;且R為有機配位體且可獨立地由以下各者所構成之族群中選出:烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺。
(9)A3-xRxGe-GeRyA3-y
其中x為1至3之整數;y為1至3之整數;R為有機配位體且可獨立地由以下所構成之族群中選出:烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺;且A可獨立地由以下所構成之族群中選出:烷基、烷氧化物、烷基矽烷基、烷基、經取代之烷基、烷基胺、鹵化物以及氫。
R之較佳選項包括(但不限於)用於所有式之甲基、乙基、丙基、異丙基、正丁基、異丁基、第三丁基,更佳在乙基與甲基中選擇。在一些實施例中,R之較佳選項包括(但不限於)C3-C10烷基、烯基以及炔基以及其經取代之型式,更佳為C3-C6烷基、烯基以及炔基以及其經取代之型式。
在一些實施例中,Ge前驅物包括一或多種鹵化物。舉例而言,前驅物可包括1、2或3種鹵化物配位體。然而,如上文所提及,在一些實施例中,Ge前驅物不包括鹵化物。
在一些實施例中,不使用鍺烷(GeHx)。在一些實施例中,可使用包括Ge及氫之化合物。在一些實施例中,可使用鍺烷,包括(但不限於)GeH4及Ge2H6中之一或多者。
在一些實施例中,可使用烷氧化物Ge前驅物,包括(但不限於)Ge(OMe)4、Ge(OEt)4、Ge(OiPr)4、Ge(OnPr)4以及Ge(OtBu)4中之一或多者。在一些實施例中,Ge前驅物為TDMAGe。在一些實施例中,Ge前驅物為TDEAGe。在一些實施例中,Ge前驅物為TEMAGe。
Ru及其他貴金屬在金屬上之選擇性沉積
在一些實施例中,相對於同一基板之非金屬的第二表面,將貴金屬,較佳Ru金屬選擇性地沉積在諸如Cu、Ni、Co、Al、W、Ru或其他貴金屬之基板之金屬的第一表面上。在一些實施例中,貴金屬可包括Au、Pt、Ir、Pd、Os、Ag、Hg、Po、Rh、Ru、Cu、Bi、Tc、Re以及Sb之一,較佳為Ru。
在一些實施例中,相對於同一基板之親水性表面,將貴金屬選擇性地沉積在諸如Cu、Ni、Co、Al、W、Ru或其他貴金屬表面之基板之金屬的第一表面上。在一些實施例中,金屬表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,金屬表面可在其經處理之後不再導電。舉例而言,金屬表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。在一些實施例中,已存在之金屬氧化物表面可在選擇性沉積製程之前或開始時諸如藉由還原來處理,且接著經處理表面可包括金屬。
在一些實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在Cu表面上。在一些實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在Ni表面上。在一些實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在Co表面上。在一些 實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在Al表面上。在一些實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在W表面上。在一些實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在Ru表面上。在一些實施例中,相對於不同的第二表面,將貴金屬選擇性地沉積在貴金屬表面上。
在一些實施例中,第二表面為親水性表面。在一些實施例中,第二親水性表面可包括至少一些OH基團。在一些實施例中,第二表面為-NHx封端表面。在一些實施例中,第二表面為-SHx封端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2
舉例而言,Ru雙(環戊二烯基)化合物對親水性氧化物表面可為高度非反應性的。親水性表面可包括至少一些OH基團。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2。在一些實施例中,藉由週期沉積製程將貴金屬,較佳Ru選擇性地沉積。在一些實施例中,相對於基板之第二表面,在基板之第一表面上的Ru或其他貴金屬沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,Ru或其他貴金屬沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面,在基板之第一表面上的Ru或其他貴金屬沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,選擇性沉積之貴金屬膜中存在的雜質量低,當目標為膜之高導電性時此為必不可少的。在一些實施例中,H、C以及N雜質之量通常為約0.1原子%至0.3原子%。在一些實施例中,殘餘氧之量通常在0.3原子%至0.5原子%範圍內。
在一些實施例中,藉由諸如2002年11月30日頒予的美國專利第6,824,816號(代理人案號SEPP16.001AUS)中所述之製程的製程選擇性地沉積Ru,其整個揭露內容在附件中附接於此且以引用的方式併入本文中。
在一些實施例中,藉由諸如2010年2月23日頒予的美國專利第7,666,773號(代理人案號ASMMC.063AUS)中所述之製程或如2011年9月27日頒予的美國專利第8,025,922號(代理人案號ASMMC.068AUS)中所述之製程的製程沉積Ru或另一貴金屬,所述專利每一者之整個揭露內容在附件中附接於此且以引用的方式併入本文中。
在一些實施例中,藉由包括交替且依序使基板與第一貴金屬前驅物及第二反應物接觸之ALD類型製程,相對於基板之不同的第二表面,將貴金屬選擇性地沉積在基板之第一表面上。
在一些實施例中,提供包括第一表面及第二表面之基板,且藉由包括多個週期之ALD類型沉積製程,將貴金屬選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一貴金屬前驅物接觸;自表面移除過量第一貴金屬前驅物及反應副產物(若存在);使基板表面與汽化第二反應物接觸; 自表面移除過量第二反應物及形成於基板之第一表面上的貴金屬前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複所述接觸與移除步驟,直至已形成具有所需厚度之貴金屬薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,金屬的第一表面可經處理,以相對於非金屬的第二表面,增強在第一表面上之沉積。在一些實施例中,金屬的第一表面可諸如藉由表面改質來活化。在一些實施例中,在沉積貴金屬之前,非金屬的第二表面可經處理以提供OH封端表面,或可諸如藉由鈍化而去活化。
在一些實施例中,已存在之金屬氧化物表面可在選擇性沉積製程之前或開始時諸如藉由還原來處理,且接著經處理表面可包括金屬。在一些實施例中,包括例如CuO之金屬氧化物的第一表面可暴露於還原劑。在一些實施例中,還原劑可包括有機化合物。在一些實施例中,還原劑可包括含有至少一種由-OH、-CHO以及-COOH中選出之官能基的有機化合物。在一些實施例中,在預處理之後,第一表面不再可包括例如CuO之金屬氧化物,且可包括例如Cu之導電金屬表面。在一些實施例中,例如W表面之金屬的第一表面藉由例如用以在上面形成Si-H表面封端之處理來活化。在一些實施例中,第一表面之活化可包括使第一表面與提供Si-H表面封端之化學物質接觸。在一些實施例中,第一表面之活化可包括將基板暴露於二矽烷以在第一表面上形成Si-H表面封 端。
在一些實施例中,非金屬的第二表面諸如藉由用以提供上面抑制金屬沉積之表面之處理來去活化。在一些實施例中,去活化可包括以鈍化化學物質進行處理。在一些實施例中,去活化處理可在金屬沉積於金屬的第一表面上之前發生。在一些實施例中,去活化處理可為原位去活化處理。在一些實施例中,親水性表面之去活化可包括以其他基團替代至少OH基團。在一些實施例中,去活化可包含用以增加非金屬的第二表面上OH基團之量的處理。
在一些實施例中,介電質表面可經鈍化以抑制金屬在其上之沉積。舉例而言,介電質表面可與提供矽烷化(-Si-(CH3)x或-Si(CH3)3)表面或鹵化表面或-SiH3表面的化學物質接觸。在一些實施例中,介電質表面經氯化或氟化,諸如Si-Cl表面。鹵化表面可藉由以能夠形成揮發性金屬鹵氧化物之鹵化物化學物質(諸如CCl4或金屬鹵化物,諸如WF6、NbF5或NbCl5)處理表面並在表面上留下鹵化物(諸如氯化物或氟化物)來實現。鈍化可用以抑制相對於金屬表面金屬在介電質表面上之沉積。在一些實施例中,鈍化化學物質為以下各者中之一或多者:三甲基氯矽烷(CH3)3SiCl(TMCS)、三甲基二甲基胺基矽烷(CH3)3SiN(CH3)2或具有式R4-xSiXx(其中x為1至3且各R可獨立地選擇為C1-C5烴,諸如甲基、乙基、丙基或丁基,較佳為甲基,且X為鹵基或X為能夠與OH基團反應的另一基團,諸如烷基胺基-NR1R2,其中各R1可獨立地選擇為氫或C1-C5烴,較佳為甲基或乙基,R2可獨立地選擇為C1-C5烴,較佳為甲基或乙基,較佳地X為氯基 或二甲胺基)的另一類型烷基取代之矽烷。在一些實施例中,鈍化化學物質可為包括至少一個烷基胺基的矽烷化合物(諸如雙(二乙胺基)矽烷)或包括SiH3基團或矽氮烷的矽烷化合物(諸如六甲基二矽氮烷(HMDS))。
儘管說明之貴金屬沉積週期以基板與第一貴金屬前驅物接觸開始,但在其他實施例中沉積週期以基板與第二反應物接觸開始。本領域的技術人員應瞭解基板表面與第一貴金屬前驅物及第二反應物接觸在ALD週期中可互換。
當貴金屬前驅物接觸基板時,貴金屬前驅物可形成至少一個單層、少於一個單層或多個一個單層。
在一些實施例中,在整個沉積製程中載氣不斷地流動至反應空間。在一些實施例中,在各沉積週期中第一貴金屬前驅物經脈衝至反應室中。在一些實施例中,隨後自反應室移除過量貴金屬前驅物。在一些實施例中,載氣包括氮氣。在一些實施例中,利用分開的淨化氣體。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面移除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,不進行移除步驟。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,基板自含有第一前驅物之腔室的一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
ALD類型製程中採用之貴金屬前驅物在標準條件(室溫 及大氣壓)下可為固體、液體或氣體材料,其限制條件為貴金屬前驅物在其與基板表面接觸之前處於氣相中。
基板表面與汽化前驅物接觸意謂前驅物蒸氣與基板表面接觸有限時段。通常,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。在一些情況下,接觸時間可為約數分鐘。本領域的技術人員可基於特定情形確定最佳接觸時間。在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,基板自第一反應室移動至第二不同反應室。在一些實施例中,基板在第一反應室內移動。
在一些實施例中,基板與汽化前驅物「接觸」可包括在有限時段內前驅物蒸氣引導至腔室。通常,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。較佳地,對於單一晶圓ALD反應器中之300毫米晶圓而言,基板與貴金屬前驅物接觸0.05秒至10秒、更佳0.5秒至3秒且最佳約0.5秒至約1.0秒。在一些實施例中,基板與第二反應物接觸約0.05秒至10秒、更佳1秒至5秒、最佳約2秒至3秒。在一些情況下,接觸時間可為約數分鐘。本領域的技術人員可基於特定情形容易地確定最佳接觸時間。
貴金屬前驅物之質量流速亦可藉由本領域的技術人員確定。在一些實施例中,對於在300毫米晶圓上之沉積,貴金屬前驅物之流速較佳(但不限於)在約1標準立方公分/分鐘與1000標準立方公分/分鐘之間,更佳在約100標準立方公分/分鐘與500標準立方公分/分鐘之間。貴金屬前驅物之質量流速通常低於氧之 質量流速,氧之質量流速通常在約10標準立方公分/分鐘與10000標準立方公分/分鐘之間,更佳在約100標準立方公分/分鐘-2000標準立方公分/分鐘之間且更佳在約100標準立方公分/分鐘-1000標準立方公分/分鐘之間。
在一些實施例中,移除反應副產物可包括用真空泵抽空腔室及/或藉由用諸如氬氣或氮氣之惰性氣體替換反應器內部之氣體。典型移除時間為約0.05秒至20秒,更佳在約1秒與10秒之間,且最佳在約1秒與2秒之間。
在一些實施例中,開始沉積膜之前,通常將基板加熱至適合生長溫度。在一些實施例中,貴金屬薄膜之生長溫度在約150℃與約450℃之間,更佳在約200℃與約400℃之間。在一些實施例中,較佳沉積溫度可視許多因素而變化,所述因素諸如且不限於反應物前驅物、壓力、流速、反應器之配置、包含待沉積於上之材料之性質以及上面避免沉積之材料之性質的基板組成。本領域的技術人員可鑒於本揭露內容,經由常規實驗來選擇特定的生長溫度以最大化製程之選擇性。
加工時間視將產生之層之厚度及膜之生長速率而定。在ALD中,薄膜之生長速率測定為每一週期之厚度增加量。一個週期由前驅物之脈衝步驟及淨化步驟組成且一個週期之持續時間通常在約0.2秒與約30秒之間,更佳在約1秒與約10秒之間,但在一些情況下,其可為約數分鐘或更大。
在一些實施例中,貴金屬薄膜包括單一貴金屬之多個單層。然而,在一些實施例中,最終金屬結構可包括貴金屬化合物或包括兩種或超過兩種不同貴金屬之合金。舉例而言,生長可以 鉑沉積開始且以釕金屬沉積結束。貴金屬較佳由下列各者所構成之族群中選出:Pt、Au、Ru、Rh、Ir、Pd以及Ag。
貴金屬為本領域中所熟知且包括例如Ru、Rh、Pd、Ag、Re、Os、Ir以及Pt。適合貴金屬前驅物可藉由本領域的技術人員選擇。一般而言,金屬與氧、氮、碳或其組合結合或配位之金屬化合物為較佳。在一些實施例中,使用茂金屬化合物(metallocene compound)、β二酮酸鹽化合物(beta diketonate compound)以及乙脒基化合物(acetamidinato compound)。
在一些實施例中,貴金屬前驅物為環戊二烯基及乙醯基丙酮酸鹽(acac)前驅化合物。在一些實施例中,使用雙(乙基環戊二烯基)貴金屬化合物。
在一些實施例中,貴金屬前驅物可由下列各者所構成之族群中選出:雙(環戊二烯基)釕、三(2,2,6,6四甲基3,5-庚二酮基)釕以及三(N,N'-二異丙基乙脒基)釕(III)以及其衍生物,諸如雙(N,N'-二異丙基乙脒基)釕(II)二羰基、雙(乙基環戊二烯基)釕、雙(五甲基環戊二烯基)釕以及雙(2,2,6,6四甲基3,5-庚二酮基)(1,5環辛二烯)釕(II)。在一些實施例中,前驅物為雙(乙基環戊二烯基)釕(Ru(EtCp)2)。
在一些實施例中,貴金屬前驅物可包含(三甲基)甲基環戊二烯基鉑(IV)、乙醯丙酮鉑(II)、雙(2,2,6,6四甲基3,5庚二酮基)鉑(II)以及其衍生物。
在一些實施例中,貴金屬前驅物可包含三(乙醯丙酮根基)銥(III)及其衍生物。
在一些實施例中,貴金屬前驅物可包含雙(六氟乙醯丙酮 酸)鈀(II)及其衍生物。
在一些實施例中,第二反應物包括含氧反應物。在一些實施例中,第二反應物可包括氧氣或氧氣與另一氣體之混合物。在一些實施例中,第二反應物可包括雙原子氧或雙原子氧與另一氣體之混合物。在一些實施例中,第二反應物可包括含氧化合物,諸如H2O2、N2O及/或有機過氧化物。在一些實施例中,第二反應物可在反應室內部,例如藉由分解含氧化合物來形成氧氣。在一些實施例中,第二反應物可包括催化形成之氧氣。在一些實施例中,包括氧之第二反應物之催化形成可包含將H2O2之汽化水溶液引導在例如鉑或鈀之催化表面上。在一些實施例中,催化表面可位於反應室內部。在一些實施例中,催化表面可不位於反應室內部。
在一些實施例中,第二反應物包括游離氧或臭氧,更佳為分子氧。第二反應物較佳為純分子雙原子氧,但亦可為氧氣與例如氮氣或氬氣之惰性氣體的混合物。
在一些實施例中,第二反應物較佳包括含游離氧之氣體,更佳為含分子氧之氣體,且因此可由氧氣與例如氮氣或氬氣之惰性氣體之混合物組成。在一些實施例中,第二反應物之較佳氧含量為約10%至25%。在一些實施例中,一個較佳氧來源為空氣。在相對較小基板(例如至多4吋晶圓)之情況下,第二反應物之質量流速可較佳在約1標準立方公分/分鐘與25標準立方公分/分鐘之間,更佳在約1標準立方公分/分鐘與8標準立方公分/分鐘之間。在較大基板之情況下,如本領域的技術人員所瞭解,第二反應物氣體之質量流速可按比例擴大。
適用於根據本文中所揭露之製程沉積薄膜之反應器的配置之實例為例如市售ALD設備,諸如ASM微化學有限公司(ASM Microchemistry Ltd.)生產的F-120及PulsarTM反應器。除這些ALD反應器以外,可採用能夠進行薄膜之ALD生長的許多其他種類反應器,包含裝備有用於脈衝前驅物之適當設備及構件的CVD反應器。在一些實施例中,生長製程可視情況在組合工具中進行,其中基板自先前製程步驟而來,在基板上產生金屬膜,且接著將基板傳輸至以下製程步驟。在組合工具中,反應空間之溫度可保持恆定,與在各操作之前將基板加熱至製程溫度之反應器相比,其提高產出率。
W在金屬上之選擇性沉積
在一些實施例中,相對於同一基板之親水性表面,諸如鈍化表面,將W選擇性地沉積在諸如Ni、Co、Cu、Al、W、Ru或其他貴金屬之金屬表面上。在一些實施例中,相對於不同的第二表面,將W選擇性地沉積在Cu表面上。在一些實施例中,相對於不同的第二表面,將W選擇性地沉積在Ni表面上。在一些實施例中,相對於不同的第二表面,將W選擇性地沉積在Co表面上。在一些實施例中,相對於不同的第二表面,將W選擇性地沉積在Al表面上。在一些實施例中,相對於不同的第二表面,將W選擇性地沉積在Ru表面上。在一些實施例中,相對於不同的第二表面,將W選擇性地沉積在貴金屬表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的W沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,W沉 積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的W沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,第二表面為親水性表面。在一些實施例中,親水性表面可包括至少一些OH基團。在一些實施例中,第二表面為-NHx封端表面。在一些實施例中,第二表面為-SHx封端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2
如先前論述,在一些實施例中,第二表面經處理以促進相對於第二表面W在金屬表面上的選擇性沉積。舉例而言,第二表面可經處理以提供親水性OH封端表面。在一些實施例中,親水性OH封端表面可經處理以增加表面上OH基團之量。舉例而言,介電質表面可暴露於水蒸氣以便增加表面上OH基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經鼓泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沉積之前未經處理。
在一些實施例中,介電質表面可經鈍化以抑制金屬在其上沉積。舉例而言,介電質表面可與提供矽烷化(-Si-(CH3)x或-Si(CH3)3)表面或鹵化表面或-SiH3表面的化學物質接觸。在一些實施例中,介電質表面經氯化或氟化,諸如Si-Cl表面。鹵化表面 可藉由以能夠形成揮發性金屬鹵氧化物之鹵化物化學物質(諸如CCl4或金屬鹵化物,諸如WF6、NbF5或NbCl5)處理表面並在表面上留下鹵化物(諸如氯化物或氟化物)來實現。鈍化可用以抑制相對於金屬表面金屬在介電質表面上之沉積。在一些實施例中,鈍化化學物質為以下各者中之一或多者:三甲基氯矽烷(CH3)3SiCl(TMCS)、三甲基二甲基胺基矽烷(CH3)3SiN(CH3)2或具有式R4-xSiXx(其中x為1至3且各R可獨立地選擇為C1-C5烴,諸如甲基、乙基、丙基或丁基,較佳為甲基,且X為鹵基或X為能夠與OH基團反應的另一基團,諸如烷基胺基-NR1R2,其中各R1可獨立地選擇為氫或C1-C5烴,較佳為甲基或乙基,R2可獨立地選擇為C1-C5烴,較佳為甲基或乙基,較佳地X為氯基或二甲胺基)的另一類型烷基取代之矽烷。在一些實施例中,鈍化化學物質可為包括至少一個烷基胺基的矽烷化合物(諸如雙(二乙胺基)矽烷)或包括SiH3基團或矽氮烷的矽烷化合物(諸如六甲基二矽氮烷(HMDS))。舉例而言,在一些實施例中,親水性表面可與提供H封端表面的化學物質接觸。在一些實施例中,根據本揭露內容中先前論述之方法,藉由在親水性表面上形成Sb層,使親水性表面鈍化以防W沉積。
在一些實施例中,藉由週期沉積製程將W選擇性地沉積。
在一些實施例中,藉由諸如2013年8月1日公開的美國公開案第2013/0196502號(代理人案號ASMMC.098AUS)中所述之製程的製程將W選擇性地沉積,所述公開案之揭露內容以引用的方式併入本文中。
在一些實施例中,所述方法包括使用多個沉積週期將W 選擇性地沉積在包括金屬的第一表面及第二親水性表面之基板上。所述週期包括:使基板與包括矽或硼之第一前驅物接觸以相對於介電質的第二表面,在金屬的第一表面上選擇性地形成包括Si或B之第一材料層;且藉由將基板暴露於包括金屬之第二前驅物將第一材料轉變成第二金屬材料。
在一些實施例中,提供包括第一表面及第二表面之基板且藉由包括多個週期之週期沉積製程,將金屬,這裏為W選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與例如包括Si或B之汽化第一前驅物接觸;自表面移除過量第一前驅物及反應副產物(若存在);使基板表面與汽化第二前驅物(例如WF6)接觸;自表面移除過量第二前驅物及形成於基板之第一表面上的第一前驅物層與第二前驅物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已形成所需厚度之金屬(此處為W)薄膜為止。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,在沉積金屬,此處為W之前,非金屬的第二表面可經處理以提供OH封端表面,或可諸如藉由鈍化而去活化。
儘管說明之W沉積週期以基板與第一W前驅物接觸開始,但在其他實施例中沉積週期以基板與第二前驅物接觸開始。本領域的技術人員應瞭解基板表面與第一W前驅物及第二前驅物 接觸在沉積週期中可互換。
第一前驅物
在一些實施例中,將第一前驅物提供給基板,以使得相對於基板之不同的第二表面,在基板之金屬的第一表面上選擇性地形成一層。在一些實施例中,第一前驅物較佳包括矽或硼。在一些實施例中,在基板之第一表面上形成0.05奈米-4奈米厚的Si或B層。在一些實施例中,在基板之第一表面上形成0.1奈米-2奈米厚的Si或B層。在一些實施例中,可使用少於1奈米之Si或B。不束縛於理論,咸信相比於第二表面或絕緣體之反應性,基板上之金屬的第一表面可催化或幫助第一前驅物之吸收或分解。在一些實施例中,矽或硼在第一表面上之形成為自限性的,使得在暴露於反應物時形成至多一單層。在一些實施例中,矽或硼源化學物質可在第一表面上分解。
在一些實施例中,矽源化學物質由矽烷家族SinH2n+2(n等於或大於1)或環狀矽烷家族SinH2n(n等於或大於3)中選出。在一些實施例中,矽源包括矽烷或二矽烷。最佳地,矽烷為二矽烷Si2H6或三矽烷Si3H8。在一些實施例中,矽源可由具有下式之矽烷化合物中選出:SiHxLy,其中L為由包括烷基、烯基、炔基、烷氧化物以及胺之族群中選出的配位體。在一些情況下,L為由以下鹵基中選出之配位體:F、Cl、Br以及I。
在一些實施例中,第一前驅物包括硼。在一些實施例中,第一前驅物為二硼烷(B2H6)。二硼烷具有與一些矽烷類化合物類似之特性。舉例而言,二硼烷具有低於二矽烷之分解溫度,但熱穩定性與三矽烷(silcore)類似。
亦可使用包括硼之其他前驅物。大量硼化合物之可用性使得選擇具有所需特性之硼化合物成為可能。此外,可使用超過一種硼化合物。較佳地,使用一或多種以下硼化合物:根據式I或式II之硼烷BnHn+x (I)
其中n為1至10、較佳2至6之整數,且x為偶整數,較佳為4、6或8。
BnHm (II)
其中n為1至10、較佳2至6之整數,且m為不同於n的1至10、較佳2至6之整數。
以上根據式I之硼烷之實例包含巢式硼烷(BnHn+4)、網式硼烷(BnHn+6)以及敞網式硼烷(BnHn+8)。根據式II之硼烷之實例包含聯式硼烷(BnHm)。此外,可使用諸如(CH3CH2)3N-BH3之硼烷複合物。
硼烷鹵化物,尤其氟化物、溴化物以及氯化物。適合化合物之一實例為B2H5Br。其他實例包括具有高硼/鹵素比率之鹵化硼,諸如B2F4、B2C14以及B2Br4。亦有可能使用硼烷鹵素複合物。
根據式III之鹵代硼烷BnXn (III)
其中X為Cl或Br,且當X為Cl時n為4或8至12之整數,或當X為Br時n為7至10之整數。
根據式IV之碳硼烷C2BnHn+x (IV)
其中n為1至10、較佳2至6之整數,且x為偶整數, 較佳為2、4或6。
根據式IV之碳硼烷之實例包含閉式碳硼烷(C2BnHn+2)、巢式碳硼烷(C2BnHn+4)以及蛛式碳硼烷(C2BnHn+6)。
根據式V之胺-硼烷加合物R3NBX3 (V)
其中R為直鏈或分支鏈C1至C10,較佳為C1至C4烷基或H,且X為直鏈或分支鏈C1至C10,較佳為C1至C4烷基、H或鹵素。
其中B上之一或多個取代基為根據式VI之胺基的胺基硼烷R2N (VI)
其中R為直鏈或分支鏈C1至C10,較佳為C1至C4烷基或經取代或未經取代之芳基。
適合胺基硼烷之一實例為(CH3)2NB(CH3)2
環狀硼氮炔(-BH-NH-)3及其揮發性衍生物。
烷基硼或烷基硼烷,其中烷基通常為直鏈或分支鏈C1至C10烷基,較佳為C2至C4烷基。
在一些實施例中,第一前驅物包括鍺。在一些實施例中,鍺源化學物質由鍺烷家族GenH2n+2(n等於或大於1)或環狀鍺烷家族GenH2n(n等於或大於3)中選出。在一些較佳實施例中,鍺源包括鍺烷GeH4。在一些實施例中,鍺源可由具有下式之鍺烷化合物中選出:GeHxLy,其中L為由包括烷基、烯基、炔基、烷氧化物以及胺之族群中選出的配位體。在一些情況下,L為由以下鹵基中選出之配位體:F、Cl、Br以及I。
W前驅物
在一些實施例中,第二前驅物較佳包括W。在一些實施例中,第二前驅物包括W鹵化物(F、Cl、Br、I)。在一些實施例中,第二前驅物較佳包括氟。在一些實施例中,第二前驅物包括WF6
Al在金屬上之選擇性沉積
在一些實施例中,相對於同一基板之親水性表面,諸如鈍化表面,將Al選擇性地沉積在諸如Ni、Co、Cu、Al、W、Ru或其他貴金屬之金屬表面上。在一些實施例中,相對於不同的第二表面,將Al選擇性地沉積在Cu表面上。在一些實施例中,相對於不同的第二表面,將Al選擇性地沉積在Ni表面上。在一些實施例中,相對於不同的第二表面,將Al選擇性地沉積在Co表面上。在一些實施例中,相對於不同的第二表面,將Al選擇性地沉積在Al表面上。在一些實施例中,相對於不同的第二表面,將Al選擇性地沉積在Ru表面上。在一些實施例中,相對於不同的第二表面,將Al選擇性地沉積在貴金屬表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的Al沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,Al沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Al沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為 足夠的。
在一些實施例中,第二表面為親水性表面。在一些實施例中,親水性表面可包括至少一些OH基團。在一些實施例中,第二表面為-NHx封端表面。在一些實施例中,第二表面為-SHx封端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2
如先前論述,在一些實施例中,第二表面經處理以促進相對於第二表面Al在金屬表面上的選擇性沉積。舉例而言,第二表面可經處理以提供親水性OH封端表面。在一些實施例中,親水性OH封端表面可經處理以增加表面上OH基團之量。舉例而言,介電質表面可暴露於水蒸氣以便增加表面上OH基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經鼓泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沉積之前未經處理。
在一些實施例中,親水性表面諸如藉由在Al沉積之前鈍化而去活化。在一些實施例中,親水性表面之去活化可包括用其他基團替換至少OH基團。在一些實施例中,親水性介電質表面以鈍化化學物質處理以形成鈍化表面。舉例而言,親水性表面可在Al沉積之前經矽烷化或鹵化,諸如氯化或氟化。在一些實施例中,親水性表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,親水性表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,親水性表面可經處理以提供H封端表面, 例如-SiH3表面。舉例而言,在一些實施例中,親水性表面可與提供H封端表面的化學物質接觸。
如上文所提及,本文中所描述之製程能夠使用ALD類型沉積技術來選擇性地沉積Al。ALD類型沉積製程主要受表面控制(基於第一基板表面之受控反應),且因此具有在相對較低溫度下提供高保形性之優點。然而,在一些實施例中,Al前驅物可至少部分地分解。因此,在一些實施例中,本文中所描述之ALD類型製程為未觀測到前驅物分解之純ALD製程。在其他實施例中,反應條件(諸如反應溫度)經選擇以使得達成純ALD製程且無前驅物分解發生。
在一些實施例中,Al藉由氣相沉積製程選擇性地沉積。在一些實施例中,使用包括Al-H化合物之鋁前驅物。在一些實施例中,藉由諸如《金屬CVD化學》,托維‧柯達斯及馬克‧哈姆普頓-史密斯編,魏因海姆;VCH,1994,ISBN 3-527-29071-0,第2.6.6章,第57頁及第83頁(The Chemistry of Metal CVD,edited by Toivo Kodas and Mark Hampden-Smith,Weinheim;VCH,1994,ISBN 3-527-29071-0,section 2.6.6,pp.57 and 83)(其揭露內容全文併入本文中)中所述之製程的製程將Al選擇性地沉積。蒸汽沉積Al之其他方法為本領域中已知且可適於相對於不同的第二表面,將Al選擇性地沉積在金屬的第一表面上。
在一些實施例中,Al藉由氣相沉積製程選擇性地沉積。在一些實施例中,Al藉由週期沉積製程選擇性地沉積。在一些實施例中,藉由包括交替且依序使基板與第一Al前驅物及第二反應物接觸之ALD類型製程,相對於基板之不同的第二表面,將Al 選擇性地沉積在基板之第一表面上。
在一些實施例中,提供包括第一表面及第二表面之基板且藉由包括多個週期之週期沉積製程,將金屬,這裏為Al選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一金屬前驅物(例如DMAH或DMEAA)接觸;自表面移除過量第一金屬前驅物及反應副產物(若存在);使基板表面與汽化第二反應物(例如H2)接觸;自表面移除過量第二反應物及形成於基板之第一表面上的第一金屬前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已形成所需厚度之金屬(此處為Al)薄膜為止。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,在沉積金屬,此處為Al之前,非金屬的第二表面可經處理以提供OH封端表面,或可諸如藉由鈍化而去活化。
儘管說明之Al沉積週期以基板與第一Al前驅物接觸開始,但在其他實施例中沉積週期以基板與第二反應物接觸開始。本領域的技術人員應瞭解基板表面與第一Al前驅物及第二反應物接觸在沉積週期中可互換。
當Al前驅物接觸基板時,Al前驅物可形成至少一個單 層、少於一個單層或多於一個單層。
在一些實施例中,Al前驅物包括Al-H化合物。在一些實施例中,Al前驅物包括氫化鋁。在一些實施例中,Al前驅物包括三甲胺氫化鋁(TMAA)、三甲胺氫化鋁(TEAA)以及二甲基乙基胺氫化鋁(DMEAA)中之至少一者。在一些實施例中,Al前驅物是由以下各者中選出:三甲基鋁(TMA)、三乙基鋁(TEA)、三異丁基鋁(TIBA)、氯化二乙基鋁(DEACl)、氫化二甲基鋁(DMAH)、三甲胺氫化鋁(TMAA)、三甲胺氫化鋁(TEAA)、二甲基乙基胺氫化鋁(DMEAA)以及三甲胺氧化鋁硼烷(TMAAB)。可藉助於諸如氬氣之惰性載氣提供Al前驅物。
在一些實施例中,第二反應物包括氫。在一些實施例中,第二反應物包括氫氣。
Cu在金屬上之選擇性沉積
在一些實施例中,相對於同一基板之親水性表面,諸如鈍化表面,將Cu選擇性地沉積在諸如Ni、Co、Cu、Al、Ru或其他貴金屬之金屬表面上。在一些實施例中,相對於不同的第二表面,將Cu選擇性地沉積在Cu表面上。在一些實施例中,相對於不同的第二表面,將Cu選擇性地沉積在Ni表面上。在一些實施例中,相對於不同的第二表面,將Cu選擇性地沉積在Co表面上。在一些實施例中,相對於不同的第二表面,將Cu選擇性地沉積在Al表面上。在一些實施例中,相對於不同的第二表面,將Cu選擇性地沉積在Ru表面上。在一些實施例中,相對於不同的第二表面,將Cu選擇性地沉積在貴金屬表面上。
在一些實施例中,相對於基板之第二表面在基板之第一 表面上的Cu沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,Cu沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的Cu沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,第二表面為親水性表面。在一些實施例中,親水性表面可包括至少一些OH基團。在一些實施例中,第二表面為-NHx封端表面。在一些實施例中,第二表面為-SHx封端表面。在一些實施例中,親水性表面為介電質表面。在一些實施例中,親水性表面可包括SiO2、低k材料或GeO2
如先前論述,在一些實施例中,第二表面經處理以促進相對於第二表面Cu在金屬表面上的選擇性沉積。舉例而言,第二表面可經處理以提供親水性OH封端表面。在一些實施例中,親水性OH封端表面可經處理以增加表面上OH基團之量。舉例而言,介電質表面可暴露於水蒸氣以便增加表面上OH基團之數目。另一實例包含將介電質表面暴露於在25℃與40℃之間的溫度下流經鼓泡器的載氣。在一些實施例中,介電質表面暴露於空氣以便提供包括至少一些OH基團之親水性表面。在一些實施例中,親水性表面在沉積之前未經處理。
在一些實施例中,親水性表面諸如藉由在Cu沉積之前鈍化而去活化。在一些實施例中,親水性表面之去活化可包括以其 他基團替代至少OH基團。在一些實施例中,親水性介電質表面以鈍化化學物質處理以形成鈍化表面。舉例而言,親水性表面可在Cu沉積之前經矽烷化或鹵化,諸如氯化或氟化。在一些實施例中,親水性表面可經處理以形成矽烷化表面,諸如矽烷化-Si-(CH3)x或-Si(CH3)3表面。在一些實施例中,親水性表面可經處理以形成鹵化表面,諸如氯化或氟化表面。舉例而言,鹵化表面可為Si-Cl表面。在一些實施例中,親水性表面可經處理以提供H封端表面,例如-SiH3表面。舉例而言,在一些實施例中,親水性表面可與提供H封端表面的化學物質接觸。
如上文所提及,本文中所描述之製程能夠使用ALD類型沉積技術來選擇性地沉積Cu。ALD類型沉積製程主要受表面控制(基於第一基板表面之受控反應),且因此具有在相對較低溫度下提供高保形性之優點。然而,在一些實施例中,Cu前驅物可至少部分地分解。因此,在一些實施例中,本文中所描述之ALD類型製程是觀測不到前驅物分解的純ALD製程。在其他實施例中,反應條件(諸如反應溫度)經選擇以使得達成純ALD製程且無前驅物分解發生。
在一些實施例中,藉由週期沉積製程選擇性地沉積Cu。在一些實施例中,可藉由如林伯庸,安提‧拉赫圖,羅伊‧戈登,《自然材料》,第2卷,2003年11月,www.nature.com/naturematerials(Booyong S Lim,Antti Rahtu,Roy G Gordon,Nature Materials,Vol.2,NOVEMBER 2003,www.nature.com/naturematerials)(其揭露內容全文併入本文中)中揭露,使N,N'-二-第二丁基乙脒Cu(I)[Cu(sec-Bu2-AMD)]2分解來選擇性地沉積Cu。
在一些實施例中,藉由ALD類型沉積製程選擇性地沉積Cu。在一些實施例中,藉由週期沉積製程選擇性地沉積Cu。在一些實施例中,藉由包括交替且依序使基板與第一Cu前驅物及第二反應物接觸之ALD類型製程,相對於基板之不同的第二表面,將Cu選擇性地沉積在基板之第一表面上。
在一些實施例中,提供包括第一表面及第二表面之基板且藉由包括多個週期之ALD類型沉積製程,將金屬,這裏為Cu選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一金屬前驅物(例如乙脒銅)接觸;自表面移除過量第一金屬前驅物及反應副產物(若存在);使基板表面與汽化第二反應物(例如H2)接觸;自表面移除過量第二反應物及形成於基板之第一表面上的第一金屬前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已形成所需厚度之金屬(此處為Cu)薄膜為止。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,在沉積金屬,此處為Cu之前,非金屬的第二表面可經處理以提供OH封端表面,或可諸如藉由鈍化而去活化。
儘管說明之Cu沉積週期以基板與第一Cu前驅物接觸開始,但在其他實施例中沉積週期以基板與第二反應物接觸開始。 本領域的技術人員應瞭解基板表面與第一Cu前驅物及第二反應物接觸在ALD週期中可互換。
當Cu前驅物接觸基板時,Cu前驅物可形成至少一個單層、少於一個單層或多於一個單層。
在一些實施例中,Cu前驅物包括乙脒銅或其衍生物。在一些實施例中,Cu前驅物包括N,N'-二-第二丁基乙脒Cu(I)[Cu(sec-Bu2-AMD)]2。可借助於諸如氬氣之惰性載氣提供Cu前驅物。
在一些實施例中,第二反應物包括氫。在一些實施例中,第二反應物包括氫氣。
金屬或金屬氧化物在介電質上之選擇性沉積
如上文所提及,在一些實施例中,相對於同一基板之不同的第二表面,諸如導電表面、金屬表面或H封端表面,將金屬或金屬氧化物材料選擇性地沉積在基板之第一親水性表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的金屬或金屬氧化物沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,金屬或金屬氧化物沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的金屬或金屬氧化物沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,第二表面經處理或去活化,以抑制金 屬或金屬氧化物在其上的沉積。舉例而言,金屬表面可藉由氧化來處理以提供金屬氧化物表面。在一些實施例中,Cu、Ru、W、Al、Ni、Co或其他貴金屬表面經氧化以促進相對於Cu、Ru、W、Al、Ni、Co或其他貴金屬表面在介電質表面上的選擇性沉積。在一些實施例中,第二表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為W表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其經處理之後不再導電。舉例而言,導電表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,第二表面不為親水性表面。在一些實施例中,親水性表面可經處理使得其不再為親水性表面。在一些實施例中,第二表面為Si表面。在一些實施例中,第二表面為H封端表面。在一些實施例中,第二表面例如藉由與提供-SiH3封端表面之化學物質接觸來處理。在一些實施例中,Si表面在金屬或金屬氧化物沉積於第一表面上之前經處理。
在一些實施例中,金屬的第二表面在金屬或金屬氧化物沉積於第一表面上之前經氧化。在一些實施例中,金屬的第二表面在沉積製程開始時(例如在沉積週期之第一階段期間)經氧化。 在一些實施例中,金屬的第二表面在沉積週期之第一階段之前經氧化。
在一些實施例中,第二表面可經鈍化以抑制在其上之沉積。在一些實施例中,例如,第二表面可用烷基矽烷基鈍化。舉例而言,在一些實施例中,第二表面經鈍化以使得其包括烷基矽烷基,以便促進相對於第二表面在介電質表面上的選擇性沉積。鈍化可促進相對於經處理之金屬表面在介電質表面上的選擇性沉積。舉例而言,氧化物在金屬表面上之沉積可藉由鈍化而抑制。在一些實施例中,鈍化不包含SAM或具有長碳鏈之類似單層在金屬表面上的形成。
在一些實施例中,相對於第二表面在基板之第一表面上選擇性地沉積的材料為金屬。在一些實施例中,相對於第二表面在基板之第一表面上選擇性地沉積的材料為金屬氧化物。在一些實施例中,選擇性地沉積之金屬為Fe。在一些實施例中,選擇性地沉積之金屬氧化物為Ni、Fe或Co氧化物。在一些實施例中,選擇性地沉積之金屬為Ni。在一些實施例中,選擇性地沉積之金屬為Co。在一些實施例中,金屬氧化物之選擇性沉積可藉由氧化選擇性沉積之金屬而達成。在一些實施例中,金屬首先選擇性地沉積且隨後經氧化以形成金屬氧化物。在一些實施例中,金屬在選擇性地沉積之後未經氧化。在一些實施例中,選擇性地沉積之金屬氧化形成金屬氧化物亦可在金屬氧化物上產生OH表面封端。在一些實施例中,氧化可在基板上產生OH表面封端。在一些實施例中,氧化可在金屬氧化物表面與基板之第二表面上均產生OH表面封端。
ALD類型選擇性製程(諸如如圖2中所示及上文所描述之製程)可用以相對於第二表面在基板之第一表面上選擇性地沉積金屬或金屬氧化物。在一些實施例中,第一前驅物為第一金屬前驅物。在一些實施例中,第一前驅物為第一金屬氧化物前驅物。在一些實施例中,第二反應物包括氧源。在一些實施例中,第二反應物包括如本文關於介電質在介電質上之選擇性沉積所描述的氧源。
在一些實施例中,相對於同一基板之不同的第二表面,將金屬選擇性地沉積在基板之第一表面上。在一些實施例中,藉由金屬前驅物分解將金屬選擇性地沉積。在一些實施例中,藉由金屬化合物之吸收,接著金屬化合物氧化形成金屬氧化物來選擇性地沉積金屬氧化物。在一些實施例中,藉由金屬化合物之自限性吸收,接著金屬化合物氧化形成金屬氧化物之至多一分子層來選擇性地沉積金屬氧化物。
在一些實施例中,相對於同一基板之介電質表面,將Ni選擇性地沉積在基板之第二表面上。在一些實施例中,藉由雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)分解來選擇性地沉積Ni。在一些實施例中,藉由諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)之Ni化合物分解,接著Ni化合物氧化形成NiO來選擇性地沉積NiO。在一些實施例中,藉由諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)之Ni化合物的自限性吸收,接著Ni化合物氧化形成至多NiO分子層來選擇性地沉積NiO。
適合鎳前驅物可藉由本領域的技術人員選擇。一般而言,金屬與氧、氮、碳或其組合結合或配位之鎳化合物為較佳。 在一些實施例中,鎳前驅物為有機化合物。在一些實施例中,鎳前驅物為有機金屬化合物。在一些實施例中,鎳前驅物為包括雙齒配位體之金屬有機化合物。在一些實施例中,鎳前驅物為雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)。
在一些實施例中,鎳前驅物可由以下各者構成之族群中選出:β二酮酸鎳化合物、β雙烯酮亞胺基鎳化合物、胺基烷氧化鎳化合物、脒化鎳化合物、鎳環戊二烯基化合物、鎳羰基化合物以及其組合。在一些實施例中,使用X(acac)y或X(thd)y化合物,其中X為金屬,y一般但不一定在2與3之間且thd為2,2,6,6-四甲基-3,5-庚二酮基。適合β雙烯酮亞胺基(例如Ni(pda)2)化合物之一些實例在美國專利公開案第2009-0197411 A1號中提及,所述專利公開案之揭露內容全文併入本文中。適合脒化物化合物(Ni(iPr-AMD)2)之一些實例在美國專利公開案第2006-0141155 A1號中提及,所述專利公開案之揭露內容全文併入本文中。
鎳前驅物亦可包括一或多種鹵化物配位體。在較佳實施例中,前驅物為β雙烯酮亞胺基鎳化合物,諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)[Ni(EtN-EtN-pent)2];酮亞胺基鎳,諸如雙(3Z)-4-正丁基胺基-戊-3-烯-2-酮-鎳(II);脒化鎳化合物,諸如甲基環戊二烯基-異丙基乙醯胺基-鎳(II);β二酮酸鎳化合物,諸如Ni(acac)2、Ni(thd)2或鎳環戊二烯基化合物,諸如Ni(Cp)2、Ni(MeCp)2、Ni(EtCp)2或其衍生物,諸如甲基環戊二烯基-異丙基乙醯胺基-鎳(II)。在更佳實施例中,前驅物為雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)。
在一些實施例中,第一Ni前驅物為雙(4-N-乙胺基-3-戊 烯-2-N-乙亞胺基)鎳(II)。
在一些實施例中,用於相對於第二表面在基板之第一表面上沉積Co或Co氧化物的選擇性沉積製程之第一前驅物為Co前驅物。在一些實施例中,Co前驅物為Co β-二酮亞胺基化合物。在一些實施例中,Co前驅物為Co酮亞胺基化合物。在一些實施例中,Co前驅物為Co脒化物化合物。在一些實施例中,Co前驅物為Co β-二酮酸鹽化合物。在一些實施例中,Co前驅物含有至少一種酮亞胺配位體或其衍生物。在一些實施例中,Co前驅物含有至少一種脒配位體或其衍生物。在一些實施例中,Co前驅物含有至少一種酮酸鹽配位體或其衍生物。
在一些實施例中,用於相對於第二表面在基板之第一表面上沉積Fe或Fe氧化物的選擇性沉積製程之第一前驅物為Fe前驅物。在一些實施例中,Fe前驅物為Cp2Fe或其衍生物。在一些實施例中,Fe前驅物含有至少一種環戊二烯基配位體(Cp)、經取代之環戊二烯基配位體或其衍生物。在一些實施例中,Fe前驅物含有至少一種羰基配位體(-CO)或其衍生物。在一些實施例中,Fe前驅物含有至少一種羰基配位體(-CO)及至少一種有機配位體,諸如環戊二烯基配位體(Cp)或經取代之環戊二烯基配位體或其衍生物。在一些實施例中,Fe前驅物為Fe(acac)2。在一些實施例中,Fe前驅物為Fe烷氧化物,諸如第三丁醇鐵(III)(Fe2(OtBu)6)。在一些實施例中,Fe前驅物為Fe(CO)5
在一些實施例中,用於選擇性地沉積金屬或金屬氧化物之ALD製程中的第二反應物由氫氣及合成氣體中選出。在其他實施例中,第二反應物可為醇,諸如EtOH。
在一些實施例中,第二反應物為有機還原劑。有機還原劑較佳具有至少一種由以下各者所構成之族群中選出的官能基:如上文所提及之醇(-OH)或醛(-CHO)或羧酸(-COOH)。
含有至少一個醇基之還原劑可由以下各者所構成之族群中選出:一級醇、二級醇、三級醇、多元醇、環狀醇、芳族醇、鹵化醇以及醇之其他衍生物。
較佳一級醇具有附接於與另一碳原子鍵結之碳原子的-OH基團,詳言之為根據通式(I)之一級醇:R1-OH (I)
其中R1為直鏈或分支鏈C1-C20烷基或烯基,較佳為甲基、乙基、丙基、丁基、戊基或己基。較佳一級醇之實例包含甲醇、乙醇、丙醇、丁醇、2-甲基丙醇以及2-甲基丁醇。
較佳二級醇具有附接至與兩個其他碳原子鍵結之碳原子的-OH基團。詳言之,較佳二級醇具有通式(II):
其中各R1獨立地由直鏈或分支鏈C1-C20烷基及烯基之族群中選出,較佳為甲基、乙基、丙基、丁基、戊基或己基。較佳二級醇之實例包含2-丙醇及2-丁醇。
較佳三級醇具有附接至與三個其他碳原子鍵結之碳原子的-OH基團。詳言之,較佳三級醇具有通式(III):
其中各R1獨立地由直鏈或分支鏈C1-C20烷基及烯基之 族群中選出,較佳為甲基、乙基、丙基、丁基、戊基或己基。較佳三級醇之一實例為第三丁醇。
較佳多元醇,諸如二醇及三醇,具有如上所述之一級、二級及/或三級醇基。較佳多元醇之實例為乙二醇及丙三醇。
較佳環狀醇具有附接於至少一個作為1個碳原子至10個碳原子、更佳5個碳原子至6個碳原子環之一部分之碳原子的-OH基團。
較佳芳族醇具有至少一個附接於苯環或側鏈中之碳原子的-OH基團。較佳芳族醇之實例包含苯甲醇、鄰、對及間甲酚以及間苯二酚。
較佳鹵化醇具有通式(IV):CHnX3-n-R2-OH (IV)
其中X是由F、Cl、Br以及I所構成的族群中選出,n為0至2之整數且R2是由直鏈或分支鏈C1-C20烷基及烯基之族群中選出,較佳為甲基、乙基、丙基、丁基、戊基或己基。更佳地,X是由F及Cl所構成的族群中選出且R2是由甲基及乙基所構成的族群中選出。較佳鹵化醇之一實例為2,2,2-三氟乙醇。
可使用之醇的其他衍生物包含胺,諸如甲基乙醇胺。
含有至少一個醛基(-CHO)之較佳還原劑是由以下各者構成之族群中選出:具有通式(V)之化合物、具有通式(VI)之烷二醛化合物、鹵化醛以及醛之其他衍生物。
因此,在一些實施例中,還原劑為具有通式(V)之醛:R3-CHO (V)
其中R3是由氫及直鏈或分支鏈C1-C20烷基及烯基所構 成之族群中選出,較佳為甲基、乙基、丙基、丁基、戊基或己基。更佳地,R3是由甲基或乙基所構成的族群中選出。根據式(V)之較佳化合物之實例為甲醛、乙醛以及丁醛。
在其他實施例中,還原劑為具有通式(VI)之醛:OHC-R4-CHO (VI)
其中R4為直鏈或分支鏈C1-C20飽和或不飽和烴。或者,醛基可直接彼此鍵結(R4不存在)。
含有至少一個-COOH基團之還原劑可由以下各者所構成之族群中選出:通式(VII)之化合物、聚羧酸、鹵化羧酸以及羧酸之其他衍生物。
因此,在一些實施例中,較佳還原劑為具有通式(VII)之羧酸:R5-COOH (VII)
其中R5為氫或直鏈或分支鏈C1-C20烷基或烯基,較佳為甲基、乙基、丙基、丁基、戊基或己基,更佳為甲基或乙基。根據式(VII)之較佳化合物之實例為甲酸及乙酸,最佳為甲酸(HCOOH)。
介電質在介電質上之選擇性沉積
如上文所提及,在一些實施例中,相對於同一基板之不同的第二表面,諸如導電表面、金屬表面或H封端表面,將介電材料選擇性地沉積在基板之介電質的第一表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的介電質沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中, 介電質沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的介電質沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,第二表面經處理或去活化,以抑制介電質在其上的沉積。舉例而言,金屬表面可藉由氧化來處理以提供金屬氧化物表面。在一些實施例中,Cu、Ru、Al、Ni、Co或其他貴金屬表面經氧化以促進相對於Cu、Ru、Al、Ni、Co或其他貴金屬表面在介電質表面上的選擇性沉積。在一些實施例中,第二表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其經處理之後不再導電。舉例而言,導電表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,金屬的第二表面在介電質沉積於第一表面上之前經氧化。在一些實施例中,金屬的第二表面在沉積製程開始時(例如在沉積週期之第一階段期間)經氧化。在一些實 施例中,金屬的第二表面在沉積週期之第一階段之前經氧化。在一些實施例中,金屬的第二表面藉由氧源有目的地氧化。在一些實施例中,金屬的第二表面在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,金屬的第二表面含有已沉積之氧化物。
在一些實施例中,第二表面可經鈍化以抑制在其上之沉積。在一些實施例中,例如,第二表面可用烷基矽烷基鈍化。舉例而言,在一些實施例中,第二表面經鈍化以使得其包括烷基矽烷基,以便促進相對於第二表面在介電質表面上的選擇性沉積。鈍化可促進相對於經處理之金屬表面在介電質表面上的選擇性沉積。舉例而言,氧化物在金屬的第一表面上之沉積可藉由鈍化而抑制。在一些實施例中,鈍化不包含SAM或具有長碳鏈之類似單層在金屬表面上的形成。
如上文所提及,在一些實施例中,相對於同一基板之不同的第二表面,諸如金屬表面,將介電材料選擇性地沉積在基板之介電質的第一表面上。在一些實施例中,金屬的第二表面經處理或去活化,以抑制介電質在其上的沉積。舉例而言,金屬表面可藉由氧化來處理以提供金屬氧化物表面。在一些實施例中,Cu、Ru或其他貴金屬表面經氧化以促進相對於Cu或Ru表面在介電質表面上的選擇性沉積。在一些實施例中,金屬表面可例如用烷基矽烷基鈍化。舉例而言,在一些實施例中,Sb表面經鈍化以使得其包括烷基矽烷基,以便促進相對於Sb表面在介電質表面上的選擇性沉積。
GeO2在介電質上的選擇性沉積
可藉由ALD類型製程相對於基板之不同的第二表面將 GeO2沉積在同一基板之介電質的第一表面上。在一些實施例中,第二表面可為導電表面、金屬表面或H封端表面。在一些實施例中,GeO2藉由如2013年3月13日申請之美國申請案第13/802,393號中所描述的方法沉積,所述申請案以引用的方式併入本文中。在一些實施例中,介電質表面為親水性OH封端表面。然而,在一些實施例中,介電質表面可包括Si-H基團。舉例而言,介電質表面可為SiO2表面、包括OH基團之低k表面、Si-H表面或GeO2表面。第二表面可為例如Cu、Ru、Al、Ni、Co或其他貴金屬表面。在一些實施例中,第二表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。如上文所論述,在一些實施例中,介電質表面可經處理以增加表面上OH基團之量。在一些實施例中,第二表面可為氧化物。在一些實施例中,第二表面可為已氧化之金屬表面。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其經處理之後不再導電。舉例而言,導電表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,金屬的第二表面藉由氧源有目的地氧化。在一些實施例中,金屬的第二表面已在環境空氣中氧化及/或 含有原生氧化物。在一些實施例中,金屬的第二表面含有已沉積之氧化物。
如先前論述,在一些實施例中,金屬表面在沉積之前經氧化以便促進相對於金屬表面GeO2在介電質表面上的選擇性沉積。在一些實施例中,選擇性沉積製程中之第二反應物可用以氧化金屬表面。因此,在一些實施例中,首先在初始ALD週期中,或在第一ALD週期之前提供第二反應物。在一些實施例中,金屬表面在開始選擇性沉積製程之前經氧化。
在一些實施例中,金屬表面在沉積之前經鈍化以便促進相對於另一表面(諸如包括金屬之表面)GeO2在介電質表面上的選擇性沉積。舉例而言,金屬表面可具備烷基矽烷基。在一些實施例中,另一表面可在GeO2在介電質表面上選擇性沉積之前較佳用烷基胺鈍化化合物鈍化。在一些其他實施例中,另一表面可在GeO2在介電質表面上選擇性沉積期間較佳用烷基胺鈍化前驅物鈍化。在一些實施例中,烷基胺鈍化前驅物可在Ge前驅物與第二反應物之脈衝之間,或在各週期之前或之後,或在每第n個週期之前或之後脈衝至反應室中,其中n為可視製程條件、反應器、基板表面以及所需選擇性沉積之膜的特性而定的數目。所需之鈍化頻率可視製程條件、反應器、基板表面以及選擇性地沉積之膜的特性而定。在一些實施例中,在各GeO2選擇性沉積週期期間可進行表面鈍化,例如烷基胺前驅物可在GeO2選擇性沉積週期期間接觸基板,或HCOOH可在GeO2選擇性沉積週期期間接觸基板,或包括烷基胺之前驅物與HCOOH可在GeO2沉積週期期間接觸基板。在一些實施例中,可使用超過一種鈍化前驅物。用於鈍化之 示例性烷基胺鈍化前驅物可具有下式:H-(NRIRII)
其中RI可獨立地由以下各者所構成的族群中選出:氫、烷基以及經取代之烷基,較佳為C1-C4烷基;且RII可獨立地由以下各者所構成的族群中選出:烷基及經取代之烷基,較佳為C1-C4烷基。
特定示例性烷基胺鈍化前驅物包含H-N(Me2)、H-N(EtMe)以及H-N(Et)2
在一些實施例中,相對於基板之第二表面在基板之第一表面上的GeO2沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,GeO2沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的GeO2沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,提供包括第一表面及第二表面之基板,且藉由包括多個週期之ALD類型沉積製程,將介電質,此處為GeO2選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一前驅物(例如Ge-烷基醯胺)接觸;自表面移除過量第一前驅物及反應副產物(若存在);使基板表面與汽化第二反應物(例如H2O)接觸;自表面移除過量第二反應物及形成於基板之第一表面上 的第一前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已在基板之第一表面上形成所需厚度之介電質(此處GeO2)薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,金屬的第二表面諸如藉由在介電質,此處為GeO2沉積之前鈍化或氧化而去活化。
在一些實施例中,鍺氧化物(較佳為GeO2)藉由交替且依序使基板與Ge前驅物及第二反應物(諸如水、臭氧、氧電漿、氧自由基或氧原子)接觸來沉積。在一些實施例中,第二反應物不為水。Ge前驅物較佳包括Ge(OEt)4或TDMAGe。
ALD類型製程中採用之Ge前驅物在標準條件(室溫及大氣壓)下可為固體、液體或氣體材料,其限制條件為Ge前驅物在其與基板表面接觸之前處於氣相中。基板表面與汽化前驅物接觸意謂前驅物蒸氣與基板表面接觸有限時段。接觸時間通常為約0.05秒至約10秒。然而,視基板類型及其表面積而定,接觸時間可甚至高於約10秒。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面移除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,不進行移除步驟。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,基板自含有第一前驅物之腔室的一部分移動至含有第 二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
較佳地,對於單一晶圓ALD反應器中之300毫米晶圓,基板表面與Ge前驅物接觸約0.05秒至約10秒、更佳約0.1秒至約5秒且最佳約0.3秒至約3.0秒。基板表面與第二前驅物接觸較佳約0.05秒至約10秒,更佳約0.1秒至約5秒,最佳約0.2秒至約3.0秒。然而,在一些情況下,接觸時間可為約數分鐘。本領域的技術人員可基於特定情形容易地確定最佳接觸時間。
如上文所提及,在一些實施例中,Ge前驅物為Ge(OEt)4或TDMAGe。在一些實施例中,在Ge前驅物為乙醇鹽前驅物之情況下,第一表面可包括Si-H基團。下文描述可用於一些實施例中之其他可能的鍺前驅物。在一些實施例中,Ge前驅物為Ge(OMe)4。在一些實施例中,Ge前驅物不為鹵化物。在一些實施例中,Ge前驅物可在至少一種配位體但非全部配位體中包括鹵素。
在某些較佳實施例中,藉由包括多個週期之ALD類型製程,相對於基板之不同的第二表面將GeO2選擇性地沉積在基板之第一表面上,每一週期包括交替且依序使基板與氣相Ge-烷基醯胺及包括水之第二反應物接觸。
在某些較佳實施例中,藉由包括多個週期之ALD類型製程,相對於基板之不同的第二表面將GeO2選擇性地沉積在基板之第一表面上,每一週期包括交替且依序使基板與具有式Ge(NRIRII)4的氣相Ge前驅物及包括水之第二反應物接觸,其中RI可獨立地由氫、烷基以及經取代之烷基構成之族群中選出,其中RI可較佳地獨立地由以下各者構成之族群中選出:C1-C3烷 基,諸如甲基、乙基、正丙基以及異丙基,最佳為甲基或乙基;且其中RII可獨立地由以下各者構成之族群中選出:烷基及經取代之烷基,其中RII可較佳地獨立地由以下各者構成之族群中選出:C1-C3烷基,諸如甲基、乙基、正丙基以及異丙基,最佳為甲基或乙基。
第二反應物可為含氧氣體脈衝且可為氧氣與惰性氣體(諸如氮氣或氬氣)之混合物。在一些實施例中,第二反應物可為含分子氧氣體。第二反應物氣體之較佳氧含量為約10%至約25%。因此,在一些實施例中,第二反應物可為空氣。在一些實施例中,第二反應物為分子氧。在一些實施例中,第二反應物包括經活化或激發之氧物質。在一些實施例中,第二反應物包括臭氧。第二反應物可為純臭氧或臭氧、分子氧以及另一氣體(例如惰性氣體(諸如氮氣或氬氣))之混合物。臭氧可藉由臭氧產生器產生且其最佳藉助於某種惰性氣體(諸如氮氣)或藉助於氧氣來引入反應空間中。在一些實施例中,提供濃度為約5體積%至約40體積%,且較佳約15體積%至約25體積%的臭氧。在其他實施例中,第二反應物為氧電漿。
在一些實施例中,基板表面與臭氧或臭氧與另一氣體之混合物接觸。在其他實施例中,臭氧例如藉由經由電弧對含氧氣體導電而形成於反應器內部。在其他實施例中,含氧電漿形成於反應器中。在一些實施例中,電漿可在基板頂上或緊密接近基板原位形成。在其他實施例中,在反應室上游之遠端電漿產生器中形成電漿且將電漿產物導引至反應室中以與基板接觸。如本領域的技術人員將瞭解,在遠端電漿之情況下,可使通向基板之路徑 最佳化,以使在到達基板之前電中性物質增到最大且使離子之存在減至最少。
在一些實施例中,第二反應物為除水以外之第二反應物。因此,在一些實施例中,不在用於選擇性地沉積GeO2之任何ALD週期中提供水。
大量不同Ge前驅物可用於選擇性沉積製程。在一些實施例中,Ge前驅物為四價(亦即,Ge具有+IV之氧化態)。在一些實施例中,Ge前驅物不為二價(亦即,Ge具有+II之氧化態)。在一些實施例中,Ge前驅物可包括至少一種烷氧化物配位體。在一些實施例中,Ge前驅物可包括至少一種胺或烷基胺配位體。在一些實施例中,Ge前驅物為金屬-有機或有機金屬化合物。在一些實施例中,Ge前驅物包括至少一種鹵化物配位體。在一些實施例中,Ge前驅物不包括鹵化物配位體。
舉例而言,在一些實施例中,可使用來自如先前在上文論述的式(1)至式(9)之Ge前驅物。
在一些實施例中,Ge前驅物包括至少一種胺或烷基胺配位體,諸如在式(2)至式(6)以及式(8)及式(9)中存在之彼等配位體,且氧前驅物包括水。
在一些實施例中,Ge前驅物包括至少一種烷氧基、胺或烷基胺配位體。在一些實施例中,GeO2藉由ALD製程,使用水及Ge烷基胺前驅物來沉積。在一些實施例中,Ge前驅物為Ge(NMe2)4或Ge(NEt2)4或Ge(NEtMe)4
如上文所論述,開始沉積膜之前,通常將基板加熱至適合生長溫度。較佳沉積溫度可視多個因素而變化,諸如且不限於 反應物前驅物、壓力、流速、反應器配置以及包括上面所沉積材料之性質的基板組成。
加工時間視將產生之層之厚度及膜之生長速率而定。在ALD中,薄膜之生長速率測定為每一週期之厚度增加量。一個週期由前驅物之接觸步驟及移除步驟組成且一個週期之持續時間通常在約0.2秒與約30秒之間,更佳在約1秒與約10秒之間,但在一些情況下,例如在存在大表面積及體積的情況下,其可為約數分鐘或更大。
在一些實施例中,形成之GeO2膜為純GeO2膜。較佳地,除微量雜質以外,膜中不存在其他金屬或半金屬元素。在一些實施例中,膜包括小於1原子%除Ge以外之金屬或半金屬。在一些實施例中,GeO2膜為化學計算量。在一些實施例中,純GeO2膜包括小於5原子%除氫以外之任何雜質,較佳包括小於約3原子%除氫以外之任何雜質,且更佳包括小於約1原子%除氫以外之任何雜質。
在一些實施例中,在具有高縱橫比之結構中形成之GeO2膜具有大於約80%,更佳大於約90%,且最佳大於約95%的步階覆蓋。在一些實施例中,當將特徵之深度或高度與寬度比較時,高縱橫比結構具有大於約3:1之縱橫比。在一些實施例中,結構具有大於約5:1之縱橫比,或甚至10:1或更大之縱橫比。
SiO2在介電質上之選擇性沉積
可藉由原子層沉積類型製程,相對於同一基板之第二表面,將SiO2沉積在基板之介電質的第一表面上。在一些實施例中,介電質表面為親水性OH封端表面。舉例而言,介電質表面可為 SiO2表面、低k表面(較佳包括OH基團)或GeO2表面。在一些實施例中,第二表面可為導電表面、金屬表面或H封端表面。第二表面可為例如Cu、Ru、Al、Ni、Co或其他貴金屬表面。在一些實施例中,第二表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。如上文所論述,在一些實施例中,介電質表面可經處理以增加表面上OH基團之量。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其經處理之後不再導電。舉例而言,導電表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,金屬的第二表面藉由氧源有目的地氧化。在一些實施例中,金屬的第二表面已在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,金屬的第二表面含有已沉積之氧化物。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的SiO2沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,SiO2沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的SiO2沉積為 至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,其對於一些特定應用而言選擇性可為足夠的。
在一個較佳實施例中,SiO2藉由ALD類型製程,使用胺基矽烷作為Si前驅物及臭氧作為第二反應物來選擇性地沉積。在一些實施例中,SiO2藉由ALD製程,使用臭氧及胺基矽烷(諸如雙(二乙胺基)矽烷前驅物)來沉積。此等方法為本領域中已知且可用以相對於金屬選擇性地沉積於介電材料上。
在一些實施例中,金屬表面在沉積之前經氧化以便促進相對於金屬表面SiO2在介電質表面上的選擇性沉積。在一些實施例中,選擇性沉積製程中之氧源可用以氧化金屬表面。因此,在一些實施例中,首先在初始ALD週期中,或在第一ALD週期之前提供第二反應物。在一些實施例中,金屬表面在開始選擇性沉積製程之前經氧化。
在一些實施例中,金屬表面在沉積之前經鈍化以便促進相對於金屬表面SiO2在介電質表面上的選擇性沉積。舉例而言,金屬表面可具備烷基矽烷基。
在一些實施例中,提供包括第一表面及第二表面之基板,且藉由包括多個週期之ALD類型沉積製程,將介電質,此處為SiO2選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一前驅物(例如胺基矽烷)接觸;自表面移除過量第一前驅物及反應副產物(若存在);使基板表面與汽化第二反應物(例如臭氧)接觸; 自表面移除過量第二反應物及形成於基板之第一表面上的第一前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已在基板之第一表面上形成所需厚度之介電質(此處SiO2)薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面,增強在一個表面上之沉積。在一些實施例中,金屬的第二表面諸如藉由鈍化而去活化,或在一些實施例中,沉積製程在低於450℃之溫度下操作。在一些實施例中,沉積製程在400℃下操作。在一些實施例中,整個沉積製程在相同溫度下進行。
在一些實施例中,SiO2選擇性沉積可在各種壓力條件下進行,但較佳在減壓下操作製程。反應室中之壓力通常為約0.01毫巴至約500毫巴或更大。然而,在一些情況下,壓力將高於或低於此範圍,如可由本領域的技術人員容易地判定。單一晶圓反應器中之壓力較佳維持在約0.01毫巴與50毫巴之間,更佳在約0.1毫巴與10毫巴之間。批式ALD反應器中之壓力較佳維持在約1毫托與500毫托之間,更佳在約30毫托與200毫托之間。
在一些實施例中,SiO2沉積溫度保持足夠低以防止氣體源化學物質之熱分解。另一方面,沉積溫度保持足夠高以提供用於表面反應之活化能,以防止源材料之物理吸附並最小化反應空間中氣體反應物之冷凝。視反應物及反應器而定,沉積溫度通常為約20℃至約500℃,較佳約150℃至約350℃,更佳約250℃至約300℃。
矽源溫度較佳設定為低於沉積或基板溫度。此是基於以下事實:若源化學物質蒸氣之分壓超過基板溫度上的冷凝界限,則薄膜之受控逐層生長受損。在一些實施例中,矽源溫度為約30℃至約150℃。在一些實施例中,矽源溫度在沉積期間大於約60℃。在一些實施例中,例如在批式ALD中需要較大劑量的情況下,矽源溫度為約90℃至約200℃,較佳約130℃至約170℃。
在一些實施例中,可藉由停止第二反應物之流動同時繼續惰性載氣之流動而自基板表面移除反應物及反應副產物。在一些實施例中,移動基板以使得不同反應物在所需時間內交替且依序以所需順序接觸基板表面。在一些實施例中,不進行移除步驟。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,基板自含有第一前驅物之腔室的一部分移動至含有第二反應物之腔室的另一部分。在一些實施例中,基板自第一反應室移動至第二不同反應室。
在一些實施例中,SiO2使用如本文所描述之ALD類型製程來選擇性地沉積。
在一些實施例中,包括二氧化矽之薄膜之生長速率較佳超過0.7埃/週期。在其他實施例中,生長速率超過0.8埃/週期且在另其它實施例中生長速率超過1.0埃/週期,且較佳超過1.0埃/週期至1.2埃/週期範圍內。
在一些實施例中,選擇性沉積之二氧化矽具有作為雜質的小於2原子%氮。在其他實施例中,SiO2包括作為雜質的小於1原子%氮,或甚至小於0.5原子%氮。類似地,在一些實施例中,SiO2包括作為雜質的小於1原子%碳,及在一些情況下作為雜質 的小於0.5原子%碳。
在一些實施例中,選擇性沉積之氧化矽具有大於80%,在其他實施例中較佳大於90%且在其他實施例中較佳大於95%的步階覆蓋。
在某些較佳實施例中,藉由包括多個週期之ALD類型製程,相對於基板之不同的第二表面,將SiO2選擇性地沉積於基板之第一表面上,每一週期包括交替且依序使基板與氣相BDEAS及包括臭氧之第二反應物接觸。
為求簡單,如本文中所使用之SiO2、氧化矽、矽石以及二氧化矽可互換且一般指同一化合物。
Si前驅物
在一些實施例中,矽前驅物可包括矽烷、矽氧烷或矽氮烷化合物。在一些實施例中,SiO2使用如美國專利第7,771,533號中所描述的前驅物沉積,所述專利以引用的方式併入本文中。舉例而言,在一些實施例中,可使用來自以下式(1)至式(3)之Si前驅物。
(1)SimL2m+2
(2)SiyOy-1L2y+2
(3)SiyNHy-1L2y+2
其中L可獨立地由以下各者所構成的族群中選出:F、Cl、Br、I、烷基、芳基、烷氧基、乙烯基、氰基、胺基、矽烷基、烷基矽烷基、烷氧基矽烷基、伸矽烷基以及烷基矽氧烷。在一些實施例中,烷基及烷氧基可為直鏈或分支鏈且含有至少一個取代基。在一些實施例中,烷基及烷氧基含有1個至10個碳原子,較 佳1個至6個碳原子。
在一些實施例中,矽前驅物可較佳包括經胺基取代之矽烷及矽氮烷,諸如3-胺基烷基三烷氧基矽烷,例如3-胺基丙基三乙氧基矽烷NH2-CH2CH2CH2-Si(O-CH2CH3)3(AMTES)及3-胺基丙基三甲氧基矽烷NH2-CH2CH2CH2-Si(O-CH3)3(AMTMS)以及六烷基二矽氮烷(CH3)3Si-NH-Si(CH3)3(HMDS)。
在一些實施例中,SiO2使用如美國專利第8,501,637號中所描述的前驅物沉積,所述專利以引用的方式併入本文中。在一些實施例中,矽前驅物較佳為二矽烷且具有Si-Si鍵。在一些實施例中,使用具有Si-Si鍵及直接附接至矽(一或多個矽原子)或附接至矽之碳鏈的NHx基團的有機化合物。在一些實施例中,使用有機矽化合物,其可或可不包括Si-Si鍵。更佳地,矽化合物具有下式:RIII 3-x(RIIRIN)x-Si-Si-(N-RIRII)yRIII 3-y (I)
其中,x由1至3中選出;y由1至3中選出;RI由以下各者所構成的族群中選出:氫、烷基以及經取代之烷基;RII由以下各者所構成的族群中選出:烷基及經取代之烷基;且RIII由以下各者所構成的族群中選出:氫、氫氧根(-OH)、胺基(-NH2)、烷氧基、烷基以及經取代之烷基;且其中各x、y、RIII、RII以及RI可彼此獨立地選擇。
在一些實施例中,矽化合物為六(單烷胺基)二矽烷:(RII-NH)3-Si-Si-(NH-RII)3 (II)
在其他實施例中,矽化合物為六(乙胺基)二矽烷:(Et-NH)3-Si-Si-(NH-Et)3 (II)
在其他實施例中,矽化合物為(CH3-O)3-Si-Si-(O-CH3)3(IV)。
在一些實施例中,矽化合物為六(單烷胺基)二矽烷(RII-NH)3-Si-Si-(NH-RII)3且RII由以下各者所構成的族群中選出:烷基及經取代之烷基。
在一些實施例中,SiO2使用如美國公開案第2009/0232985號中所描述的前驅物沉積,所述公開案以引用的方式併入本文中。在一些實施例中,在0.1托至100托(13帕至13300帕)之操作壓力下,沉積溫度可低至室溫且高達500℃。具有極低碳及氫含量之高品質膜較佳在0.1托至10托(13帕至1330帕)之間的壓力下在200℃與400℃之間沉積。
在一些實施例中,Si前驅物可由以下各者所構成的族群中選出:
雙(二乙胺基)矽烷SiH2(NEt2)2
BDMAS雙(二甲胺基)矽烷SiH2(NMe2)2
TriDMAS三(二乙胺基)矽烷SiH(NMe2)3
雙(三甲基矽烷基胺基)矽烷SiH2(NHSiMe3)2
TEAS四(乙胺基)矽烷Si(NHEt)4
TEOS四(乙氧基)矽烷Si(OEt)4
BTESE雙(三乙氧基矽基)乙烷(EtO)3Si-CH2-CH2-Si(OEt)3
在一些實施例中,Si前驅物為通式(R1R2N)nSiH4-x之胺基矽烷,其中x包括在1與4之間,其中R1及R2獨立地為H或C1-C6直鏈、分支鏈或環狀碳鏈。較佳地,Si前驅物為通式(R1R2N)nSiH2之胺基矽烷,其中R1及R2較佳獨立地由C1-C4直鏈、分支鏈或環狀碳鏈中選出。在一些實施例中,烷基胺基矽烷為雙(二乙胺基)矽烷(BDEAS)、雙(二甲胺基)矽烷(BDMAS)或三(二甲胺基)矽烷(TriDMAS)。
在一些實施例中,Si前驅物為通式(SiH3)xR之矽烷(矽烷、二矽烷、三矽烷、三矽烷基胺),其中x可在1至4之範圍內變化且其中R由包括H、N、O、CH2、CH2-CH2、SiH2、SiH、Si之族群中選出,其中在ALD方案中可使用催化劑。較佳地,矽烷為不含C之矽烷。最佳地,矽烷為三矽烷基胺。在一些實施例中,極少量(<1%)催化劑可引入反應器中。上文所描述之矽烷可難以在ALD條件中使用,因為其在矽晶圓上的吸附是不利的。在一些實施例中,催化劑之使用有助於矽烷在基板之第一表面或下伏層上吸附。在一些實施例中,催化劑之引入與矽烷同時。在一些實施例中,催化劑為胺或含金屬分子,較佳為含前過渡金屬之分子,最佳為含鉿分子,諸如Hf(NEt2)4。在一些實施例中,催化劑不含C。
在一些實施例中,SiO2使用如美國公開案第2007/0275166號中所描述的前驅物沉積,所述公開案以引用的方式併入本文中。
在一些實施例中,用於選擇性沉積製程之Si前驅物為有機胺基矽烷前驅物且其由如下式A表示:
在此類化合物中,R及R1由以下各者構成之族群中選出:C2-C10烷基(直鏈、分支鏈或環狀、飽和或不飽和)、芳族基、烷胺基、雜環基、氫、矽烷基(在存在或不存在取代基下),且R及R1亦可組合成環狀基團。代表性取代基為烷基且尤其為C1-4烷基(諸如乙基、丙基以及丁基,包含其異構體形式)、環狀基團(諸如環丙基、環戊基以及環己基)。此類別內的一些例示性較佳化合物由下式表示:
其中n為1至6,較佳為4或5。
在一些實施例中,矽前驅物為如由式B表示的具有自單一氮原子側接之兩個矽烷基的有機胺基矽烷。
如同A類化合物之R基團,R由以下各者所構成的族群中選出:C2-C10烷基(直鏈、分支鏈或環狀、飽和或不飽和)、芳族基、烷胺基以及雜環基。特定R基團包含甲基、乙基、丙基、烯丙基、丁基、二甲胺基以及環狀基團,諸如環丙基、環戊基以 及環己基。例示性化合物由下式表示:
已發現儘管即使以上有機胺基矽烷適合於在基板之第一表面上產生氧化矽膜,但式A之有機胺基矽烷仍為較佳。
在一些實施例中,矽前驅物可在ALD類型沉積製程期間形成。在一些實施例中,形成新的氣相矽前驅物,其接著亦能夠吸附至基板之第一表面上。此可稱作矽前驅物之原位形成。在一些實施例中,原位形成之矽前驅物可為例如具有式SiL1L2L3L4之矽烷化合物,其中L1表示胺基(諸如烷基胺基)且L2至L4表示烷基或烷氧基。例如,當在350℃至450℃下在0.1毫巴至50毫巴之壓力下基板之第一表面與六烷基二矽氮烷接觸時,形成此矽烷化合物。
第二反應物
在一些實施例中,如先前所揭露用於GeO2選擇性沉積製程之第二反應物可與上文所提及之Si前驅物一起使用。在一些實施例中,第二反應物為臭氧。在一些實施例中,第二反應物為分子氧。在一些實施例中,第二反應物為以下化合物中之一或多者:氮氧化物,諸如N2O、NO以及NO2;鹵氧化物化合物,例如二氧化氯(ClO2)及全氯酸(HClO4); 過酸,例如過苯甲酸及過氧乙酸;醇,諸如甲醇及乙醇;各種自由基,例如氧自由基(O)或羥基自由基(OH);以及過氧化氫(H2O2)。
在一些實施例中,氧前驅物不為電漿。在一些實施例中,氧前驅物包括氧自由基。如上文所論述,在一些實施例中,本文中所揭露之選擇性沉積製程不利用電漿,諸如直接電漿,因為直接電漿可損害基板之第二表面。然而,在一些情況下,選擇性沉積製程可利用藉由電漿製備的能量不太高之自由基作為反應物,例如不破壞或使基板表面降級的藉由電漿製備的氧自由基。
根據一些實施例,至少一種化合物或至少一種含氧氣體在表面與另一化合物及/或至少一種含氧氣體接觸之前是在基板之第一表面上。
在一些實施例中,在基板表面與各化合物及/或含氧氣體接觸後,例如藉由注入淨化氣體(諸如惰性氣體)至反應室中自基板表面移除化合物及/或含氧氣體,而在一些實施例中,重複基板表面與化合物及/或氣體接觸,直至獲得所需SiO2膜厚度。反應室內部之壓力應較佳低於100托,更佳低於2托。較佳地,選擇性沉積之SiO2膜中的H含量小於8.1021原子/立方厘米。
在一些實施例中,含臭氧氣體為包括氧氣及臭氧之氣體混合物,其中O3/O2比率低於30體積%,較佳在5體積%與20體積%之間。較佳地,氧氣/臭氧氣體混合物稀釋至惰性氣體,較佳氮氣中。
TiO2在介電質上之選擇性沉積
在一些實施例中,可藉由ALD類型製程,相對於基板之不同的第二表面,將TiO2沉積在同一基板之介電質的第一表面上。在一些實施例中,第二表面可為導電表面、金屬表面或H封端表面。在一些實施例中,TiO2藉由如瓦曼波雷,論文「二氧化鈦薄膜之原子層沉積及光催化性」,2010,第29頁,可得自https://helda.helsinki.fi/bitstream/handle/10138/21126/atomicla.pdf?sequence=1(以引用的方式併入本文中)(Viljami Pore,dissertation“Atomic Layer Deposition and Photocatalytic Properties of Titanium Dioxide Thin Films”,2010,page 29,available at https://helda.helsinki.fi/bitstream/handle/10138/21126/atomicla.pdf?sequence=1)中所述之方法沉積。在一些實施例中,介電質表面為親水性OH封端表面。舉例而言,介電質表面可為SiO2表面、低k表面(較佳包括OH基團)或GeO2表面。第二表面可為例如Cu、Ru、Al、Ni、Co或其他貴金屬表面。在一些實施例中,第二表面包括個別地由Cu、Ni、Co、Al、W、Ru以及其他貴金屬中選出之金屬。在一些實施例中,第二表面為Cu表面。在一些實施例中,第二表面為Ni表面。在一些實施例中,第二表面為Co表面。在一些實施例中,第二表面為Al表面。在一些實施例中,第二表面為Ru表面。在一些實施例中,第二表面包括貴金屬。
如上文所論述,在一些實施例中,介電質表面可經處理以增加表面上OH基團之量。在一些實施例中,第二表面可為氧化物。在一些實施例中,第二表面可為已氧化之金屬表面。
在一些實施例中,導電表面包括諸如CuOx、NiOx、CoOx 或RuOx或另一貴金屬氧化物之氧化物。在一些實施例中,導電表面可在其經處理之後不再導電。舉例而言,導電表面可在選擇性沉積製程之前或開始時諸如藉由氧化來處理,且經處理表面可不再導電。
在一些實施例中,金屬的第二表面藉由氧源有目的地氧化。在一些實施例中,金屬的第二表面已在環境空氣中氧化及/或含有原生氧化物。在一些實施例中,金屬的第二表面含有已沉積之氧化物。
如先前論述,在一些實施例中,金屬表面在沉積之前經氧化以便促進TiO2相對於金屬表面在介電質表面上的選擇性沉積。在一些實施例中,選擇性沉積製程中之第二反應物可用以氧化金屬表面。因此,在一些實施例中,首先在初始ALD週期中,或在第一ALD週期之前提供第二反應物。在一些實施例中,金屬表面在開始選擇性沉積製程之前經氧化。
在一些實施例中,金屬表面在沉積之前經鈍化以便促進相對於金屬表面TiO2在介電質表面上之選擇性沉積。舉例而言,金屬表面可具備烷基矽烷基。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的TiO2沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,TiO2沉積僅僅發生在第一表面上而不發生在第二表面上。在一些實施例中,相對於基板之第二表面在基板之第一表面上的TiO2沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面 上之沉積為至少約50%選擇性。
在一些實施例中,TiO2藉由ALD類型製程,使用例如Ti(OMe)4作為鈦反應物及水作為第二反應物來沉積。在一些實施例中,TiO2藉由ALD類型製程,使用例如TiF4作為鈦反應物及水作為第二反應物來沉積。在一些實施例中,TiO2藉由ALD類型製程,使用例如TiCl4作為鈦反應物及水作為第二反應物來沉積。藉由ALD沉積TiO2之方法為本領域中已知且可適於相對於不同的第二表面選擇性地沉積TiO2在介電質表面上。
在一些實施例中,TiO2藉由ALD類型製程選擇性地沉積在基板之第一表面上。在一些實施例中,提供包括第一表面及第二表面之基板,且藉由包括多個週期之ALD類型沉積製程,將介電質,此處為TiO2選擇性地沉積在基板之第一表面上,每一週期包括:使基板表面與汽化第一前驅物(例如Ti烷基胺前驅物)接觸;自表面移除過量第一前驅物及反應副產物(若存在);使基板表面與汽化第二反應物(例如H2O或臭氧)接觸;自表面移除過量第二反應物及形成於基板之第一表面上的第一前驅物層與第二反應物之間的反應中之任何氣體副產物,以及;重複接觸及移除步驟,直至已在基板之第一表面上形成所需厚度之介電質(此處TiO2)薄膜。
如上文所提及,在一些實施例中,基板之一或多個表面可經處理以便在開始沉積製程之前,相對於一或多個不同表面, 增強在一個表面上之沉積。
適合鈦反應物可藉由本領域的技術人員選擇。在一些實施例中,鈦前驅物可包括鈦鹵化物。在一些實施例中,鈦前驅物可為TiCl4、TiI4以及TiF4中之至少一者。在一些實施例中,鈦前驅物可包括鈦烷氧化物。在一些實施例中,鈦前驅物可為Ti(OME)4、Ti(OEt)4以及Ti(OiPr)4中之至少一者。在一些實施例中,鈦前驅物可包括鈦烷基醯胺。在一些實施例中,鈦前驅物可包括鈦烷基胺化合物。在一些實施例中,鈦前驅物可為Ti(NMe2)4、Ti(NEt2)4以及Ti(NMeEt)4中之至少一者。在一些實施例中,鈦前驅物可包括混配前驅物。在一些實施例中,鈦前驅物可為Ti(OiPr)2(dmae)2、Ti(Me5Cp)(OMe)3、Ti(MeCp)(OMe)3、TiCp(NMe2)3、TiMe5Cp(NMe2)3以及Ti(OiPr)2(thd)2中之至少一者。在一些實施例中,鈦前驅物可包括鈦烷基胺。
在一些實施例中,第二反應物可包括含氧反應物。在一些實施例中,第二反應物可包括氧氣或氧氣與另一氣體之混合物。在一些實施例中,第二反應物可包括雙原子氧或雙原子氧與另一氣體之混合物。在一些實施例中,第二反應物可包括臭氧。在一些實施例中,第二反應物可包括臭氧與例如載氣之另一氣體之混合物。在一些實施例中,第二反應物可包括含氧化合物,諸如H2O2、H2O及/或有機過氧化物。在一些實施例中,第二前驅物包括水。在一些實施例中,第二前驅物包括水電漿。
在一些實施例中,第二反應物可在反應室內部,例如藉由分解含氧化合物來形成氧。在一些實施例中,第二反應物可包括催化形成之氧。在一些實施例中,包括氧之第二反應物之催化 形成可包含將H2O2之汽化水溶液引導在例如鉑或鈀之催化表面上。在一些實施例中,催化表面可位於反應室內部。在一些實施例中,催化表面可不位於反應室內部。
在一些實施例中,第二反應物包括游離氧或臭氧或分子氧。在一些實施例中,第二反應物為純分子雙原子氧,但亦可為氧氣與例如氮氣或氬氣之惰性氣體的混合物。在一些實施例中,基板表面與臭氧或臭氧與另一氣體之混合物接觸。在其他實施例中,臭氧例如藉由經由電弧對含氧氣體導電而形成於反應器內部。在其他實施例中,含氧電漿形成於反應器中。在一些實施例中,電漿可在基板頂上或緊密接近基板原位形成。在其他實施例中,在反應室上游之遠端電漿產生器中形成電漿且將電漿產物導引至反應室中以與基板接觸。如本領域的技術人員將瞭解,在遠端電漿之情況下,可使通向基板之路徑最佳化,以使在到達基板之前電中性物質增到最大且使離子之存在減至最少。
在一些實施例中,第二前驅物不為電漿。在一些實施例中,第二前驅物包括氧自由基。如上文所論述,在一些實施例中,本文中所揭露之選擇性沉積製程不利用電漿,諸如直接電漿,因為直接電漿可損害基板之第二表面。然而,在一些情況下,選擇性沉積製程可利用藉由電漿製備的能量不太高之自由基作為反應物,例如不破壞或使基板表面降級的藉由電漿製備的氧自由基。
藉由ALD類型製程沉積TiO2之方法為本領域中已知且可適於選擇性地沉積TiO2
在一些實施例中,Ti烷氧化物自OH封端表面上之Ti烷氧化物前驅物分解以直接形成TiO2
如上所述,本文所描述之製程能夠使用ALD類型沉積技術選擇性地沉積TiO2。ALD類型沉積製程主要受表面控制(基於第一基板表面之受控反應),且因此具有在相對較低溫度下提供高保形性之優點。然而,在一些實施例中,鈦前驅物可至少部分地分解。因此,在一些實施例中,本文中所描述之ALD類型製程是觀測不到前驅物分解的純ALD製程。在其他實施例中,反應條件(諸如反應溫度)經選擇以使得達成純ALD製程且無前驅物分解發生。
在一些實施例中,TiO2藉由氣相沉積製程選擇性地沉積在基板之第一表面上。在一些實施例中,提供包括含有表面-OH基團之第一表面及不同的第二表面的基板。如上文所論述,在一些實施例中,在開始沉積製程之前,基板之一或多個表面可例如藉由增加介電質的第一表面上-OH基團之量來處理以相對於一或多個不同表面,增強在一個表面上之選擇性沉積。在一些實施例中,TiO2藉由包括以下之氣相沉積製程選擇性地沉積在基板之第一表面上:使包括OH、NHx或SHx封端之基板表面與例如鈦烷氧化物之汽化第一前驅物接觸,以及;使鈦烷氧化物在表面上分解以形成TiO2
Ru金屬及介電質之雙重選擇性生長
參看圖3A及3B,且在一些實施例中,Ru膜及介電質膜之雙重選擇性沉積300、301可在包括金屬的第一表面340、金屬的第一表面341及介電質的第二表面330、介電質的第二表面331之基板上實現。在一些實施例中,Ru可藉由如上文所描述之選擇 性沉積製程310、選擇性沉積製程311選擇性地沉積在金屬的第一表面340、金屬的第一表面341上。在一些實施例中,用於雙重選擇性沉積製程之Ru前驅物為Cp類釕前驅物,諸如Ru(EtCp)2,而第二反應物包括O2及O3中之至少一者。
在一些實施例中,Ru選擇性地沉積在基板之金屬的第一表面340、金屬的第一表面341上。在一些實施例中,金屬的第一表面340、金屬的第一表面341包括CuO表面。在一些實施例中,在Ru選擇性沉積之前,根據以上所描述之方法,藉由暴露於還原劑,使CuO表面還原成Cu表面。在一些實施例中,第一表面340、第一表面341可包括W表面。
在一些實施例中,金屬的第一表面340、第一表面341可包括CuO表面,CuO表面還原成Cu表面,且在開始選擇性地沉積Ru之前薄W層選擇性地沉積在Cu表面上。在一些實施例中,金屬的第一表面340、第一表面341可包括Cu表面,其上面在開始選擇性地沉積Ru之前選擇性地沉積薄W層。在一些實施例中,根據以上所描述之方法,在開始Ru的選擇性沉積製程310、311之前,薄W層選擇性地沉積在金屬的第一表面340、第一表面341上。在一些實施例中,薄W層藉由以上所描述之選擇性沉積製程選擇性地沉積在金屬的第一表面340、金屬的第一表面341上,其中二矽烷為第一前驅物且WF6為W前驅物。在一些實施例中,基板之介電質的第二表面330、介電質表面331在薄W層選擇性地沉積在基板之第一表面上之前去活化。在一些實施例中,第二表面330、第二表面331藉由自第二表面移除OH基團而去活化。在一些實施例中,第二表面330、第二表面331藉由基板暴露 於例如Me3SiNMe2之矽烷化化合物而去活化。
在一些實施例中,基板之第二表面330、第二表面331可包括如上文所描述之介電質表面。在一些實施例中,基板之第二表面330、第二表面331可包括例如SiO2、MgO、GeO2或Al2O3表面。在一些實施例中,基板之第二表面330、第二表面331可包括OH、NHx或SHx封端。
在一些實施例中,Ru之選擇性沉積製程310、311持續進行,直至在第一表面上獲得所需厚度之Ru。在一些實施例中,Ru之選擇性沉積製程310、311持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積Ru。
在一些實施例中,在相對於同一基板之介電質的第二表面330、介電質的第二表面331,Ru已經選擇性地沉積在金屬的第一表面340、金屬的第一表面341上之後,Ru表面可視情況藉由以上所描述之任一方法鈍化以免介電質沉積。另外,在一些實施例中,可視情況移除視情況提供在第二表面330、第二表面331上之任何鈍化處理。在一些實施例中,第二表面330、第二表面331可視情況根據以上所描述之方法活化。
在已經提供任何視情況選用之表面處理之後,根據以上所描述之方法,相對於同一基板之選擇性地沉積的Ru表面,將介電質選擇性沉積320、321在基板之第二表面330、第二表面331上。參看圖3A,且在一些實施例中,選擇性地沉積的介電質可包括GeO2。參看圖3B,且在一些實施例中,選擇性地沉積的介電質可包括SiO2。在一些實施例中,使用如上所述之選擇性沉積製程, 將介電質選擇性沉積320在基板之第二表面330上,其中Ge前驅物包括Ge(NMe2)4且第二反應物包括H2O。在一些實施例中,使用如上所述之選擇性沉積製程,將SiO2選擇性地沉積321在基板之第二表面331上,其中Si前驅物包括H2Si(NEt2)2且第二反應物包括O3
在一些實施例中,介電質之選擇性沉積320、321持續進行,直至在第二表面上獲得所需厚度之介電材料。在一些實施例中,介電材料之選擇性沉積320、321持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積介電材料。
在一些實施例中,相對於介電質的第二表面330、介電質的第二表面331在金屬的第一表面340、金屬的第一表面341上的沉積及/或相對於金屬的第一表面340、金屬的第一表面341在介電質的第二表面330、介電質的第二表面331上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於介電質的第二表面330、介電質的第二表面331在金屬的第一表面340、金屬的第一表面341上的沉積及/或相對於第一表面在基板之第二表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,在相對於介電質的第二表面330、介電質的第二表面331之選擇性地沉積的Ru表面,介電質已經選擇性沉積320、321在同一基板之第二表面上之後,可視情況根據以上所描述之任一方法,移除可存在於選擇性地沉積的Ru表面上之任何鈍化層或表面處理。在一些實施例中,可在各介電質沉積週期期間,如上文所描述,進行第一表面之鈍化。舉例而言,在例如GeO2之介電質沉積週期期間,烷基胺鈍化化合物或HCOOH或兩者可接觸基板,以鈍化第一表面。
雖然以上說明之雙重選擇性沉積製程300、301在選擇性沉積介電質之前進行Ru之選擇性沉積,但本領域的技術人員應瞭解雙重選擇性沉積製程可在選擇性沉積第二材料之前以Ru或介電質之選擇性沉積開始。
實例1
已經觀測缺乏Ru沉積之情形,尤其對於在SiO2及其他如MgO、GeO2、Al2O3之介電質表面上使用Ru(EtCp)2作為Ru前驅物且O2/O3作為第二反應物的製程。另外,當嘗試使用Ru(EtCp)2作為Ru前驅物且O2/O3作為第二反應物的ALD時在無長培育期下Ru沉積趨向於不發生,亦即許多ALD週期可以發生但在介電質表面上無任何生長。一般而言,已經觀測到Ru(EtCp)2不與Si-OH基團反應。另外,在第一週期期間本文中所揭露之其他Ru前驅物可使Ru至介電質表面,但隨後在任何Ru膜生長開始之前將具有長的生長培育時間。不受任何一個特定理論束縛,認為Ru薄膜之生長需要足夠大以解離O2之Ru粒子,此不會發生在介電質表面上。
當相對於基板之介電質的第二表面將Ru選擇性地沉積在同一基板之第一表面上時,介電材料較佳相對於同一基板之沉積的Ru表面,選擇性地沉積在基板之介電質的第二表面上。已經關於介電質沉積之選擇性,測試兩種不同Ru表面封端,即Cp(環戊二烯基)配位體封端和氧封端。對於如以上所揭露之烷基醯胺型金屬前驅物,觀測到氧封端之選擇性地沉積的Ru表面最去活性,從而避免如以上所揭露之選擇性介電質沉積。以下表1和表2展示LEIS分析結果;在5個GeO2沉積週期之後,表面上Ge之分率仍然極低。
不受任何一個特定理論束縛,Cp表面封端可留下未配位的Ru位點以供烷基醯胺型前驅物進行相互作用,在一些實施例中 其可在氧化物選擇性沉積之前藉由烷基胺脈衝鈍化。在一些實施例中,未配位的Ru位點可藉由在各介電質選擇性沉積週期期間使基板與鈍化試劑接觸來鈍化,例如包括烷基胺之化合物可在每個GeO2或SiO2選擇性沉積週期期間接觸基板。
再次,不受任何一個理論束縛,用於Ru選擇性沉積製程之氧可氧化Cu表面。此外,在一些實施例中,Ru選擇性沉積溫度可相對較高且兩種金屬可混合,停止Ru膜生長。因此,在經修改之製程流程中,薄W層可較佳地在開始Ru選擇性沉積之前自WF6及二矽烷選擇性地沉積。然而,為實現相對於介電質表面在Cu表面上之W選擇性沉積,介電質表面較佳藉由用諸如Me3SiNMe2之矽烷化化合物移除OH基團來去活化。
Cu或CuO及介電質之雙重選擇性生長
參看圖4且在一些實施例中,Cu及介電質之雙重選擇性沉積400可在包括金屬的第一表面440及不同的第二表面430之基板上實現。在一些實施例中,可藉由如上文所描述之選擇性沉積製程,將Cu選擇性地沉積420在金屬的第一表面440上。在一些實施例中,用於將Cu選擇性地沉積420之Cu前驅物為Cu脒化物。
在一些實施例中,金屬的第一表面440包括CuO表面。在一些實施例中,在將Cu選擇性地沉積420之前,根據以上所描述之方法,藉由暴露於還原劑450,使CuO表面還原成Cu表面。在一些實施例中,基板之第二表面430可包括如上文所描述之介電質表面。在一些實施例中,基板之第二表面430可包括例如SiO2、MgO、GeO2或Al2O3表面。在一些實施例中,基板之第二 表面430可包括OH、NHx或SHx封端。在一些實施例中,第一表面或第二表面可視情況在開始選擇性沉積之前根據以上所描述之方法處理以增強選擇性沉積。
在一些實施例中,根據以上所描述之方法,相對於同一金屬的第一表面440,將介電質選擇性地沉積410在基板之第二表面430上。在一些實施例中,選擇性地沉積的介電質可包括GeO2,如圖4中所描繪。在一些實施例中,選擇性地沉積的介電質可包括SiO2。在一些實施例中,使用如上所述之選擇性沉積製程,將GeO2選擇性地沉積410在基板之第二表面430上,其中Ge前驅物包括Ge(NMe2)4且第二反應物包括H2O。
在一些實施例中,介電質之選擇性沉積410持續進行,直至在第二表面430上獲得所需厚度之介電材料。在一些實施例中,介電材料之選擇性沉積410持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積介電材料。
在一些實施例中,在基板之第二表面上的介電質選擇性沉積410之後,可視情況根據以上所描述之方法將基板處理450以增強選擇性沉積。在一些實施例中,此可包括將第一表面440暴露於還原劑。在一些實施例中,CuO表面可暴露於HCOOH以藉此還原成Cu表面。
在一些實施例中,相對於同一基板之選擇性地沉積的介電質表面,將Cu選擇性地沉積420在基板之第一表面440上。在一些實施例中,Cu藉由如上文所描述Cu脒化物之分解而選擇性地沉積。
在一些實施例中,將Cu選擇性地沉積420持續進行,直至在第一表面上獲得所需厚度之Cu。在一些實施例中,相對於基板之第二表面430在基板之第一表面440上的沉積及/或相對於基板之第一表面440在基板之第二表面430上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面440上而不發生在第二表面430上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於基板之第二表面430在基板之第一表面440上的沉積及/或相對於第一表面440在基板之第二表面430上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,在相對於同一基板之選擇性地沉積的介電質表面,將Cu選擇性地沉積420在基板之第一表面440上之後,可視情況根據以上所描述之任一方法,移除可存在於選擇性地沉積的介電質表面上之任何鈍化層或表面處理。另外,在一些實施例中,選擇性地沉積的Cu膜可根據以上所描述之方法氧化以形成CuO表面。
雖然以上說明之雙重選擇性沉積製程400在將Cu選擇性地沉積420之前以介電質之選擇性沉積開始,但本領域的技術人員應瞭解雙重選擇性沉積製程可在第二材料之選擇性沉積之前以Cu或介電質之選擇性沉積開始。
實例2
已經觀測缺乏GeO2沉積之情形,尤其對於與W表面相比在CuO表面上使用Ge烷基醯胺及H2O之沉積製程。如以下表3及表4所示,在10個GeO2沉積週期之後,CuO表面上之Ge分率基本上可忽略(表3),而在W表面上10個週期之後GeO2沉積明確發生(表4)。
經由LEIS進行進一步分析,其展示在20個GeO2 ALD週期之後基本上無GeO2膜生長。
對於Cu前驅物之選擇性,Cu(I)脒化物已顯示對SiO2表面,尤其Si-OH封端表面無反應性。不受特定理論束縛,咸信GeO2與SiO2之間的相似性導致Cu(I)脒化物類似之表面行為。
Sb及W之雙重選擇性生長
參看圖5且在一些實施例中,W及Sb之雙重選擇性沉積500可在包括第一表面540及不同的第二表面530之基板上實現。在一些實施例中,Sb可藉由如上文所描述之選擇性沉積製程510 選擇性地沉積在第二表面530上。在一些實施例中,用於選擇性沉積製程510之Sb前驅物為Sb(Si(CH3)3)3及SbCl3。在一些實施例中,W可藉由如上文所描述之選擇性沉積製程520選擇性地沉積在第一表面540上。在一些實施例中,用於選擇性沉積製程之第一前驅物為二矽烷且W前驅物為WF6
在一些實施例中,W及Sb之雙重選擇性沉積500可在包括金屬的第一表面540及介電質的第二表面530之基板上實現。在一些實施例中,W及Sb可選擇性地沉積在包括介電質的第一表面及不同的第二表面之基板上。在一些實施例中,第一表面540可包括Cu或CuO。在一些實施例中,第一表面可包括矽表面。在一些實施例中,第一矽表面可包括Si-H封端。在一些實施例中,第二表面530可包括親水性表面。在一些實施例中,第二表面530可包括OH、NHx或SHx封端表面。在一些實施例中,第二表面530可包括SiO2或其他介電質表面。
在一些實施例中,基板視情況在開始選擇性沉積之前,根據以上所描述之方法處理550以增強選擇性沉積。在一些實施例中,在550,根據以上所描述之方法,在開始選擇性沉積製程之前,CuO的第二表面暴露於還原劑且還原成Cu表面。在一些實施例中,預先經還原之金屬表面可另外根據以上所描述之方法去活化。在一些實施例中,預先經還原之表面可藉由暴露於二矽烷去活化以產生Si-H封端表面。
在一些實施例中,根據以上所描述之方法,相對於基板之第一表面540,將Sb選擇性地沉積510在同一基板之第二表面530上。在一些實施例中,用於選擇性沉積製程之Sb前驅物為 Sb(Si(CH3)3)3及SbCl3
在一些實施例中,Sb之選擇性沉積510持續進行,直至在第二表面530上獲得所需厚度之Sb。在一些實施例中,Sb之選擇性沉積510持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積Sb。
在一些實施例中,在基板之第二表面530上的Sb選擇性沉積510之後,可根據以上所描述之方法視情況處理以增強選擇性沉積。
在一些實施例中,相對於同一基板之選擇性地沉積的Sb表面,將W選擇性地沉積520在基板之第一表面540上。在一些實施例中,根據以上所描述之方法將W選擇性地沉積520。在一些實施例中,用於選擇性沉積製程520之第一前驅物為二矽烷且W前驅物為WF6
在一些實施例中,W之選擇性沉積520持續進行,直至在第一表面上獲得所需厚度之W。在一些實施例中,相對於基板之第二表面530在基板之第一表面540上的沉積及/或相對於基板之第一表面540在基板之第二表面530上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於基板之第二表面530在基板之第一表面540上的沉積及/或相對於第一表面在基板之第二表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可 為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,在相對於同一基板之選擇性地沉積的Sb表面,W已經選擇性地沉積520在基板之第一表面540上之後,可視情況根據以上所描述之任一方法,移除可存在於選擇性地沉積的Sb表面上之任何鈍化層或表面處理。
雖然以上說明之雙重選擇性沉積製程500在W之選擇性沉積520之前以Sb之選擇性沉積510開始,但本領域的技術人員應瞭解雙重選擇性沉積製程可在第二材料之選擇性沉積之前以W或Sb之選擇性沉積開始。
Ni(O)及GeO2之雙重選擇性生長
參看圖6且在一些實施例中,GeO2及Ni或NiO之雙重選擇性沉積600可在包括第一表面640及不同的第二表面630之基板上實現。在一些實施例中,GeO2可藉由如上文所描述之選擇性沉積製程620選擇性地沉積在第一表面640上。在一些實施例中,Ni或NiO可藉由如上文所描述之選擇性沉積製程610選擇性地沉積在第二表面630上。在一些實施例中,用於選擇性沉積製程610之Ni前驅物包括鎳β雙烯酮亞胺基化合物,諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)[Ni(EtN-EtN-pent)2]。在一些實施例中,用於選擇性沉積製程620之Ge前驅物包括Ge(NMe2)4且第二反應物包括H2O。在一些實施例中,Ni或NiO較佳在GeO2選擇性沉積之前選擇性地沉積。
在一些實施例中,第一表面640包括介電材料。在一些 實施例中,第一表面640包括Si-H表面封端。在一些實施例中,第一表面包括金屬。在一些實施例中,第二表面630包括親水性表面。在一些實施例中,親水性表面包括介電質表面,諸如SiO2。在一些實施例中,親水性表面包括OH、NHx或SHx封端。在一些實施例中,基板表面可視情況根據以上所描述之方法處理以增強選擇性沉積。
在一些實施例中,相對於同一基板之選擇性地沉積的介電質表面,將Ni或NiO選擇性地沉積610在基板之第二表面630上。在一些實施例中,Ni或NiO藉由如上文所描述,分解雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)來選擇性地沉積610。在一些實施例中,藉由諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)之Ni化合物吸附,接著Ni化合物氧化形成NiO來將NiO選擇性地沉積。在一些實施例中,藉由如上文所描述,諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)之Ni化合物的自限性吸收,接著Ni化合物氧化形成至多NiO分子層來將NiO選擇性地沉積。
在一些實施例中,Ni或NiO之選擇性沉積610持續進行,直至在第二表面630上獲得所需厚度之Ni或NiO。
在一些實施例中,相對於基板之第二表面630在基板之第一表面640上的沉積及/或相對於基板之第一表面640在基板之第二表面630上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於基板之第二表面在基板之第一 表面上的沉積及/或相對於第一表面在基板之第二表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,在Ni選擇性沉積610之後,根據以上所描述之方法,將基板氧化。在一些實施例中,氧化在基板之第一表面640上產生OH表面封端。在一些實施例中,氧化使選擇性地沉積的Ni氧化形成Ni(O)。
在一些實施例中,使用如上所述之選擇性沉積製程,將GeO2選擇性地沉積620在基板之第一表面640上,其中Ge前驅物包括Ge(NMe2)4且第二反應物包括H2O。在一些實施例中,GeO2之選擇性沉積620持續進行,直至在第一表面上獲得所需厚度之GeO2。在一些實施例中,GeO2之選擇性沉積620持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積GeO2
在一些實施例中,在相對於同一基板之選擇性地沉積的Ni表面,GeO2已經選擇性地沉積620在基板之第一表面640上之後,可視情況根據以上所描述之任一方法,移除可存在於選擇性地沉積的Ni或Ni(O)表面上之任何鈍化層或表面處理。
雖然以上說明之雙重選擇性沉積製程600在GeO2之選擇性沉積620之前以Ni之選擇性沉積610開始,但本領域的技術人員應瞭解雙重選擇性沉積製程可在第二材料之選擇性沉積之前以GeO2或Ni之選擇性沉積開始。
實例3
鎳表面可氧化以針對後續鍺氧化物沉積使其鈍化,形成Ni(O)。LEIS分析展示GeO2在Ni(O)表面上有一些培育(incubation),未生長,或幾乎不生長:
Ni及W之雙重選擇性生長
參看圖7A及圖7B且在一些實施例中,W及Ni之雙重選擇性沉積700、701可在包括第一表面740、第一表面741及不同的第二表面730、不同的第二表面731之基板上實現。在一些實施例中,Ni可藉由如上文所描述之選擇性沉積製程710、711選擇性地沉積在第二表面730、第二表面731上。在一些實施例中,用於選擇性沉積製程710、選擇性沉積製程711之Ni前驅物包括鎳β雙烯酮亞胺基化合物,諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)[Ni(EtN-EtN-pent)2]。在一些實施例中,W可藉由如上文所描述之選擇性沉積製程720、選擇性沉積製程721選擇性地沉積在第一表面740、第一表面741上。在一些實施例中,用於選擇性沉積製程之第一前驅物為二矽烷且W前驅物為WF6
參看圖7A且在一些實施例中,W及Ni可選擇性地沉積700在包括介電質的第一表面740及不同的第二表面730之基板 上。參看圖7B且在一些實施例中,W及Ni之雙重選擇性沉積701可在包括金屬的第一表面741及介電質的第二表面731之基板上實現。在一些實施例中,第一表面741可包括Cu或CuO。在一些實施例中,第一表面740可包括矽表面。在一些實施例中,第一矽表面740可包括Si-H封端。在一些實施例中,第二表面730、第二表面731可包括親水性表面。在一些實施例中,第二表面可包括OH、NHx或SHx封端表面。在一些實施例中,第二表面可包括SiO2或其他介電質表面。
在一些實施例中,基板視情況在開始選擇性沉積之前,根據以上所描述之方法處理以增強選擇性沉積751。在一些實施例中,根據以上所描述之方法,在開始選擇性沉積製程之前,將第二CuO表面暴露於還原劑且還原成Cu表面。在一些實施例中,預先經還原之金屬表面可另外根據以上所描述之方法去活化。在一些實施例中,預先經還原之表面可藉由暴露於二矽烷去活化以產生Si-H封端表面。
在一些實施例中,根據以上所描述之方法,相對於同一基板之第一表面740、第一表面741,將Ni選擇性地沉積710、711在基板之第二表面730、第二表面731上。在一些實施例中,用於選擇性沉積製程之Ni前驅物包括鎳β雙烯酮亞胺基化合物,諸如雙(4-N-乙胺基-3-戊烯-2-N-乙亞胺基)鎳(II)[Ni(EtN-EtN-pent)2]。
在一些實施例中,Ni之選擇性沉積710、711持續進行,直至在第二表面上獲得所需厚度之Ni。在一些實施例中,Ni之選擇性沉積710、711持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選 擇性地沉積Ni。
在一些實施例中,在基板之第二表面上的Ni選擇性沉積之後,基板可視情況根據本文所描述之方法處理以增強選擇性沉積。
在一些實施例中,相對於同一基板之選擇性地沉積的Ni表面,將W選擇性地沉積720、721在基板之第一表面740、741上。在一些實施例中,根據以上所描述之方法將W選擇性地沉積720、721。在一些實施例中,用於選擇性沉積製程之第一前驅物為二矽烷且W前驅物為WF6
在一些實施例中,W之選擇性沉積720、721持續進行,直至在第一表面上獲得所需厚度之W。在一些實施例中,相對於基板之第二表面730、第二表面731在基板之第一表面740、第一表面741上的沉積及/或相對於基板之第一表面740、第一表面741在基板之第二表面730、第二表面731上的沉積為至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積及/或相對於第一表面在基板之第二表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,在相對於同一基板之選擇性地沉積的 Ni表面,W已經選擇性地沉積720、721在基板之第一表面740、741上之後,可視情況根據以上所描述之任一方法,移除可存在於選擇性地沉積的Ni表面上之任何鈍化層或表面處理。
雖然以上說明之雙重選擇性沉積製程700、701在W之選擇性沉積720、721之前以Ni之選擇性沉積710、711開始,但本領域的技術人員應瞭解雙重選擇性沉積製程可在第二材料之選擇性沉積之前以W或Ni之選擇性沉積開始。
Al及SiO2之雙重選擇性生長
參看圖8且在一些實施例中,Al膜及SiO2膜之雙重選擇性沉積800可在包括金屬的第一表面840及介電質的第二表面830之基板上實現。在一些實施例中,Al可藉由如上文所描述之選擇性沉積製程810選擇性地沉積在金屬的第一表面840上。在一些實施例中,用於雙重選擇性沉積製程之Al前驅物包括DMAH或DMEAA。
在一些實施例中,將Al選擇性地沉積810在基板之金屬的第一表面840上。在一些實施例中,金屬的第一表面840包括CuO表面。在一些實施例中,在Al選擇性沉積之前,根據以上所描述之方法,藉由暴露於還原劑850,使CuO表面還原成Cu表面。
在一些實施例中,介電質的第二表面830可包括如上文所描述之介電質表面。在一些實施例中,介電質的第二表面830可包括例如SiO2。在一些實施例中,基板之第二表面可包括MgO、GeO2或Al2O3表面。在一些實施例中,基板之第二表面可包括OH、NHx或SHx封端。
在一些實施例中,Al之選擇性沉積810持續進行,直至 在第一表面上獲得所需厚度之Al。在一些實施例中,Al之選擇性沉積持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積Al。
在一些實施例中,在相對於同一基板之介電質的第二表面830,Al已經選擇性地沉積在金屬的第一表面840上之後,Al表面可視情況藉由以上所描述之任一方法鈍化以免SiO2沉積。另外,在一些實施例中,視情況在第二表面上提供之任何鈍化處理可視情況移除。在一些實施例中,第二表面可視情況根據以上所描述之方法活化。
在已經提供任何視情況選用之表面處理之後,根據以上所描述之方法,相對於同一基板之選擇性地沉積的Al表面,將SiO2選擇性地沉積820在介電質的第二表面830上。在一些實施例中,使用如上所述之選擇性沉積製程,將SiO2選擇性地沉積820在介電質的第二表面830上,其中Si前驅物包括H2Si(Net2)2且第二反應物包括O3
在一些實施例中,SiO2之選擇性沉積820持續進行,直至在介電質的第二表面830上獲得所需厚度之SiO2材料。在一些實施例中,SiO2材料之選擇性沉積持續進行,直至完成所需數目之沉積週期。舉例而言,在一些實施例中,進行多達約1個至50個沉積週期以選擇性地沉積SiO2材料。
在一些實施例中,相對於介電質的第二表面830在金屬的第一表面840上的沉積及/或相對於金屬的第一表面840在介電質的第二表面830上的沉積為至少約90%選擇性,至少約95%選 擇性,至少約96%、97%、98%或99%或更大選擇性。在一些實施例中,沉積僅僅發生在第一表面上而不發生在第二表面上,或僅僅發生在第二表面上而不發生在第一表面上。
在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積及/或相對於第一表面在基板之第二表面上的沉積為至少約80%選擇性,此對於一些特定應用而言選擇性可為足夠的。在一些實施例中,相對於基板之第二表面在基板之第一表面上的沉積為至少約50%選擇性,此對於一些特定應用而言選擇性可為足夠的。
在一些實施例中,在相對於同一基板之選擇性地沉積的Al表面,SiO2已經選擇性地沉積820在介電質的第二表面830上之後,可視情況根據以上所描述之任一方法移除可存在於選擇性地沉積的Al表面上的任何鈍化層或表面處理。在一些實施例中,Al表面之蝕刻860為視情況選用的,且可為例如移除已經沉積在Al表面上之任何SiO2所需。
雖然以上說明之雙重選擇性沉積製程800在SiO2之選擇性沉積820之前以Al之選擇性沉積810開始,但本領域的技術人員應瞭解雙重選擇性沉積製程可在第二材料之選擇性沉積之前以SiO2或Al之選擇性沉積開始。

Claims (58)

  1. 一種用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,所述方法包括:相對於所述基板之所述第二表面,將所述第一金屬材料選擇性地沉積在所述基板之所述第一表面上,其中所述基板之所述第一表面為金屬或半導體材料表面,且所述基板之所述第二表面包括OH、NHx或SHx封端;且相對於所述基板之所述第一表面,將所述第二介電材料選擇性地沉積在所述基板之所述第二表面上。
  2. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在同一反應器中進行選擇性地沉積所述第一金屬材料及選擇性地沉積所述第二介電材料。
  3. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在選擇性地沉積所述第一金屬材料與選擇性地沉積所述第二介電材料之間無空氣洩漏下,進行選擇性地沉積所述第一金屬材料及選擇性地沉積所述第二介電材料。
  4. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在選擇性地沉積所述第一金屬材料與選擇性地沉積所述第二介電材料之間無進一步加工下,進行選擇性地沉積所述第一金屬材料及選擇性地沉積所述第二介電材料。
  5. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一表面包括Cu、Si-H、W、Ni、Co、Ru或另一貴金屬。
  6. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第二表面為介電材料之表面。
  7. 如申請專利範圍第6項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其另外包括處理所述第二表面以在將所述第一金屬材料選擇性地沉積在所述第一表面上之前抑制所述第一金屬材料沉積在所述第二表面上。
  8. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一表面經處理以抑制在選擇性地沉積所述第二介電材料之前所述第二介電材料沉積在所述第一表面上。
  9. 如申請專利範圍第8項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一表面經氧化。
  10. 如申請專利範圍第8項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一表面經鈍化。
  11. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第一金屬材料包括至少一個沉積週期,所述沉積週期包括交替且依序使所述基板與第一金屬前驅物及第二反應物接觸。
  12. 如申請專利範圍第11項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在選擇性地沉積所述第二介電材料之前進行1個至50個沉積週期以選擇性地沉積所述第一金屬材料。
  13. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第二介電材料包括至少一個沉積週期,所述沉積週期包括交替且依序使所述基板與所述第一金屬前驅物及所述第二反應物接觸。
  14. 如申請專利範圍第13項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中進行1個至50個沉積週期以選擇性地沉積所述第二介電材料。
  15. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中相對於所述第二表面,將所述第一金屬材料選擇性地沉積在所述第一表面上,選擇性為至少80%。
  16. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中相對於所述第一表面,將所述第二介電材料選擇性地沉積在所述第二表面上,選擇性為至少80%。
  17. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一金屬材料是由Sb、Ge、Ru、貴金屬、W、Cu、Al、Ni以及Co中選出。
  18. 如申請專利範圍第1項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第二介電材料是由SbOx、GeO2、BiOx、MgO、SiO2、AlO2以及TiO2中選出。
  19. 一種用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中所述基板具有第一表面和第二表面所述方法包括:相對於所述基板之所述第二表面,將第一材料選擇性地沉積在所述基板之所述第一表面上,其中所述基板之所述第一表面為金屬或半導體材料表面,且所述基板之所述第二表面包括OH、NHx或SHx封端;且相對於所述第一表面,將第二材料選擇性地沉積在所述基板之所述第二表面上,其中選擇性地沉積所述第一材料與選擇性地沉積所述第二材料中之至少一者為原子層沉積(ALD)製程。
  20. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中在同一反應器中進行選擇性地沉積所述第一材料及選擇性地沉積所述第二材料。
  21. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中在選擇性地沉積所述第一材料與選擇性地沉積所述第二材料之間無空氣洩漏下,進行選擇性地沉積所述第一材料及選擇性地沉積所述第二材料。
  22. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中在選擇性地沉積所述第一材料與選擇性地沉積所述第二材料之間無進一步加工下,進行選擇性地沉積所述第一材料及選擇性地沉積所述第二材料。
  23. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中所述第一材料為W層,所述第二材料為Sb層,且所述第二表面包括介電質。
  24. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中所述第一材料為W層,所述第一表面包括Cu,所述第二材料為Sb層,且所述第二表面包括Si-OH封端。
  25. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中選擇性地沉積所述第一材料與選擇性地沉積所述第二材料之一為化學氣相沉積(CVD)製程。
  26. 如申請專利範圍第25項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中選擇性地沉積所述第一材料與選擇性地沉積所述第二材料之一包括在使所述基板與前驅物接觸的同時,分解所述前驅物。
  27. 如申請專利範圍第26項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中所述第一材料包括Ni、Ge、Fe、Co或TiO2
  28. 如申請專利範圍第27項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中所述第二材料為Al或Cu。
  29. 如申請專利範圍第19項所述之用於選擇性地沉積兩種不同材料在基板之兩個不同表面上的方法,其中所述第一材料為導電金屬材料,所述第一表面為金屬或半導電表面,所述第二材料為介電質,且所述第二表面為介電材料表面。
  30. 一種用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,所述方法包括:相對於所述基板之所述第二表面,將所述第一金屬材料選擇性地沉積在所述基板之所述第一表面上,其中所述基板之所述第一表面為金屬或半導體材料表面,且所述基板之所述第二表面包括非導電或半導電材料之表面且包括OH封端;且相對於所述第一表面,將所述第二介電材料選擇性地沉積在所述基板之所述第二表面上。
  31. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在同一反應器中進行選擇性地沉積所述第一金屬材料及選擇性地沉積所述第二介電材料。
  32. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在選擇性地沉積所述第一金屬材料與選擇性地沉積所述第二介電材料之間無空氣洩漏下,進行選擇性地沉積所述第一金屬材料及選擇性地沉積所述第二介電材料。
  33. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在選擇性地沉積所述第一金屬材料與選擇性地沉積所述第二介電材料之間無進一步加工下,進行選擇性地沉積所述第一金屬材料及選擇性地沉積所述第二介電材料。
  34. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一表面包括Cu、Si-H、Ru、Ni、Al、W或Co。
  35. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第二表面為介電材料之表面。
  36. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第二介電材料包括處理所述第二表面以在其上提供OH封端。
  37. 如申請專利範圍第36項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第一金屬材料包括在沉積所述第一金屬材料之前將所述第二表面去活化。
  38. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第二介電材料包括處理所述第一表面以在沉積所述第二介電材料之前抑制所述第二介電材料沉積在所述第一表面上。
  39. 如申請專利範圍第38項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中用以抑制之處理包括氧化所述第一表面。
  40. 如申請專利範圍第38項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一表面包括Ni且用以抑制之處理包括氧化Ni以形成Ni(O)。
  41. 如申請專利範圍第38項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中用以抑制之處理包括鈍化所述第一表面。
  42. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第一金屬材料包括至少一個沉積週期,所述沉積週期包括交替且依序使所述基板與第一金屬前驅物及第二反應物接觸。
  43. 如申請專利範圍第42項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中在選擇性地沉積所述第二介電材料之前進行1個至50個沉積週期以選擇性地沉積所述第一金屬材料。
  44. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中選擇性地沉積所述第二介電材料包括至少一個沉積週期,所述沉積週期包括交替且依序使所述基板與所述第一金屬前驅物及所述第二反應物接觸。
  45. 如申請專利範圍第44項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中進行1個至50個沉積週期以選擇性地沉積所述第二介電材料。
  46. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第一金屬材料是由Sb、Ge、Ru、貴金屬、W、Cu以及Al中選出。
  47. 如申請專利範圍第30項所述之用於選擇性地沉積第一金屬材料在基板之第一表面上及第二介電材料在同一基板之第二表面上的方法,其中所述第二介電材料是由SbOx、GeO2、BiOx、MgO、SiO2、AlO2以及TiO2中選出。
  48. 一種用於選擇性地沉積鎢在基板之第一表面上及銻在同一基板之不同的第二表面上的方法,所述方法包括:相對於所述基板之所述第二表面,將所述鎢選擇性地沉積在所述基板之所述第一表面上;且相對於所述第一表面,將所述銻選擇性地沉積在所述基板之所述第二表面上。
  49. 如申請專利範圍第48項所述之用於選擇性地沉積鎢在基板之第一表面上及銻在同一基板之不同的第二表面上的方法,其中所述第一表面為金屬或半導體表面且所述第二表面包括OH、NHx或SHx封端。
  50. 一種用於選擇性地沉積包括鎳之材料在基板之第一表面上及鍺氧化物在同一基板之不同第二表面上的方法,所述方法包括:相對於所述基板之所述第二表面,將所述包括鎳之材料選擇性地沉積在所述基板之所述第一表面上;且相對於所述第一表面,將所述鍺氧化物選擇性地沉積在所述基板之所述第二表面上。
  51. 如申請專利範圍第50項所述之用於選擇性地沉積包括鎳之材料在基板之第一表面上及鍺氧化物在同一基板之不同第二表面上的方法,其中選擇性地沉積所述包括鎳之材料包括提供所述第一表面OH封端且提供所述第二表面H封端;且選擇性地沉積所述鍺氧化物包括在選擇性地沉積所述包括鎳之材料之後氧化所述包括鎳之材料之表面及所述第二表面。
  52. 一種用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,所述方法包括:相對於所述基板之所述第二表面,將所述釕選擇性地沉積在所述基板之所述第一表面上;且相對於所述第一表面,將所述鍺氧化物或所述二氧化矽選擇性地沉積在所述基板之所述第二表面上。
  53. 如申請專利範圍第52項所述之用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,其中在選擇性地沉積所述鍺氧化物或二氧化矽之前選擇性地沉積所述釕。
  54. 如申請專利範圍第52項所述之用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,其中所述第一表面為金屬。
  55. 如申請專利範圍第52項所述之用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,其中所述第二表面為氧化物表面。
  56. 如申請專利範圍第55項所述之用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,其中所述氧化物表面包括具有OH表面封端之介電質表面材料。
  57. 如申請專利範圍第52項所述之用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,其中將所述鍺氧化物或所述二氧化矽選擇性地沉積在所述基板之所述第二表面上包括使用鈍化前驅物。
  58. 如申請專利範圍第57項所述之用於選擇性地沉積釕在基板之第一表面上及鍺氧化物或二氧化矽在同一基板之第二表面上的方法,其中所述鈍化前驅物由HCOOH、包括烷基胺之前驅物或兩者中選出。
TW104112213A 2014-04-16 2015-04-16 雙重選擇性沉積 TWI652734B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461980373P 2014-04-16 2014-04-16
US61/980,373 2014-04-16

Publications (2)

Publication Number Publication Date
TW201543570A TW201543570A (zh) 2015-11-16
TWI652734B true TWI652734B (zh) 2019-03-01

Family

ID=54321505

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104112213A TWI652734B (zh) 2014-04-16 2015-04-16 雙重選擇性沉積

Country Status (3)

Country Link
US (4) US10047435B2 (zh)
KR (4) KR102197048B1 (zh)
TW (1) TWI652734B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
EP3114250B1 (en) * 2014-03-03 2024-05-01 Picosun Oy Protecting an interior of a gas container with an ald coating
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6243290B2 (ja) * 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP6747273B2 (ja) * 2016-12-13 2020-08-26 株式会社村田製作所 電子部品の製造方法及び電子部品
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN109689838A (zh) * 2016-12-26 2019-04-26 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10319868B2 (en) 2017-01-06 2019-06-11 Nanoclear Technologies Inc. Methods and systems to boost efficiency of solar cells
US10017384B1 (en) 2017-01-06 2018-07-10 Nanoclear Technologies Inc. Property control of multifunctional surfaces
US10121919B2 (en) * 2017-01-06 2018-11-06 Nanoclear Technologies Inc. Control of surface properties by deposition of particle monolayers
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6832776B2 (ja) * 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
MX2019013111A (es) 2017-05-05 2019-12-16 Quantum Si Inc Sustratos que tienen reactividad de superficie modificada y propiedades antiincrustantes en reacciones biologicas.
US10950500B2 (en) * 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102331718B1 (ko) 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI772459B (zh) 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 用於製備自組裝單層的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI722301B (zh) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP2019062142A (ja) 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200074263A (ko) 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
TWI790320B (zh) * 2017-12-16 2023-01-21 美商應用材料股份有限公司 釕的選擇性原子層沉積
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW201943881A (zh) * 2018-04-13 2019-11-16 美商應用材料股份有限公司 選擇性原子層沉積的方法
WO2019210234A1 (en) * 2018-04-27 2019-10-31 Tokyo Electron Limited Area selective deposition for cap layer formation in advanced contacts
KR102443580B1 (ko) 2018-04-28 2022-09-16 어플라이드 머티어리얼스, 인코포레이티드 가스 펄싱 기반 공유 전구체 분배 시스템 및 사용 방법들
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
SG11202011847TA (en) * 2018-05-28 2020-12-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP6995997B2 (ja) * 2018-07-17 2022-02-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020096976A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Chemical vapor deposition processes using ruthenium precursor and reducing gas
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
EP3900022A4 (en) * 2018-12-21 2022-09-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude PRECURSORS AND METHODS FOR DEPOSITING SI-CONTAINING FILMS USING ALD AT A TEMPERATURE GREATER OR EQUAL TO 550°C
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020165990A1 (ja) 2019-02-14 2020-08-20 株式会社日立ハイテクノロジーズ 半導体製造装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11778929B2 (en) 2019-02-27 2023-10-03 International Business Machines Corporation Selective encapsulation for metal electrodes of embedded memory devices
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7154159B2 (ja) 2019-03-15 2022-10-17 東京エレクトロン株式会社 成膜方法および成膜装置
SG11202110268WA (en) * 2019-03-20 2021-10-28 Kokusai Electric Corp Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210015076A (ko) * 2019-07-31 2021-02-10 삼성전자주식회사 코발트 화합물, 이를 이용한 코발트 금속층의 선택적 형성 방법 및 반도체 소자의 제조 방법
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US11043628B2 (en) 2019-10-08 2021-06-22 International Business Machines Corporation Multi-layer bottom electrode for embedded memory devices
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
EP4028570A1 (en) 2019-10-11 2022-07-20 Quantum-Si Incorporated Surface modification in the vapor phase
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11217481B2 (en) 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7204718B2 (ja) * 2020-09-29 2023-01-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220181599A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Lithium metal surface modification using carbonate passivation
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
US11702733B2 (en) 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023090251A (ja) * 2021-12-17 2023-06-29 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム
KR20230105309A (ko) 2022-01-03 2023-07-11 아주대학교산학협력단 3차원 구조를 갖는 멤리스터 소자 및 그 제조방법

Family Cites Families (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) * 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
DE60137791D1 (de) 2000-11-30 2009-04-09 Asm Int Dünnfilme für magnetische vorrichtungen
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
WO2007066277A2 (en) 2005-12-07 2007-06-14 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2009036046A1 (en) 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl trialkoxy hafnium and zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) * 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) * 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
KR101078309B1 (ko) 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CA2767472A1 (en) 2009-07-31 2011-02-03 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2012107138A1 (en) 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) * 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
SG11201407657YA (en) 2012-05-18 2014-12-30 Advanced Tech Materials Aqueous clean solution with low copper etch rate for organic residue removal improvement
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP6277963B2 (ja) 2013-01-31 2018-02-14 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA3009048A1 (en) 2013-09-20 2015-03-26 Baker Hughes, A Ge Company, Llc Composites for use in stimulation and sand control operations
WO2015047345A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Also Published As

Publication number Publication date
US20200181766A1 (en) 2020-06-11
KR20220030970A (ko) 2022-03-11
US10047435B2 (en) 2018-08-14
KR102197048B1 (ko) 2020-12-31
TW201543570A (zh) 2015-11-16
US10443123B2 (en) 2019-10-15
KR20150119816A (ko) 2015-10-26
KR102367720B1 (ko) 2022-02-25
US20190100837A1 (en) 2019-04-04
US11525184B2 (en) 2022-12-13
KR20210099547A (ko) 2021-08-12
US20150299848A1 (en) 2015-10-22
US20210285097A1 (en) 2021-09-16
KR102288383B1 (ko) 2021-08-11
US11047040B2 (en) 2021-06-29
KR20200146036A (ko) 2020-12-31

Similar Documents

Publication Publication Date Title
TWI652734B (zh) 雙重選擇性沉積
KR102586705B1 (ko) 금속들, 금속 산화물들, 및 유전체들의 선택적 퇴적
TWI718308B (zh) 將薄膜選擇性地沈積於基底上的製程
TWI709655B (zh) 金屬膜的選擇性沈積
TWI655308B (zh) 沉積鈦鋁薄膜的製程
TWI729285B (zh) 金屬薄膜的選擇性沈積
JP2010508661A (ja) 金属炭化物膜の気相成長
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
WO2013117955A1 (en) Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
TWI622098B (zh) 循環沈積製程
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition