KR100719177B1 - 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법 - Google Patents

선택적 원자층 증착법을 이용한 텅스텐막 형성 방법 Download PDF

Info

Publication number
KR100719177B1
KR100719177B1 KR1020000044314A KR20000044314A KR100719177B1 KR 100719177 B1 KR100719177 B1 KR 100719177B1 KR 1020000044314 A KR1020000044314 A KR 1020000044314A KR 20000044314 A KR20000044314 A KR 20000044314A KR 100719177 B1 KR100719177 B1 KR 100719177B1
Authority
KR
South Korea
Prior art keywords
gas
purge gas
tungsten
contact hole
tungsten film
Prior art date
Application number
KR1020000044314A
Other languages
English (en)
Other versions
KR20020010821A (ko
Inventor
윤종호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020000044314A priority Critical patent/KR100719177B1/ko
Publication of KR20020010821A publication Critical patent/KR20020010821A/ko
Application granted granted Critical
Publication of KR100719177B1 publication Critical patent/KR100719177B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 고집적 반도체 소자의 콘택홀 또는 비아 내부를 공공없이 매립할 수 있는, 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법에 관한 것으로, 고단차의 콘택홀 또는 비아 내부에 ALD(atomic layer deposition) 방법으로 선택적으로 금속층을 형성함으로써 공공의 발생을 방지하고 에치백 공정을 생략할 수 있는 방법을 제시한다. 즉, WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, N2, Ar 또는 He 등과 같은 불활성 가스인 퍼지가스를 차례로 주입하는 한 주기의 공정에 의해 콘택홀 또는 비아 저면의 실리콘층에 텅스텐 단원자층을 선택적으로 형성하고, 계속하여 WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, 퍼지가스를 차례로 주입하는 일련의 증착공정을 다수번 반복하여 콘택홀 또는 비아 내부에 텅스텐막을 형성한다.
텅스텐막, 선택적 증착, ALD, 콘택홀, 비아

Description

선택적 원자층 증착법을 이용한 텅스텐막 형성 방법{Method for forming tungsten layer by using selective ALD method}
도 1은 종래 기술에 따라 콘택홀 내부에 금속막을 매립할 경우 공공이 발생됨을 보이는 공정 단면도,
도 2a 내지 도 2d는 본 발명의 제1 실시예에 따른 텅스텐막 형성 공정 단면도,
도 3은 WF6 가스, 퍼지가스, 환원가스 및 퍼지가스를 차례로 주입하는 일련의 증착공정을 두번 반복하는 동안의 반응기 압력을 보이는 개략도,
도 4a 내지 도 4d는 본 발명의 제2 실시예에 따른 텅스텐막 형성 공정 단면도.
*도면의 주요부분에 대한 도면 부호의 설명*
20, 30: 실리콘 기판 21, 31, 33: 층간절연 산화막
22, 34: 텅스텐막 32: 폴리실리콘막 패턴
본 발명은 반도체 소자 제조 분야에 관한 것으로, 특히 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법에 관한 것이다.
256Mb 수준의 집적도를 갖는 소자 및 그 이상의 고집적 메모리 소자의 콘택홀 또는 비아는 높은 단차비를 갖는다. 단차가 높은 콘택홀 또는 비아 내부에 전도막을 채우기 위해 종래에는, 콘택홀 또는 비아 형성이 완료된 전체 구조 상에 텅스텐막을 화학기상증착법(chemical vapor deposition, CVD) 방법으로 증착하고 에치백(etch-back) 공정을 실시한다.
화학기상증착방법으로 형성되는 텅스텐막은 단차피복(step coverage) 특성이 양호하기 때문에 상대적으로 낮은 저집적 소자의 콘택홀 내부에는 공공(void)의 형성없이 텅스텐막을 매립할 수 있다. 그러나 소자의 고집적화가 진행되면서 콘택홀의 크기는 작아지고 깊이는 깊어짐에 따라 공정 윈도우(window)가 작아지면서 공정 여유도가 줄어들어 콘택홀 내에 공공없이 텅스텐을 매립하기 어렵다.
도 1은 종래 기술에 따라 콘택홀 내에 금속막을 매립하는 공정을 도시한 단면도로서, 반도체 기판(10)을 덮는 층간절연막(11)을 선택적으로 식각하여 반도체 기판을 노출시키는 콘택홀을 형성하고, 전체 구조 상에 금속막(12)을 증착하는 과정에서 콘택홀 내에 공공(V)이 형성된 것을 보인다.
이와 같이 콘택홀 내에 비아가 형성될 경우 소자의 전기적 특성이 저하된다. 따라서, 단차가 높은 고집적 소자의 콘택홀 또는 비아를 공공없이 매립할 수 있는 방법이 필요한 실정이다.
상기와 같은 문제점을 해결하기 위한 본 발명은 고집적 반도체 소자의 콘택홀 또는 비아 내부를 공공없이 매립할 수 있는, 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법을 제공하는데 그 목적이 있다.
상기와 같은 목적을 달성하기 위한 본 발명은 실리콘층 상에 오픈부를 갖는 산화막을 형성하는 제1 단계, WF6 가스, 퍼지가스, 환원가스 및 퍼지가스를 차례로 주입하는 한 주기의 공정을 실시하여, 상기 오픈부 내의 상기 실리콘층 상에 선택적으로 텅스텐 원자층을 형성하는 제2 단계를 포함하는 텅스텐막 형성 방법을 제공한다.
또한 상기 목적을 달성하기 위한 본 발명은, 상기 제2 단계 후 상기 WF6 가스, 퍼지가스, 환원가스 및 퍼지가스를 차례로 주입하는 한 주기의 공정을 적어도 한번 실시하여, 상기 텅스텐 원자층 상에 선택적으로 텅스텐막을 형성하는 제3 단계를 더 포함하는 것을 특징으로 하는 텅스텐막 형성 방법을 제공한다.
본 발명은 고단차의 콘택홀 또는 비아 내부에 ALD(atomic layer deposition) 방법으로 선택적으로 금속층을 형성함으로써 공공의 발생을 방지하고 에치백 공정 을 생략할 수 있는 방법을 제시한다.
ALD 공정은 각각의 반응 기체들을 분리하여 반응기 내로 도입하여 증착을 진행하는데 특징이 있는 방법으로서, 이러한 점에서 CVD 방법과 증착원리가 다르다. ALD 공정을 이용한 박막 증착 과정에서 반응기 내에 분리 도입된 반응기체 중 일부는 기판 상에 흡착되고, 흡착되지 못한 반응기체는 퍼지가스(purge gas)에 의해 반응기 밖으로 배출된다. 그리고, 반응기 내에 퍼지가스가 주입된 후 다시 반응기체가 주입되면 기판 상에 흡착된 이전의 증착물과 반응하여 박막을 형성하고. 이때에도 반응하지 못한 잉여의 반응기체는 반응기 밖으로 배출된다. 이와 같이 반응기체 주입 단계와 퍼지가스 주입 단계로 이루어지는 1주기(cycle)당 증착되는 막의 두께가 제한되면서 표면 반응 제한(surface reaction limiting) 상태로 박막 증착이 이루어진다. 이러한 특성을 갖는 ALD 증착 방법은 단차피복 특성이 우수하며, 주기수를 조절함으로써 박막 두께를 정밀하게 제어할 수 있으며, 막 내에 소량의 불순물을 도핑하고자 할 경우에는 기존 공정 주기에 도핑하고자 하는 반응 기체 주입 단계를 추가하면 되는 장점을 갖기 때문에 화합물 반도체 소자 등에 많이 응용되고 있다.
선택적으로 금속막을 증착하는 화학기상증착 공정은 기판의 종류에 따른 반응 선택도를 이용하여 일부 표면에만 박막을 성장시키는 방법으로써, 주로 Si과 SiO2 표면에서의 반응 선택도를 이용하여 Si 상에서만 박막을 성장시키는 공정에 대한 연구가 개발 진행되고 있다. 그 중에서도, 상기와 같은 반응 선택성 메커니즘을 이용하여 Al, W, Cu 등의 금속을 화학기상증착 방법으로 증착하는 공정에 대한 연 구가 주로 이루어지고 있다.
본 발명은 단차피복성이 양호하고 두께 조절이 용이하며 패터닝을 위한 식각 공정을 생략하는 장점을 모두 얻기 위하여, ALD 공정과 선택적 화학기상증착 방법을 접목한, ALD 증착법을 이용하여 선택적으로 텅스텐막을 형성한다. 즉, WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, N2, Ar 또는 He 등과 같은 불활성 가스인 퍼지가스를 차례로 주입하는 한 주기의 공정에 의해 콘택홀 또는 비아 저면의 실리콘층에 텅스텐 단원자층을 선택적으로 형성하고, 계속하여 WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, 퍼지가스를 차례로 주입하는 일련의 증착공정을 다수번 반복하여 콘택홀 또는 비아 내부에 텅스텐막을 형성한다.
이하, 첨부된 도면을 도 2a 내지 도 2d 및 도 3을 참조하여 본 발명의 제1 실시 예에 따른 텅스텐막 증착 방법을 설명한다.
도 2a는 실리콘 기판(20)을 덮는 층간절연 산화막(21)을 선택적으로 식각하여 실리콘 기판(20)을 노출시키는 콘택홀(C)을 형성한 상태를 보이고 있다.
이어서 반응기 내에 WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, 퍼지가스를 차례로 주입하는 일련의 증착공정을 반복적으로 진행한다.
도 2a의 콘택홀 저면부(100)를 확대한 단면도인 도 2b를 참조하여 상기와 같은 일련의 증착공정에 따라 콘택홀 저면부(100)에 텅스텐막이 증착되는 원리를 보다 상세하게 설명한다.
가장 먼저 반응기 내에 주입된 WF6 가스는 산화막과 접착력이 불량하기 때문에 층간절연 산화막(21)에는 흡착되지 않고 실리콘 기판(20) 표면에만 흡착되어 WF6층(A)이 형성되고, 이어서 반응기 내에 주입되는 퍼지가스에 의해 잉여의 WF6 가스는 반응기 밖으로 배출된다. 그 후 반응기 내에 주입되는 H2 또는 SiH4와 같은 환원가스는 실리콘 기판(20) 표면에 흡착되어 있는 WF6를 환원시켜 Si 기판에 W이 증착되고 반응 부산물은 휘발되어 제거된다. 실리콘 기판(20) 표면에 흡착되어 있는 WF6가 한정되어 있으므로, 상기와 같은 환원반응은 WF6가 모두 소진되면 더 이상 진행이 될 수 없으므로 자기-제한 공정(self-limiting process)이 된다.
이와 같이 WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, N2, Ar 또는 He 등과 같은 불활성 가스인 퍼지가스를 차례로 주입하는 한 주기의 공정에 의해 도 2c에 보이는 바와 같이 콘택홀 저면의 실리콘 기판(20) 상에 제1 텅스텐 단원자층(22A)이 선택적으로 형성된다.
계속하여, WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, 퍼지가스를 차례로 주입하는 일련의 증착공정을 진행하여 도 2d에 보이는 바와 같이 제1 텅스텐 단원자층(22A) 상에 제2 단원자층(22B)을 형성하고, 상기와 같은 일련의 증착공정을 다수번 반복하여 콘택홀 내부에 텅스텐막(22)을 형성한다.
도 3은 WF6 가스(S1), 퍼지가스(S2), 환원가스(S3), 퍼지가스(S4)를 차례로 주입하는 일련의 증착공정을 두번 반복하는 동안의 반응기 압력을 보이는 개략도이다. 반응기 내에 각각의 가스는 0.001 초 내지 60 초 동안 주입한다. 상기 일련의 증착공정은 25 ℃ 내지 800 ℃ 온도 및 0.1 mTorr 내지 50 Torr 압력 조건에서 실시한다.
전술한 본 발명의 제1 실시예는 그 저면에 실리콘 기판(20)을 노출시키는 콘택홀 내에 텅스텐막을 선택적으로 증착하는 방법을 설명하였지만, 본 발명을 이용하여 그 저면에 하부배선을 노출시키는 비아 내에 텅스텐막을 선택적으로 증착할 수도 있다.
이하, 첨부된 도면을 도 4a 내지 도 4d를 참조하여 본 발명의 제2 실시 예에 따른 텅스텐막 증착 방법을 설명한다.
도 4a는 실리콘 기판(30)을 덮는 층간절연 산화막(31) 내에 형성된 콘택홀을 통하여 실리콘 기판(30)과 연결되는 폴리실리콘막 패턴(32)을 형성하고, 전체 구조 상에 제2 층간절연 산화막(33)을 형성한 다음, 제2 층간절연 산화막(33)을 선택적으로 식각하여 폴리실리콘막 패턴(32)을 노출시키는 비아를 형성한다.
이어서 반응기 내에 WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, 퍼지가스를 차례로 주입하는 일련의 증착공정을 반복적으로 진행한다.
도 4a의 비아 저면부(200)를 확대한 단면도인 도 4b를 참조하여 상기와 같은 일련의 증착공정에 따라 비아 저면부(200)에 텅스텐막이 증착되는 원리를 보다 상세하게 설명한다.
가장 먼저 반응기 내에 주입된 WF6 가스는 산화막과 접착력이 불량하기 때문에 제2 층간절연 산화막(31)에는 흡착되지 않고 폴리실리콘막 패턴(32) 표면에만 흡착되어 WF6층(D)이 형성되고, 이어서 반응기 내에 주입되는 퍼지가스에 의해 잉여의 WF6 가스는 반응기 밖으로 배출된다. 그 후 반응기 내에 주입되는 H2 또는 SiH4 와 같은 환원가스는 폴리실리콘막 패턴(32) 표면에 흡착되어 있는 WF6를 환원시켜 폴리실리콘막 패턴(32) 표면에 텅스텐이 증착되고 반응 부산물은 휘발되어 제거된다.
이와 같이 WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, N2, Ar 또는 He 등과 같은 불활성 가스인 퍼지가스를 차례로 주입하는 한 주기의 공정에 의해 도 4c에 보이는 바와 같이 비아 저면의 폴리실리콘막 패턴(32) 표면에 제1 텅스텐 단원자층(34A)이 선택적으로 형성된다.
계속하여, WF6 가스, 퍼지가스, H2 또는 SiH4 등의 환원가스, 퍼지가스를 차례로 주입하는 일련의 증착공정을 진행하여 도 4d에 보이는 바와 같이 제1 텅스텐 단원자층(34A) 상에 제2 단원자층(34B)을 형성하고, 상기와 같은 일련의 증착공정을 다수번 반복하여 콘택홀 내부에 텅스텐막(34)을 형성한다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
상기와 같이 이루어지는 본 발명은 ALD 방법을 이용한 선택적으로 금속 증착방법으로 공공없이 고단차의 콘택홀 및 비아 내부를 매립할 수 있고, 에치백 공정을 생략할 수 있어 소자의 생산성을 향상시킬 수 있다.

Claims (6)

  1. 텅스텐막 형성 방법에 있어서,
    실리콘층 상에 오픈부를 갖는 산화막을 형성하는 제1 단계; 및
    WF6 가스, 퍼지가스, 환원가스 및 퍼지가스를 차례로 주입하는 한 주기의 공정을 실시하여, 상기 오픈부 내의 상기 실리콘층 상에 선택적으로 텅스텐 원자층을 형성하는 제2 단계
    를 포함하는 텅스텐막 형성 방법.
  2. 제 1 항에 있어서,
    상기 제2 단계 후,
    상기 WF6 가스, 퍼지가스, 환원가스 및 퍼지가스를 차례로 주입하는 한 주기의 공정을 적어도 한번 실시하여, 상기 텅스텐 원자층 상에 선택적으로 텅스텐막을 형성하는 제3 단계
    를 더 포함하는 것을 특징으로 하는 텅스텐막 형성 방법.
  3. 제 2 항에 있어서,
    상기 환원가스는 H2 또는 SiH4인 것을 특징으로 하는 텅스텐막 형성 방법.
  4. 제 3 항에 있어서,
    상기 퍼지가스는,
    N2, Ar 또는 He인 것을 특징으로 하는 텅스텐막 형성 방법.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제2 단계 또는 상기 제3 단계 각각에서,
    WF6 가스, 퍼지가스, 환원가스 및 퍼지가스를 차례로 주입하는 일련의 증착 공정에서 상기 WF6 가스, 퍼지가스, 환원가스 및 퍼지가스 각각을 0.001 초 내지 60 초 동안 주입하는 것을 특징으로 하는 텅스텐막 형성 방법.
  6. 제 5 항에 있어서,
    상기 일련의 증착 공정은 25 ℃ 내지 800 ℃ 온도 및 0.1 mTorr 내지 50 Torr 압력 조건에서 실시하는 것을 특징으로 하는 텅스텐막 형성 방법.
KR1020000044314A 2000-07-31 2000-07-31 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법 KR100719177B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020000044314A KR100719177B1 (ko) 2000-07-31 2000-07-31 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000044314A KR100719177B1 (ko) 2000-07-31 2000-07-31 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법

Publications (2)

Publication Number Publication Date
KR20020010821A KR20020010821A (ko) 2002-02-06
KR100719177B1 true KR100719177B1 (ko) 2007-05-17

Family

ID=19681011

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000044314A KR100719177B1 (ko) 2000-07-31 2000-07-31 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법

Country Status (1)

Country Link
KR (1) KR100719177B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110075915A (ko) * 2009-12-29 2011-07-06 주식회사 아토 박막 증착방법

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100680940B1 (ko) * 2000-12-28 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990070631A (ko) * 1998-02-23 1999-09-15 황철주 반도체 소자의 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990070631A (ko) * 1998-02-23 1999-09-15 황철주 반도체 소자의 제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110075915A (ko) * 2009-12-29 2011-07-06 주식회사 아토 박막 증착방법

Also Published As

Publication number Publication date
KR20020010821A (ko) 2002-02-06

Similar Documents

Publication Publication Date Title
KR100719177B1 (ko) 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
JP7372247B2 (ja) 堆積方法
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
US20060265868A1 (en) Inter-metal dielectric fill
US20090081863A1 (en) Method of forming metal wiring layer of semiconductor device
EP1221177A1 (en) Conformal lining layers for damascene metallization
KR100602087B1 (ko) 반도체 소자 및 그 제조방법
KR100519376B1 (ko) 반도체 소자의 확산 방지막 형성 방법
KR100528069B1 (ko) 반도체 소자 및 그 제조 방법
KR20040059842A (ko) 반도체 장치의 콘택트 홀 형성 방법
US7186646B2 (en) Semiconductor devices and methods of forming a barrier metal in semiconductor devices
US6812144B2 (en) Method for forming metal wiring in a semiconductor device
KR100780689B1 (ko) 반도체 소자의 제조방법
KR100680940B1 (ko) 반도체 소자의 금속배선 형성방법
KR20060058583A (ko) 도전성 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
KR100499401B1 (ko) 반도체 소자의 금속배선 형성방법
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
KR100639215B1 (ko) 텅스텐 박막 증착방법
KR100503965B1 (ko) 반도체 소자의 확산 방지막 형성 방법
JPH1074709A (ja) 半導体装置とその製造方法
KR20060011396A (ko) 반도체 소자의 다층 금속배선 형성방법
KR20010059541A (ko) 반도체 소자의 금속배선 형성방법
KR100293816B1 (ko) 반도체소자의층간절연막형성방법
KR100687876B1 (ko) 반도체 소자의 금속 콘택 형성 방법
KR100430589B1 (ko) 콘택 플러그 형성을 위한 씨.브이.디 텅스텐 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110429

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee