TWI655308B - 沉積鈦鋁薄膜的製程 - Google Patents

沉積鈦鋁薄膜的製程 Download PDF

Info

Publication number
TWI655308B
TWI655308B TW104134610A TW104134610A TWI655308B TW I655308 B TWI655308 B TW I655308B TW 104134610 A TW104134610 A TW 104134610A TW 104134610 A TW104134610 A TW 104134610A TW I655308 B TWI655308 B TW I655308B
Authority
TW
Taiwan
Prior art keywords
precursor
substrate
titanium
gas phase
nitrogen
Prior art date
Application number
TW104134610A
Other languages
English (en)
Other versions
TW201617470A (zh
Inventor
蘇維 賀加
麥克 吉文斯
艾立克 雪洛
傑利 溫克
佩托 拉薩內尼
泰瑪 阿西凱寧
朱馳宇
雅各 安提拉
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201617470A publication Critical patent/TW201617470A/zh
Application granted granted Critical
Publication of TWI655308B publication Critical patent/TWI655308B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

一種用於在反應空間中將包括氮之鈦鋁薄膜或鉭鋁薄膜 沈積在基板上的製程可包含至少一個沈積循環。沈積循環可包含使基板與氣相鈦前驅體或氣相鉭前驅體及氣相鋁前驅體交替且連續地接觸。氣相鈦前驅體或氣相鉭前驅體及氣相鋁前驅體中之至少一者可在氣相氮前驅體之存在下接觸基板。

Description

沈積鈦鋁薄膜的製程
本發明大體上是關於氣相沈積方法,且更特定言之是關於鈦鋁薄膜或鉭鋁薄膜之沈積。
含有鈦鋁或鉭鋁之薄膜可用於多種情形中,特定言之可用於半導體工業中,例如用於積體電路中。此類膜可在用於例如金屬閘極應用或其他應用,例如在積體電路中的障壁及電極應用中受到關注。迄今為止,已經證實具有適合於併入半導體裝置中之結構特性及電特性的此類膜的沈積是困難的。
用於在反應空間中將包括氮之鈦鋁薄膜或鉭鋁薄膜沈積在基板上的方法可包括至少一個沈積循環。各沈積循環可包括使基板與氣相Ti前驅體或氣相Ta前驅體及氣相Al前驅體交替且連續地接觸。在各沈積循環中,氣相Ti前驅體或氣相Ta前驅體及氣相Al前驅體中之至少一者可在氣相氮前驅體之存在下接觸基板。
在一些實施例中,Al前驅體可包括烷基化合物或烯基化合物或其衍生物。在一些實施例中,Al前驅體可包括鋁烷(alane)、胺基鋁烷(aminealane)或氫化鋁化合物或其衍生物。在一些實施例中,Al前驅體包括三第三丁基鋁(tritertbutylaluminum;TTBA)。在一些實施例中,Ti前驅體或Ta前驅體包括鹵化物配位體。在一些實施例中,鈦前驅體或鉭前驅體包括TiCl4。在一些實施例中,鈦前驅體或鉭前驅體包括TaCl5。在一些實施例中,鋁前驅體包括三第三丁基鋁(TTBA)且鈦前驅體或鉭前驅體包括TiCl4。在一些實施例中,鋁前驅體包括三第三丁基鋁(TTBA)且鈦前驅體或鉭前驅體包括TaCl5
在一些實施例中,將氣相氮前驅體與Ti前驅體或Ta前驅體及Al前驅體中之一或兩者同時引入至反應空間中。在一些實施例中,氣相氮前驅體充當用於Ti前驅體或Ta前驅體及Al前驅體中之一或兩者的運載氣體(carrier gas)。在一些實施例中,氣相氮前驅體包括N2
在一些實施例中,至少一個沈積循環在小於500℃下進行。在一些實施例中,至少一個沈積循環在約300℃至約400℃下進行。在一些實施例中,若存在過量前驅體及反應副產物,在使基板與氣相Ti前驅體或氣相Ta前驅體接觸之後且在隨後使基板與氣相Al前驅體接觸之前,自反應空間移除過量前驅體及反應副產物。在一些實施例中,氣相氮前驅體在整個沈積循環期間流入反應空間中,且若存在過量前驅體及反應副產物,氣相氮前驅體輔助自所述反應空間移除過量前驅體及反應副產物。
在一些實施例中,鈦鋁薄膜或鉭鋁薄膜包括按原子計至 多約40%之碳。在一些實施例中,鈦鋁薄膜或鉭鋁薄膜包括按原子計至多約25%之氮。在一些實施例中,製程為原子層沈積製程。在一些實施例中,反應物中之至少一者在基板表面上至少部分地分解。
根據一些實施例,一種用於在反應空間中將包括氮之鈦鋁薄膜沈積在基板上的製程包括一或多個沈積循環。各沈積循環可包括:使基板與包括TiCl4之第一氣相前驅體接觸,若存在過量第一氣相前驅體及反應副產物,自所述基板移除過量第一氣相前驅體及反應副產物,使所述基板與包括TTBA之第二氣相前驅體接觸,以及若存在過量第二氣相前驅體及反應副產物,自所述基板移除過量第二氣相前驅體及反應副產物。在一些實施例中,使基板與包括TiCl4之第一氣相前驅體接觸及使基板與包括TTBA之第二氣相前驅體接觸的步驟中的至少一者在包括N2之氮前驅體的存在下進行。在一些實施例中,一或多個沈積循環在約300℃至約400℃下進行。
根據一些實施例,一種用於在反應空間中將包括氮之鉭鋁薄膜沈積在基板上的製程包括一或多個沈積循環。各沈積循環可包括:使基板與包括TaCl5之第一氣相前驅體接觸,若存在過量第一氣相前驅體及反應副產物,自基板移除過量第一氣相前驅體及反應副產物,使所述基板與包括TTBA之第二氣相前驅體接觸,以及若存在過量第二氣相前驅體及反應副產物,自所述基板移除過量第二氣相前驅體及反應副產物。在一些實施例中,使基板與包括TaCl5之第一氣相前驅體接觸及使基板與包括TTBA之第二氣相前驅體接觸的步驟中的至少一者在氮前驅體之存在下進行。在 一些實施例中,一或多個沈積循環在約300℃至約400℃下進行。
在一些實施例中,包括氮之鈦鋁薄膜或鉭鋁薄膜包括按原子計至多約25%之氮。在一些實施例中,包括氮之鈦鋁薄膜或鉭鋁薄膜包括按原子計至多約40%之碳。在一些實施例中,將包括N2之氮前驅體引入至反應空間中以作為用於包括TiCl4之第一氣相前驅體及包括TTBA之第二氣相前驅體中的每一者的運載氣體。
在一些實施例中,移除過量的包括TTBA之第二氣相前驅體包括在不提供包括TTBA之第二氣相前驅體的情況下使包括N2之氮前驅體繼續流入反應空間中。在一些實施例中,移除過量的包括TiCl4之第一氣相前驅體包括在不提供包括TiCl4之第一氣相前驅體的情況下使包括N2之氮前驅體繼續流動。在一些實施例中,重複沈積循環兩次或大於兩次以將包括氮之TiAl(鈦鋁)薄膜沈積至所需厚度。在一些實施例中,在各沈積循環中,包括TiCl4之第一氣相前驅體及包括TTBA之第二氣相前驅體兩者均在包括N2之氮前驅體的存在下接觸基板。
在一些實施例中,移除過量的包括TTBA之第二氣相前驅體包括在不提供包括TTBA之第二氣相前驅體的情況下使氮前驅體繼續流入反應空間中。在一些實施例中,移除過量的包括TaCl5之第一氣相前驅體包括在不提供包括TaCl5之第一氣相前驅體的情況下使氮前驅體繼續流動。在一些實施例中,重複沈積循環兩次或大於兩次以將包括氮之TaAl(鉭鋁)薄膜沈積至所需厚度。在一些實施例中,在各沈積循環中,包括TaCl5之第一氣相前驅體及包括TTBA之第二氣相前驅體兩者均在氮前驅體之存在下 接觸基板。
根據一些實施例,一種用於在反應空間中將包括氮之鈦鋁薄膜沈積在基板上的製程包括至少一個沈積循環。在一些實施例中,沈積循環可包括:使基板與包括TiCl4之第一氣相前驅體接觸,若存在過量第一氣相前驅體及反應副產物,自所述基板移除過量第一氣相前驅體及反應副產物,使所述基板與包括TTBA之第二氣相前驅體接觸,以及若存在過量第二氣相前驅體及反應副產物,自所述基板移除過量第二氣相前驅體及反應副產物。在一些實施例中,使基板與包括TiCl4之第一氣相前驅體接觸及使基板與包括TTBA之第二氣相前驅體接觸的步驟中的至少一者在包括N2之氮前驅體的存在下進行。在一些實施例中,所述製程更包括視情況使基板與包括矽烷或硼烷之保護性處理試劑接觸。在一些實施例中,所述製程更包括視情況重複至少第一氣相前驅體及第二氣相前驅體接觸步驟及移除步驟,直至已形成所需厚度的包括氮之鈦鋁(TiAl)薄膜為止。
根據一些實施例,一種用於在反應空間中將包括氮之鉭鋁薄膜沈積在基板上的製程包括至少一個沈積循環。在一些實施例中,沈積循環可包括:使基板與包括TaCl5之第一氣相前驅體接觸,若存在過量第一氣相前驅體及反應副產物,自所述基板移除過量第一氣相前驅體及反應副產物,使所述基板與包括TTBA之第二氣相前驅體接觸,以及若存在過量第二氣相前驅體及反應副產物,自所述基板移除過量第二氣相前驅體及反應副產物。在一些實施例中,使基板與包括TaCl5之第一氣相前驅體接觸及使基板與包括TTBA之第二氣相前驅體接觸的步驟中的至少一者在氮前 驅體之存在下進行。在一些實施例中,所述製程更包括視情況使基板與包括矽烷或硼烷之保護性處理試劑接觸。在一些實施例中,所述製程更包括視情況重複至少第一氣相前驅體及第二氣相前驅體接觸步驟及移除步驟,直至已形成所需厚度的包括氮之鉭鋁(TaAl)薄膜為止。
在一些實施例中,在各沈積循環中,使基板與包括矽烷或硼烷之保護性處理試劑接觸。在一些實施例中,矽烷或硼烷是由下述者所組成之族群中選出的:單矽烷、二矽烷、三矽烷、硼烷、二硼烷以及三硼烷。在一些實施例中,在每2個、每5個、每10個、每20個或大於20個沈積循環之後,使基板與包括矽烷或硼烷之保護性處理試劑接觸。在一些實施例中,包括氮之TiAl薄膜或TaAl薄膜包括約5原子%至約50原子%之矽烷或硼烷。在一些實施例中,保護性處理試劑包括氣相保護性處理試劑。
100‧‧‧ALD型沈積方法
120、130、140、150、160、502、504、506、512、514、516、522、524‧‧‧步驟
300‧‧‧高k介電材料
310、406‧‧‧層
320‧‧‧薄膜
400‧‧‧堆疊
402‧‧‧介電層
404‧‧‧第一金屬氮化物層
408‧‧‧第二金屬氮化物層
410‧‧‧金屬層
412‧‧‧矽
圖1繪示一種用於在反應空間中將包括氮之TiAl薄膜或TaAl薄膜沈積在基板上的沈積方法的流程圖。
圖2繪示與使用Ar作為運載氣體而沈積之例示性TiAl薄膜相比,如使用N2作為運載氣體而沈積之包括氮之例示性TiAl薄膜的有效功函數(effective work function;eWF)隨沈積溫度而變化之圖。
圖3為根據本發明一些實施例之電極結構的示意性橫截面側視圖,所述電極結構包括有包括氮之TiAl薄膜或TaAl薄膜。
圖4為根據本發明一些實施例之電極結構的示意性橫截面側視圖,所述電極結構包括NMOS堆疊,所述NMOS堆疊包含介電層、第一金屬氮化物層、鈦鋁或鉭鋁層、第二金屬氮化物層以及金屬層。
圖5A到圖5C為製程流程圖,一般繪示在用於形成薄膜堆疊之製程期間根據一些實施例的TiN層或TiAl層或TaAl層之保護性處理。
可在循環沈積方法中自不包括β氫之鋁反應物(諸如三第三丁基鋁(TTBA))及鈦前驅體(諸如TiCl4)或鉭前驅體沈積鈦鋁或鉭鋁膜。如本文所描述,已出乎意料地發現,若在氮(諸如分子氮運載氣體)存在下沈積膜,則氮即使在相對低溫下亦併入所述膜中。在一些實施例中,向膜中添加氮改良一或多種膜特性。舉例而言,根據本發明沈積的包括氮之TiAl膜或TaAl膜可具有比不含氮之TiAl膜或TaAl膜低的功函數,且同時可具有較低電阻率。在一些實施例中,根據本發明沈積之TiAl膜或TaAl膜的電阻率比類似金屬碳化物膜(諸如TiC)之電阻率低。
如本文所用,為簡單起見而使用TiAl或TaAl,且不意欲限制、限定或界定膜中任何Ti、Ta、Al及/或任何其他元素的鍵結或化學狀態,例如氧化態。此外,如本文所提及之TiAl或TaAl膜、層或材料可包括除Ti、Ta及/或Al之外的元素。舉例來說,TiAl膜或TaAl膜亦可包括氮。
在一些實施例中,如由約10奈米厚之膜所量測的,所 沈積之TiAl膜或TaAl膜的電阻率為約3微歐公分(μΩ cm)至約105微歐公分。在一些實施例中,如由約10奈米厚之膜所量測的,所沈積之TiAl膜或TaAl膜的電阻率為約50微歐公分至約104微歐公分。在一些實施例中,如由約10奈米厚之膜所量測的,所沈積之TiAl或TaAl膜的電阻率小於約5×103微歐公分,小於約1000微歐公分,小於約400微歐公分。在一些實施例中,如由約10奈米厚之膜所量測的,所沈積之TiAl膜或TaAl膜的電阻率小於約200微歐公分。膜之電阻率一般如下變化,若所述膜較薄,則電阻率通常較高,且在較厚膜之情況下,電阻率可能為接近塊狀或塊狀薄膜電阻率值。
包括氮之鈦鋁(titanium aluminum;TiAl)薄膜或鉭鋁(tantalum aluminum;TaAl)薄膜可用於多種情形中,包含金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor;MOSFET),諸如n通道MOSFET(n-channel MOSFET;NMOS)中之金屬閘極及閘電極應用。
根據一些實施例,用於在反應空間中將包括氮之TiAl薄膜或TaAl薄膜沈積在基板上的製程可包含使所述基板在氣相氮前驅體之存在下與氣相鋁(aluminum;Al)前驅體及氣相鈦(titanium;Ti)前驅體或氣相鉭(tantalum;Ta)前驅體交替且連續地接觸。
在一些實施例中,藉由包括一或多個沈積循環之製程來沈積包括氮之TiAl膜或TaAl膜,其中至少一個沈積循環包括在反應空間中使基板在氣相氮前驅體之存在下與氣相Al前驅體及在氣相氮前驅體之存在下與Ti前驅體或Ta前驅體交替且連續地 接觸。可重複沈積循環兩次或大於兩次,以便將包括氮之TiAl膜或TaAl膜沈積至所需厚度。在一些實施例中,沈積方法為原子層沉積(atomic layer deposition;ALD)型製程。
在一些實施例中,氮可自氮前驅體(例如N2)併入TiAl薄膜或TaAl薄膜中。在一些實施例中,氮可自例如包括氮前驅體之運載氣體(例如N2運載氣體)併入TiAl或TaAl薄膜中。在氮自氮前驅體併入TiAl薄膜或TaAl薄膜中的一些實施例中,所述膜之某些特性(例如eWF)可能與在藉由不包含氮前驅體之製程而沈積的TiAl膜或TaAl膜中不同。舉例而言,在氮自包括氮前驅體之運載氣體併入TiAl薄膜或TaAl薄膜中的一些實施例中,所述膜之eWF可比在使用不包括氮之運載氣體(例如Ar或其他惰性運載氣體)而沈積的TiAl膜或TaAl膜中低。舉例而言,包括自包括氮前驅體之運載氣體併入之氮的TiAl膜或TaAl膜的eWF可比在藉由使用包括Ar或另一種惰性運載氣體而不包括氮前驅體之運載氣體的製程而沈積的TiAl膜或TaAl膜中低大於約5毫電子伏特,低大於約50毫電子伏特或低大於約100毫電子伏特。
ALD型製程
ALD型製程是基於前驅體化學品之受控自限性表面反應。藉由使基板與前驅體交替且連續地接觸來避免氣相反應。例如藉由在反應物脈衝之間自反應腔室中移除過量反應物及/或反應物副產物來使氣相反應物在基板表面上彼此分離。在一些實施例中,使一或多個基板表面與兩種或大於兩種氣相前驅體或反應物交替且連續地接觸。使基板表面與氣相反應物接觸意謂反應物 蒸氣與基板表面接觸持續有限之時間段。換言之,可理解,將基板表面暴露於各氣相反應物中持續有限之時間段。
簡言之,一般在經降低的壓力下將基板加熱至適合之沈積溫度。沈積溫度一般維持在低於反應物之熱分解溫度但維持在高至足以避免反應物凝聚且為所需表面反應提供活化能之水準下。當然,用於任何給定ALD反應之適當溫度窗將視所涉及之表面終端(surface termination)及反應物種類而定。此處,溫度視所用前驅體而變化,且較佳處於或低於約500℃,較佳介於約250℃與約500℃之間,更佳介於約275℃與約450℃之間,更佳介於約300℃與約425℃之間,且最佳介於約300℃與約400℃之間。
使基板表面與氣相第一反應物接觸。在一些實施例中,向容納有基板之反應空間中提供氣相第一反應物之脈衝。在一些實施例中,將基板移動至含有氣相第一反應物之反應空間。較佳選擇條件為使得氣相第一反應物之不大於約一個單層以自限性方式吸附於基板表面上。適當接觸時間可由技術人員基於特定情形來容易地確定。若存在過量氣相第一反應物及反應副產物,諸如藉由用惰性氣體吹掃或藉由自氣相第一反應物之存在移除基板而自基板表面移除過量氣相第一反應物及反應副產物。
吹掃意謂諸如藉由用真空泵抽空腔室及/或藉由用惰性氣體(諸如氬氣或氮氣)替換反應器內側之氣體來自基板表面移除氣相前驅體及/或氣相副產物。典型吹掃時間為約0.05秒至20秒,更佳介於約1秒與10秒之間,且再更佳介於約1秒與2秒之間。然而,必要時可採用其他吹掃時間,諸如在需要在極高縱 橫比結構或具有複雜表面形態之其他結構上方高度保形步階覆蓋(conformal step coverage)的情況下。
在一些實施例中,吹掃可藉由切斷前驅體之流動,同時使運載氣體繼續流動來實現。因此,在一些實施例中,沈積循環可包括向連續流動之運載氣體中交替且連續地提供Al前驅體及Ti前驅體或Ta前驅體。在一些實施例中,運載氣體包括氮,諸如分子氮。
使基板表面與氣相第二反應物接觸。在一些實施例中,向容納有基板之反應空間中提供氣相第二反應物之脈衝。在一些實施例中,將基板移動至含有氣相第二反應物之反應空間。自基板表面移除表面反應之過量氣相第二反應物及氣相副產物(若存在)。重複接觸及移除之步驟,直至已在基板之第一表面上選擇性地形成所需厚度之薄膜為止,其中各循環留下不大於約一個分子單層。可包含包括使基板之表面與其他反應物交替且連續地接觸的額外階段以形成更複雜之材料(諸如三元材料)。
如上文所提及,各循環之各階段較佳為自限性的。在各階段中供應過量反應物前驅體以使易受影響之結構表面飽和。表面飽和確保反應物佔據所有可供使用之反應性位點(受到例如物理大小或「空間位阻(steric hindrance)」限制),且因此確保極佳之步階覆蓋。典型地,用各循環沈積材料之小於一個分子層,然而,在一些實施例中,在循環期間沈積大於一個分子層。
移除過量反應物可包含抽空反應空間之一些內含物及/或用氦氣、氮氣或另一種惰性氣體吹掃反應空間。在一些實施例中,吹掃可包括切斷反應氣體之流動,同時使惰性運載氣體繼續 流動至反應空間。
假設所述前驅體在其與基板表面接觸之前處於氣相中,用於ALD型製程中之前驅體在標準條件(室溫及大氣壓)下可為固體、液體或氣態材料。使基板表面與經氣化的前驅體接觸意謂經氣化的前驅體與基板表面接觸持續有限之時間段。典型地,接觸時間為約0.05秒至10秒。然而,視基板類型及其表面積而定,接觸時間可能甚至高於10秒。在一些情況下,接觸時間可能為約數分鐘。最佳接觸時間可由技術人員基於特定情形來確定。
前驅體之質量流速亦可由技術人員確定。在一些實施例中,金屬前驅體之流速較佳(但不限於)介於約1標準立方公分/分鐘(sccm)與1000標準立方公分/分鐘之間,更佳介於約100標準立方公分/分鐘與500標準立方公分/分鐘之間。
反應腔室中之壓力典型地為約0.01毫巴至約20毫巴,更佳約1毫巴至約10毫巴。然而,在一些情況下,壓力將高於或低於此範圍,如可由技術人員在既定特定情形下所確定。
在開始沈積膜之前,典型地將基板加熱至適合之生長溫度。生長溫度視所形成薄膜之類型、前驅體之物理特性等而變化。下文參考所形成薄膜之各類型更詳細地論述生長溫度。生長溫度可小於所沈積材料之結晶溫度以使得形成非晶薄膜,或其可高於結晶溫度以使得形成結晶薄膜。沈積溫度可視許多因素而變化,所述因素諸如且不限於反應物前驅體、壓力、流速、反應器之配置、經沈積的薄膜之結晶溫度以及包含待沈積於上方之材料之性質的基板組成。具體生長溫度可由技術人員來選擇。
能夠用於生長薄膜之反應器可用於沈積。此類反應器包含ALD反應器,以及配備有用於提供前驅體之適當設備及構件的CVD反應器。根據一些實施例,可使用簇射頭反應器(showerhead reactor)。
可使用之適合反應器的實例包含市售設備,諸如可購自亞利桑那州菲尼克斯之ASM美國公司(ASM America,Inc of Phoenix,Arizona)及荷蘭阿爾梅勒之ASM歐洲公司(ASM Europe B.V.,Almere,Netherlands)的F-120®反應器、F-450®反應器、普爾薩®(Pulsar®)反應器(諸如普爾薩® 2000及普爾薩® 3000)、祖母綠ALD®(EmerALD®)反應器以及先進®(Advance®)400系列反應器。其他市售反應器包含以商標名伊格爾®(Eagle®)XP及XP8來自ASM日本公司(ASM Japan K.K)(東京,日本)之反應器。
在一些實施例中,可使用分批反應器。適合之分批反應器包含(但不限於)以商標名ALDA400TM及A412TM可購自ASM歐洲公司(阿爾梅勒,荷蘭)之反應器。在一些實施例中,採用諸如A412TM之垂直分批反應器,其中舟皿(boat)在加工期間旋轉。因此,在一些實施例中,晶圓在加工期間旋轉。在使用分批反應器之一些實施例中,晶圓與晶圓之均一性小於3%(1 σ),小於2%,小於1%或甚至小於0.5%。
生長製程可視情況在連接至群集工具(cluster tool)之反應器或反應空間中進行。在群集工具中,因為各反應空間專用於一種類型之製程,所以各模組中反應空間之溫度可保持恆定,與在各操作之前將基板加熱至製程溫度之反應器相比,其改良產 出率。
獨立反應器(stand-alone reactor)可配備有負載鎖定(load-lock)。在所述情況下,不必在各操作之間冷卻反應空間。
較佳地,為形成包括氮之TiAl或TaAl薄膜,各ALD循環包括至少兩個不同階段。使基板與第一前驅體接觸及其後自基板表面移除過量第一前驅體及反應副產物可視為一個階段,且可被稱為第一階段、第一前驅體階段、Ti階段或Ta階段、Ti前驅體階段或Ta前驅體階段、第一Ti階段或第一Ta階段及/或第一Ti前驅體階段或第一Ta前驅體階段。對於沈積循環,在第一階段中,使基板與接觸包括Ti或Ta之第一前驅體,其在基板表面上形成不大於約一個單層。在第二階段中,使基板與包括Al之第二前驅體接觸且可將所吸附之第一反應物物質轉化為鈦鋁材料。是基板與第二前驅體接觸及其後自基板表面移除過量第二前驅體及反應副產物可視為一個階段,且可被稱為第二階段、第二前驅體階段、Al階段、Al前驅體階段、第一Al階段及/或第一Al前驅體階段。前驅體中之一或多者可藉助於諸如N2、Ar或He之運載氣體來提供。視需要,可添加額外階段且可移除階段來調節最終膜之組成。
如上文所提及,在一些實施例中,氮前驅體在使基板與Al前驅體接觸之時間及使基板與Ti前驅體或Ta前驅體接觸之時間期間均存在於反應空間中。在一些實施例中,氮前驅體在使基板與Al前驅體接觸時存在於反應空間中,而在使基板與Ti前驅體或Ta前驅體接觸時不存在於反應空間中。在一些實施例中,氮前驅體在使基板與Ti前驅體或Ta前驅體接觸時存在於反 應空間中,而在使基板與Al前驅體接觸時不存在於反應空間中。
在一些實施例中,在全部沈積循環期間使氮前驅體連續地流動至反應空間,而在一些實施例中,氮前驅體可在Al前驅體及/或Ti前驅體或Ta前驅體存在之時間期間存在於反應空間中。因此,在一些實施例中,氮前驅體可與Al前驅體及/或Ti前驅體或Ta前驅體中之一或兩者同時提供。舉例而言,在一些實施例中,包括氮前驅體之運載氣體亦可用於提供Al前驅體及/或Ti前驅體或Ta前驅體。在一些實施例中,可在與Al前驅體及/或Ti前驅體或Ta前驅體中之一或兩者不同之時間處向反應空間中提供氮前驅體(例如N2)。在一些實施例中,可在與Al前驅體及/或Ti前驅體或Ta前驅體中之一或兩者分開之時間處向反應空間中提供氮前驅體(例如N2)。
在一些實施例中,氣相氮前驅體在整個沈積循環期間流入反應空間中,且輔助自所述反應空間移除過量Al前驅體及/或Ti前驅體或Ta前驅體及反應副產物(若存在)。在一些實施例中,可藉由在不提供Al前驅體之情況下使氣相氮前驅體繼續流入反應空間中來自所述反應空間移除過量Al前驅體。另外,可藉由在不提供Ti前驅體或Ta前驅體之情況下使氣相氮前驅體繼續流入反應空間中來自所述反應空間移除過量Ti前驅體或Ta前驅體。
在一些實施例中,氣相氮前驅體用作用於Al前驅體及Ti前驅體或Ta前驅體中之一者或兩者的運載氣體。在其他實施例中,可經由與氣相Al前驅體及氣相Ti前驅體或氣相Ta前驅體中之一者或兩者分開的氣流來將氣相氮前驅體引入至反應空 間中。另外,在一些實施例中,氣相氮前驅體可在Al前驅體或Ti前驅體或Ta前驅體中之一者或兩者接觸基板時存在於反應空間中。
參考圖1且根據一些實施例,藉由包括至少一個循環之ALD型沈積製程100來在反應空間中將包括氮之TiAl或TaAl薄膜沈積在基板上,所述循環包括:在步驟120處,使所述基板與包括Ti或Ta之第一氣相前驅體接觸;在步驟130處,自所述基板移除過量第一前驅體及反應副產物(若存在);在步驟140處,使所述基板與包括Al之第二氣相前驅體接觸;在步驟150處,自所述基板移除過量第二前驅體及任何氣態副產物;其中,使所述基板與包括Ti或Ta之第一氣相前驅體接觸及使所述基板與包括Al之第二氣相前驅體接觸的步驟中的至少一者在氮前驅體之存在下進行;以及在步驟160處視情況重複接觸步驟及移除步驟,直至已形成所需厚度的包括氮之TiAl薄膜或TaAl薄膜為止。
在一些實施例中,使基板與包括Ti或Ta之第一氣相前驅體接觸及使基板與包括Al之第二氣相前驅體接觸的步驟兩者均在氮前驅體之存在下進行。
再次參考圖1,在步驟120處,使基板與包括Ti或Ta之第一前驅體接觸。在一些實施例中,以氣相脈衝形式將第一前 驅體引導至反應腔室中,且使第一前驅體與基板表面接觸。較佳選擇條件,以使得前驅體之不大於約一個單層以自限性方式吸附於基板表面上。然而,在一些實施例中,可選擇條件以使得可形成前驅體之大於一個單層。
根據一些實施例,藉由包括至少一個循環之ALD型沈積製程來在反應空間中將TiAl薄膜或TaAl薄膜沈積在基板上,所述循環包括:將所述基板暴露於包括Ti或Ta之第一氣相前驅體中;將所述基板暴露於吹掃氣體中且/或自所述基板移除過量第一前驅體及反應副產物(若存在);將所述基板暴露於包括Al之第二氣相前驅體中;將所述基板暴露於吹掃氣體中且/或自所述基板移除過量第二前驅體及反應副產物(若存在);以及視情況重複暴露步驟及/或移除步驟,直至已形成所需厚度之TiAl薄膜或TaAl薄膜為止。
在一些實施例中,將基板暴露於包括Ti或Ta之第一氣相前驅體中及將基板暴露於包括Al之第二氣相前驅體中的步驟中的一或兩者均在氮前驅體之存在下進行。在一些實施例中,將基板暴露於包括Ti或Ta之第一氣相前驅體中的步驟包括將所述基板暴露於Ti前驅體及/或Ta前驅體之混合物中。在一些實施例中,將基板暴露於包括Al之第二氣相前驅體中的步驟包括將所述基板暴露於Al前驅體之混合物中。
在一些實施例中,氮前驅體可包括吹掃氣體。
在一些實施例中,在將基板暴露於包括Al之第二氣相 前驅體中之前,重複將基板暴露於包括Ti或Ta之第一氣相前驅體中的步驟及將基板暴露於吹掃氣體中且/或自所述基板移除過量第一前驅體及反應副產物的步驟大於一次,大於兩次,大於3次、5次或10次。在一些實施例中,當重複將基板暴露於包括Ti或Ta之第一氣相前驅體中的步驟大於一次時,Ti前驅體或Ta前驅體在重複暴露步驟中可獨立地選擇為相同或不同。
在一些實施例中,在將基板暴露於包括Ti或Ta之第一氣相前驅體中之前,重複將基板暴露於包括Al之第二氣相前驅體中的步驟及將基板暴露於吹掃氣體中且/或自所述基板移除過量第二前驅體及反應副產物的步驟大於一次,大於兩次,大於3次、5次或10次。在一些實施例中,當重複將基板暴露於包括Al之第二氣相前驅體中的步驟大於一次時,Al前驅體在重複暴露步驟中可獨立地選擇為相同或不同。
第一前驅體脈衝較佳以氣態形式供應。出於本發明描述之目的,若第一前驅體氣體在製程條件下所展現之蒸氣壓足以將所述物質以足以使經暴露的表面飽和之濃度轉移至工件,則所述物質視為「揮發性的」。
在一些實施例中,第一前驅體接觸基板持續約0.01秒至約60秒,持續約0.02秒至約30秒,持續約0.025秒至約20秒,持續約0.05秒至約5.0秒,持續約0.05秒至約2.0秒或約0.1秒至約1.0秒。
假設所述第一前驅體在其被引導至反應腔室中且與基板表面接觸之前處於氣相中,用於ALD型製程中之第一前驅體在標準條件(室溫及大氣壓)下可為固體、液體或氣態材料。
在一些實施例中,第一前驅體視情況在氮前驅體之存在下接觸基板。在一些實施例中,藉助於包括氮前驅體(例如分子氮)之運載氣體來將第一前驅體引導至反應腔室中。在一些實施例中,可經由與第一前驅體分開之氣流將氣相氮前驅體引入至反應空間中。
在步驟130處,例如藉由用惰性氣體(諸如氮氣或氬氣)之脈衝吹掃來自基板表面移除過量第一前驅體及反應副產物(若存在)。吹掃反應腔室意謂諸如藉由用真空泵抽空腔室及/或藉由用惰性氣體(諸如氬氣或氮氣)替換反應器內側之氣體來自反應腔室移除氣相前驅體及/或氣相副產物。典型吹掃時間為約0.05秒至20秒,更佳介於約1秒與10秒之間,且再更佳介於約1秒與2秒之間。然而,必要時可採用其他吹掃時間,諸如在需要在極高縱橫比結構或具有複雜表面形態之其他結構上方沈積層時。適當吹掃時間可由技術人員基於特定情形來容易地確定。
在一些實施例中,吹掃可藉由切斷前驅體之流動,同時使運載氣體繼續流動來實現。因此,在一些實施例中,移除過量第一前驅體及反應副產物(若存在)可包括停止第一前驅體之流動,同時使運載氣體,例如包括氮前驅體之氣體繼續流動。在一些實施例中,運載氣體包括氮前驅體,諸如分子氮。
然而,在其他實施例中,移除過量第一前驅體及反應副產物(若存在)可包括移動基板以使得第一前驅體不再接觸所述基板。在一些實施例中,無前驅體可自腔室之各個部分中移除。在一些實施例中,將基板自腔室中含有第一前驅體之部分移動至腔室中含有第二前驅體或完全不含有前驅體之另一部分。在一些 實施例中,將基板自第一反應腔室移動至不同的第二反應腔室。
在步驟140處,使基板與包括Al之第二氣相前驅體接觸。在一些實施例中,將第二前驅體脈衝加入腔室中,其中第二前驅體與結合到基板第一表面之第一前驅體反應。反應典型地在基板上形成包括氮之TiAl材料或TaAl材料的至多約一個單層。然而,在一些實施例中,在基板上形成包括氮之TiAl材料或TaAl材料的大於一個分子層。
在一些實施例中,第二前驅體視情況在氮前驅體之存在下接觸基板。在一些實施例中,藉助於包括氮前驅體(例如分子氮)之運載氣體來將第二前驅體引導至反應腔室中。在一些實施例中,可經由與第二前驅體分開之氣流將氣相氮前驅體引入至反應空間中。
雖然本領域技術人員將認識到可使用任何數目的適合之第二前驅體,但適當之第二前驅體包含有利地與先前或隨後沈積之第一前驅體的配位體反應的含Al化合物。因此,適當第二前驅體之選擇可視所用具體第一前驅體及所述第一前驅體中配位體之性質而定。典型地,採用包括單一氣相Al前驅體之第二前驅體。然而,在一些實施例中,第二前驅體可包括兩種或大於兩種Al前驅體。在一些實施例中,第二前驅體可包括一種主要鋁前驅體及一或多種額外鋁前驅體,例如作為其污染物或次要組分。
在一些實施例中,第二前驅體接觸基板持續約0.01秒至約60秒,持續約0.02秒至約30秒,持續約0.025秒至約20秒,持續約0.05秒至約5.0秒,持續約0.05秒至約2.0秒或約 0.1秒至約1.0秒。然而,視反應器類型、基板類型及其表面積而定,第二前驅體接觸時間可甚至高於10秒。在一些實施例中,接觸時間可為約數分鐘。最佳接觸時間可由技術人員基於特定情形來容易地確定。
第二前驅體在反應腔室中之濃度可為約0.01體積%至約99.0體積%或至多100體積%。且第二前驅體可以介於約1標準立方公分/分鐘與約4000標準立方公分/分鐘之間的速率流動通過反應腔室。
在步驟150處,如上文對步驟130所描述,自基板移除過量第二前驅體及表面反應之氣態副產物(若存在)。在一些實施例中,較佳藉助於惰性氣體來移除過量前驅體及反應副產物。
可視情況在步驟160處重複接觸及移除之步驟,已在基板之第一表面上形成所需厚度的包括氮之TiAl薄膜或TaAl薄膜,其中各循環留下不大於約一個分子單層。在一些情況下,可能需要達成至少一種所述各種前驅體之至少部分分解。因此,在一些實施例中,可選擇條件以使得在各沈積循環中,在基板上形成包括氮之TiAl材料或TaAl材料的大於一個分子層。
本發明之ALD製程可包括一或多個循環。一些實施例涉及重複至少約5個循環、至少約10個循環或至少約50個循環。在一些實施例中,進行不大於100個循環以形成所需厚度之薄膜。
儘管所說明的用於形成包括氮之TiAl薄膜或TaAl薄膜的沈積循環以使基板表面與包括Ti或Ta之第一氣相前驅體接觸開始,但在其他實施例中,所述沈積循環以使基板表面與包括 Al之第二氣相前驅體接觸開始。技術人員應理解,使基板表面與包括Ti或Ta之第一氣相前驅體及包括Al之第二氣相前驅體接觸在沈積循環中是可互換的。
在一些實施例中,移動基板以使得不同反應物以所需順序交替且連續地接觸基板表面持續所需時間。在一些實施例中,不進行移除步驟130及移除步驟150。在一些實施例中,無反應物可自腔室之各個部分中移除。在一些實施例中,將基板自腔室中含有第一前驅體之部分移動至腔室中含有第二反應物之另一部分。在一些實施例中,將基板自第一反應腔室移動至不同的第二反應腔室。
技術人員可基於所選擇之前驅體的特性來確定最佳反應物蒸發溫度。技術人員可經由常規實驗基於所選擇之前驅體的特性及所沈積之TiAl薄膜或TaAl薄膜(例如包括氮之TiAl薄膜或TaAl薄膜)的所需特性來確定最佳反應物接觸時間。
TiAl薄膜或TaAl薄膜(例如包括氮之TiAl薄膜或TaAl薄膜)的生長速率將視反應條件而變化。在一些實施例中,生長速率可為約0.01埃/循環至約10.0埃/循環,較佳約0.1埃/循環至約5埃/循環,更佳0.3埃/循環至約3.0埃/循環。在一些實施例中,生長速率可為約2.5埃/循環。在一些實施例中,生長速率可大於約2埃/循環,大於約3埃/循環,大於約5埃/循環或大於約10埃/循環,例如在前驅體之一些分解可進行的情況下,當脈衝時間增加時,沈積速率可在不受實質性限制之情況下增加。
在本發明之一些實施例中,沈積製程在小於約600℃之溫度、小於約550℃之溫度、小於約500℃之溫度下進行。在其 他實施例中,沈積製程在介於約250℃至約500℃之間、介於約300℃至約450℃之間、介於約350℃至約450℃之間或介於約375℃至約425℃之間的溫度下進行。
在一些實施例中,經沈積之TiAl薄膜或TaAl薄膜(例如包括氮之TiAl薄膜或TaAl薄膜)可含有按原子計至多約30%至約40%之碳(30原子%至約40原子%)。在一些實施例中,TiAl薄膜或TaAl薄膜可包括按原子計約2%至約60%、約5%至約55%、約10%至約50%、約20%至約45%、約35%至約45%之碳。在一些實施例中,TiAl薄膜或TaAl薄膜可包括按原子計至多約60%或至多約50%之碳。在一些實施例中,TiAl薄膜或TaAl薄膜可包括按原子計至少約2%至至少約20%之碳。在一些實施例中,TiAl薄膜或TaAl薄膜可包括按原子計至多約20%至約25%之氮,其中所述氮自氣相氮前驅體併入。在一些實施例中,TiAl薄膜或TaAl薄膜可包括按原子計至多約0.1%至約30%、約2至約25%之氮,其中所述氮自氣相氮前驅體併入。在一些實施例中,TiAl薄膜或TaAl薄膜可包括按原子計大於約1%、大於約5%、大於約10%、大於約15%之氮,其中所述氮自氣相氮前驅體併入。
在一些實施例中,經沈積之TiAl薄膜包括按原子計約1%至約55%、約20%至約55%、約30%至約50%、約25%至約35%、約27%至約33%之Ti。在一些實施例中,經沈積之TiAl薄膜包括按原子計至少約10%、至少約25%、至少約30%之Ti。
在一些實施例中,經沈積之TiAl薄膜包括按原子計約5%至約75%、約7.5%至約60%、約10%至約45%、約10%至約 40%、約10%至約20%之Al。在一些實施例中,經沈積之TiAl薄膜包括按原子計至少約10%、至少約25%或至少約35%之Al。
在一些實施例中,經沈積之TaAl薄膜包括按原子計約1%至約55%、約20%至約55%、約30%至約50%、約25%至約35%、約27%至約33%之Ta。在一些實施例中,經沈積之TaAl薄膜包括按原子計至少約10%、至少約25%、至少約30%之Ta。
在一些實施例中,經沈積之TaAl薄膜包括按原子計約5%至約75%、約7.5%至約60%、約10%至約45%、約10%至約40%、約10%至約20%之Al。在一些實施例中,經沈積之TaAl薄膜包括按原子計至少約10%、至少約25%、至少約35%之Al。
在一些實施例中,包括Ti或Ta之氣相第一前驅體包括TiCl4,包括Al之氣相第二前驅體包括三第三丁基鋁(TTBA),且氣相氮前驅體包括N2
因此,根據一些實施例,藉由包括至少一個循環之ALD型沈積製程來在反應空間中將包括氮之TiAl薄膜沈積在基板上,所述循環包括:使所述基板與包括TiCl4之第一氣相前驅體接觸;自所述基板移除過量第一氣相前驅體及反應副產物(若存在);使所述基板與包括TTBA之第二氣相前驅體接觸;自所述基板移除過量第二氣相前驅體及任何氣態副產物;其中,使所述基板與第一氣相前驅體接觸及使所述基板與第二氣相前驅體接觸之步驟中的至少一者在包括N2之氮前驅 體的存在下進行;以及視情況重複接觸步驟及移除步驟,直至已形成所需厚度的包括氮之TiAl薄膜為止。
因此,根據一些實施例,藉由包括至少一個循環之ALD型沈積製程來在反應空間中將包括氮之TaAl薄膜沈積在基板上,所述循環包括:使所述基板與包括TaCl5之第一氣相前驅體接觸;自所述基板移除過量第一氣相前驅體及反應副產物(若存在);使所述基板與包括TTBA之第二氣相前驅體接觸;自所述基板移除過量第二氣相前驅體及任何氣態副產物;其中,使所述基板與第一氣相前驅體接觸及使所述基板與第二氣相前驅體接觸之步驟中的至少一者在氮前驅體之存在下進行;以及視情況重複接觸步驟及移除步驟,直至已形成所需厚度的包括氮之TaAl薄膜為止。
在一些實施例中,藉由包括至少一個沈積循環之循環沈積製程來在反應空間中將包括氮之TiAl薄膜沈積在基板上,所述沈積循環包括使所述基板與TTBA及TiCl4交替且連續地接觸,其中TTBA及/或TiCl4中之至少一者在N2之存在下接觸所述基板。
在一些實施例中,藉由包括至少一個沈積循環之循環沈積製程來在反應空間中將包括氮之TaAl薄膜沈積在基板上,所 述沈積循環包括使所述基板與TTBA及TaCl5交替且連續地接觸,其中TTBA及/或TiCl4中之至少一者在氮前驅體之存在下接觸所述基板。
Ti前驅體
在一些實施例中,採用包括單一氣相Ti前驅體之前驅體。然而,在一些實施例中,Ti前驅體可包括兩種或大於兩種Ti前驅體。在一些實施例中,Ti前驅體可包括一種主要Ti前驅體及一或多種額外Ti前驅體,例如作為其污染物或次要組分。在一些實施例中,Ti前驅體包括TiCl4。在一些實施例中,Ti前驅體中之Ti前驅體主要由TiCl4組成。
在一些實施例中,Ti前驅體包括至少一個鹵化物配位體。在一些實施例中,Ti前驅體具有至少一個Cl配位體。在一些實施例中,氣相Ti前驅體可為TiCl4
在一些實施例中,可使用包括Ta前驅體及Ti前驅體兩者之Ti前驅體。
Ta前驅體
在一些實施例中,採用包括單一氣相Ta前驅體之前驅體。然而,在一些實施例中,Ta前驅體可包括兩種或大於兩種Ta前驅體。在一些實施例中,Ta前驅體可包括一種主要Ta前驅體及一或多種額外Ta前驅體,例如作為其污染物或次要組分。在一些實施例中,Ta前驅體包括TaCl5。在一些實施例中,Ta前驅體主要由TaCl5組成。
在一些實施例中,Ta前驅體包括至少一個鹵化物配位體。在一些實施例中,Ta前驅體具有至少一個Cl配位體。在一 些實施例中,氣相Ta前驅體可為TaCl5
在一些實施例中,可使用包括Ta及Ti前驅體兩者之Ta前驅體。
Al前驅體
在一些實施例中,採用包括單一氣相Al前驅體之前驅體。然而,在一些實施例中,Al前驅體可包括兩種或大於兩種Al前驅體。在一些實施例中,Al前驅體可包括一種主要Al前驅體及一或多種額外Al前驅體,例如作為其污染物或次要組分。在一些實施例中,氣相Al前驅體可包括至少一個C4配位體,諸如C4烷基配位體,如第三丁基。在一些實施例中,氣相Al前驅體可為三第三丁基鋁(TTBA)。在一些實施例中,鋁前驅體主要由TTBA組成。在一些實施例中,鋁前驅體之純度大於約99%,大於約99.9%,大於約99.99%,大於約99.999%或接近約100%。
在一些實施例中,氣相Al前驅體可包括鋁烷、胺基鋁烷或氫化鋁化合物或其衍生物。在一些實施例中,氣相Al前驅體不包括Al-H鍵。在一些實施例中,氣相Al前驅體不包括異丁基配位體。在一些實施例中,氣相Al前驅體不包括三-異丁基鋁(tri-isobutylaluminum;TIBA)。
在一些實施例中,氣相Al前驅體可包括烷基或烯基化合物,諸如鋁烯丙基化合物、烷基胺基鋁烷或氫化烷基鋁化合物或其衍生物。
在一些實施例中,氣相Al前驅體可包括具有一或多個C3-C7配位體、較佳地C4-C5配位體、諸如丁基或戊基配位體或 其衍生物、如2-甲基丁基配位體之烷基或烯基鋁化合物,在一些實施例中,氣相Al前驅體可由下述者選出:三甲基鋁(trimethylaluminum;TMA)、三乙基鋁(triethylaluminum;TEA)、氫化二甲基鋁(dimethylaluminum hydride;DMAH)、二甲基乙基胺基鋁烷(dimethylethylaminealane;DMEAA)、三甲基胺基鋁烷(trimethylaminealane;TEAA)、N-甲基吡咯烷-鋁烷(N-methylpyrroridine-alane;MPA)、三-異丁基鋁(TIBA)。
在一些實施例中,氣相Al前驅體可包括以下Al前驅體中之一或多者:三第三丁基鋁(TTBA)、三甲基鋁(TMA)、三乙基鋁(TEA)、氫化二甲基鋁(DMAH)、二甲基乙基胺基鋁烷(DMEAA)、三甲基胺基鋁烷(TEAA)、N-甲基吡咯烷-鋁烷(MPA)、三-異丁基鋁(TIBA)。
氮前驅體
在一些實施例中,採用包括單一氣相氮前驅體之氣相氮前驅體或反應物。然而,在一些實施例中,氮反應物可包括兩種或大於兩種氮前驅體。在一些實施例中,氮反應物可包括一種主要氮前驅體及一或多種額外氮前驅體,例如作為其污染物或次要組分。在一些實施例中,氣相氮反應物包括分子氮。在一些實施例中,氣相氮反應物中之氮前驅體主要由分子氮組成。在一些實施例中,氮前驅體不包括NH3或另一種具有N-H鍵之氮前驅體。在一些實施例中,氮前驅體不包括氫。在一些實施例中,氮前驅體不包括電漿、自由基或原子物質(單個原子)。在一些實施例中。氮前驅體不包括氮電漿、氮自由基或原子氮(單個N原子)。在一些實施例中,氮前驅體包括鍵結在一起之氮原子。在一些實 施例中,氮前驅體包括用三鍵鍵結在一起之氮原子。
在一些實施例中,氣相氮前驅體可為分子氮。在一些實施例中,氣相氮前驅體可由下述者選出:N2、NH3、肼以及其肼衍生物。
在本發明之一些實施例中,氣相Al前驅體包括三第三丁基鋁(TTBA),氣相Ti前驅體或氣相Ta前驅體包括TiCl4,且氣相氮前驅體包括N2。因此,在一些實施例中,沈積循環包括使基板在N2之存在下與TTBA及TiCl4交替且連續地接觸。在一些實施例中,N2與其他物質(諸如(但不限於)Ti物質或Al物質)在基板上在低於700℃、低於600℃、低於500℃或低於450℃之反應溫度下反應。在一些實施例中,N2可與其他物質反應,且可將氮留在所沈積之膜中。在一些實施例中,氣相氮前驅體包括N2,且其純度大於99.999%,大於99.9999%,大於99.99999%或大於99.999999%。在一些實施例中,氣相氮前驅體包括N2且亦用作運載氣體,且其純度大於99.999%,大於99.9999%,大於99.99999%或大於99.999999%。
實例1
藉由多個沈積循環來沈積包括氮之TiAl薄膜。各循環包括藉由用N2運載氣體將氣相TTBA引入至反應空間中來在所述反應空間中用TTBA接觸基板,藉由停止TTBA之流動且使N2繼續流入反應空間中來吹掃所述反應空間清除過量TTBA及反應副產物(若存在),藉由用N2運載氣體將氣相TiCl4引入至反應空間中來用TiCl4接觸基板,以及藉由停止TiCl4之流動且使N2繼續流入反應空間中來吹掃所述反應空間清除過量TiCl4 及反應副產物(若存在)。
在介於350℃與450℃之間的溫度下沈積包括氮之TiAl膜。如圖2中所示,視TTBA劑量而定,包括氮之TiAl膜的有效功函數(eWF)在約4.50電子伏特至約4.20電子伏特範圍內。亦藉由類似於以上段落中所描述之沈積製程,其中在沈積循環中的用空氣替換N2的沈積製程來沈積TiAl薄膜。再次,在介於350℃與450℃之間的溫度下沈積TiAl膜。如圖2中所示,視TTBA劑量而定,TiAl膜之eWF在約4.60電子伏特至約4.35電子伏特範圍內。所達成之結果展示,在小於500℃之溫度範圍內,與使用Ar作為運載氣體相比,使用N2作為運載氣體產生較低的膜eWF。
所達成之結果展示,包括氮之TiAl膜具有用於NMOS電晶體中所需要之特性。
半導體裝置應用
藉由本文所揭露之製程形成的TiAl薄膜或TaAl薄膜可用於多種情形中,諸如用於電極結構形成中。在一些實施例中。用於半導體裝置應用中之TiAl薄膜或TaAl薄膜包括氮。圖3繪示例示性結構。儘管關於若干具體情形進行描述,但本領域技術人員將認識到,本文所描述之方法亦可適用於許多其他情形。
本文所揭露之沈積製程可成功地應用於製造NMOS電晶體(包含平面裝置)以及多閘極電晶體(諸如FinFET)。
在一些實施例中,藉由沈積TiAl層或TaAl層來形成電極。參考圖3,將一層高k介電材料300沈積至基板(未繪示)上。基板在沈積高k材料之前可經處理。舉例而言,在一些實施 例中,可在沈積高k材料之前沈積薄界面層(未繪示)。在一個實施例中,在表面上形成薄化學氧化物或氮氧化物。在其他實施例中,在基板上生長熱氧化物。
「高k」一般是指介電常數(k)值大於氧化矽之介電常數的介電材料。較佳地,高k材料之介電常數大於5,更佳大於約10。例示性高k材料包含(但不限於)HfO2、ZrO2、Al2O3、TiO2、Ta2O5、Sc2O3、鑭系氧化物及其混合物、矽酸鹽及諸如經氧化釔穩定化之氧化鋯(yttria-stabilized zirconia;YSZ)、鈦酸鋇鍶(barium strontium titanate;BST)、鈦酸鍶(strontium titanate;ST)、鉭酸鍶鉍(strontium bismuth tantalate;SBT)以及鉭酸鉍(bismuth tantalate;BT)的材料。較佳地,亦藉由ALD製程來沈積高k材料。
可將諸如TiN之材料的薄膜或層310沈積在介電層上方。此類層可充當蝕刻終止層,其中蝕刻先前已完全在另一個反應器中或在另一個設備中進行。自一個反應器或設備轉移至另一個反應器或設備可將薄膜暴露於諸如水或空氣之污染物中。水或空氣一般使諸如TiN之任何經暴露的層氧化,將所述層基本上轉變為TiON。此類污染可能干擾最終堆疊之功函數。
藉由如本文所描述之沈積製程,例如ALD型製程來將TiAl之層或薄膜320或TaAl之層或薄膜320沈積在層310上方,以形成所說明之結構。應瞭解,在所說明之實施例中,層不必須按比例繪製。TiAl層或TaAl層、TiN薄層以及下伏之高k材料經圖案化以形成電極。
較佳藉由如上文所描述之沈積製程來將TiAl薄膜320 或TaAl薄膜320沈積在層310上方。在一些實施例中,藉由如上文所描述之ALD型製程來沈積TiAl薄膜或TaAl薄膜。在一些實施例中,藉由包括至少一個循環之循環沈積製程來沈積TiAl薄膜或TaAl薄膜,所述循環包括使基板與Al前驅體(諸如TTBA)及Ti前驅體或Ta前驅體(諸如TiCl4或TaCl5)交替且連續地接觸。在一些實施例中,Ti前驅體或Ta前驅體及/或Al前驅體中之至少一者在氮前驅體(諸如N2)之存在下接觸基板,儘管不一定以此順序。在一些實施例中,TiAl薄膜或TaAl薄膜可包括氮。在一些實施例中,Ti前驅體或Ta前驅體可包括鹵化物化合物(例如,TiCl4或TaCl5),且Al前驅體可包括有機金屬化合物,諸如三第三丁基鋁(TTBA)。
在一些實施例中,TiN薄層及/或TiAl層或TaAl層在已沈積各層或兩層之後用矽烷/硼烷劑處理。矽烷/硼烷劑可使TiN層及/或TiAl層或TaAl層還原。在層310可包括TiON之一些實施例中,矽烷/硼烷劑可將層310基本上還原為TiN。因此,在層310已經氧化之一些實施例中,在沈積之後,經還原之一或多層的功函數可得到改良或復原為在所述一或多層任何氧化之前所達成的值。在一些實施例中。矽烷/硼烷劑可由包含矽烷(例如SiH4、Si2H6或Si3H8)及硼烷(例如B2H6)之族群中選出。
堆疊中各個層之厚度可變化,不過在一些實施例中,諸如圖3中所繪示之實施例中,層310之厚度可為約10埃至約20埃,較佳約15埃。在一些實施例中,薄膜320之厚度一般可大於層310厚度。在一些實施例中,例如上文關於TiN層及TiAl層或TaAl層所描述及在US專利第8,841,182號(以引用之方式 併入本文中)中所描述,使用保護性處理具有特定效用,其中堆疊(諸如圖3中所繪示之堆疊)中各個層之厚度得到減少以達成較小電子裝置及電路。此係由於較薄層較易於使氧氣經由其擴散。且在一些實施例中,使用矽烷/硼烷劑不可觀地增加堆疊之總厚度。
當形成TiAl膜或TaAl膜時,在已使基板與所述一或多種前驅體接觸之後,可自基板表面移除未反應之前驅體及反應副產物。舉例而言且如上文所描述,可藉由抽成真空及/或用惰性氣體(例如N2)吹掃來自基板表面移除未反應之前驅體及反應副產物(若存在)。在一些實施例中,抽成真空使用一個真空泵或多個真空泵來達成。在藉由如上文所描述之循環沈積製程來沈積TiAl層或TaAl層的一些實施例中,可重複沈積循環,直至已形成所需厚度之TiAl層或TaAl層為止。
在一些實施例中,亦在已完成所需沈積製程之所有沈積循環之後或僅在此之後施用矽烷/硼烷劑。在一些實施例中,矽烷/硼烷劑可以脈衝或浸泡形式進行施用。在一些實施例中,較佳可在已完成用於沈積給定層之所有沈積循環之後以浸泡形式施用矽烷/硼烷劑。因此,在一些實施例中,可藉由沈積製程來沈積層310,且可在沈積後續薄膜320之前將矽烷/硼烷劑施用於層310上。在一些實施例中,可藉由沈積製程來沈積層310,且隨後可藉由如上文所描述之沈積製程(例如ALD型沈積製程)來沈積薄膜320。隨後,可將薄膜320暴露於矽烷/硼烷劑中。在一些實施例中,在暴露於矽烷/硼烷劑中之後,TiAl層或TaAl層之厚度介於約5埃與約1000埃之間。
在一些實施例中,TiAl層或TaAl層形成電極。在其他實施例中,將另一種導電材料(諸如金屬或聚矽(poly-Si))沈積在TiAl層或TaAl層上方以藉此形成電極。可藉由ALD或藉由另一種沈積製程(諸如藉由CVD或PVD)來沈積額外導電材料。後續層之沈積可為選擇性的,或可後接圖案化步驟。根據再其他實施例,退火可在沈積TiAl層或TaAl層之後進行。用於此類退火製程之適合的大氣(atmosphere)(諸如N2或形成氣體(forming gas)(N2/H2))對技術人員為顯而易見的。
在一些實施例中,如對技術人員將為顯而易見的,諸如間隙壁(spacer)沈積及源極/汲極植入之其他處理步驟可在沈積TiAl層或TaAl層之後進行。
本文所揭露之製程可應用於多種情形中,其中保護層不受氧化影響或減小氧氣對被氧化層特性之作用可為有益的。儘管主要在製造NMOS電晶體(可包含平面「替換閘」裝置以及多閘極電晶體(諸如FinFET))之情形下進行說明,但技術人員將意識到可採用所揭露之方法的其他相關情形,諸如用於其中需要n型金屬之記憶體結構的金屬電極。
參考圖4,繪示NMOS堆疊400之例示性實施例。堆疊400包含介電層402,諸如氧化鉿;第一蝕刻終止層或底部障壁層(第一金屬氮化物層404),諸如氮化鈦(titanium nitride;TiN)層;第一n型金屬層(此處TiAl層406或TaAl層406);第二金屬氮化物層408;以及金屬層410,諸如鎢(tungsten;W)層。在一些實施例中,TiAl層或TaAl層可包括氮。如US專利第8,846,550號(以引用之方式併入本文中)中所描述,來源於保 護性處理之矽412的存在被繪示為含於第一金屬氮化物層404內。雖然矽412可形成所繪示之層的一部分或含於所繪示之層中的任一者或大於一者中,圖4繪示矽412或多或少位於第一金屬氮化物層404與TiAl層406或TaAl層406之界面處。
在一些實施例中,諸如此處所說明之實施例中,可能有益的是提供保護性處理作為沈積蝕刻終止層(第一金屬氮化物層404)之一部分或在沈積TiAl層406或TaAl層406之前提供保護性處理,其中所述保護性處理可至少在處於蝕刻終止膜與n-金屬膜(如所說明之第一金屬氮化物層404與TiAl層406或TaAl層406)之間的界面處或接近所述界面處提供矽412(或硼)。在一些實施例中,一旦已將基板置放於用於沈積TiAl層或TaAl層之腔室中但在沈積TiAl層或TaAl層已開始之前,將保護性處理應用於第一蝕刻終止層(第一金屬氮化物層404)上。當然,可採用處理以在處於任何兩個層之間的界面處提供Si或B。
在一些實施例中,保護性處理可包括將基板上包括金屬之薄膜暴露於包括矽烷或硼烷之處理劑中。處理劑可與可能存在於薄膜上或薄膜中之氧反應,或在將薄膜後續暴露於氧氣或氧化劑中時與氧反應。在一些情況下,至少一些氧結合到薄膜中之金屬原子上,且在暴露於包括矽烷或硼烷之處理劑中的情況下,金屬氧鍵藉由優先形成氧化矽或氧化硼而還原。矽烷劑或硼烷劑亦可結合不結合到金屬上之氧,諸如可能以污染物(諸如水、羥基等)形式存在之氧。
如上文所提及,處理劑包括一或多種矽烷及/或硼烷,諸如單矽烷、二矽烷、三矽烷、硼烷、二硼烷以及三硼烷。
處理劑可以蒸氣或液態形式施用。然而,處理典型地藉由提供處理劑之氣相脈衝來進行。施用處理劑之時間長度可變化,例如視所處理之膜的厚度及氧化量或預期暴露於氧化劑的量而定。在一些實施例中,使處理劑與膜接觸持續約1秒至約10分鐘、約2秒至約5分鐘、約10秒至約2分鐘或約20秒至約60秒之時段。然而,可採用較短或較長暴露。舉例而言,在一些實施例中,處理劑可以相對短脈衝(諸如小於約1秒)形式施用。在一些實施例中,將部分或完全經沈積之膜浸泡在處理劑中,諸如持續1秒或大於1秒,10秒或大於10秒,20秒或大於20秒,30秒或大於30秒或60秒或大於60秒。在一些實施例中,浸泡可持續至少一分鐘、兩分鐘、五分鐘、十分鐘或大於十分鐘。具體處理時間可由技術人員視特定情況,諸如膜類型、膜厚度、膜之現有氧化量及暴露於預期的氧化劑之類型來確定。
在一些實施例中,根據已知製程,諸如ALD或CVD製程來沈積本發明之薄膜。隨後可在薄膜已完全沈積之後將保護性處理應用於所述薄膜上。然而,在一些實施例中,保護性處理形成沈積製程之一部分。舉例而言,在諸如在沈積TiAl層或TaAl層中使用ALD型製程的情況下,保護性處理可構成至少一個沈積循環之一個步驟。在一些情況下,在一定數目之ALD循環或所有ALD循環中提供保護性處理。舉例而言,保護性處理可在每一個ALD循環中以分開的脈衝形式提供,或在每2個、每3個、每4個、每5個、每10個、每20個或大於20個ALD循環中提供。對於CVD沈積製程,可在沈積期間中斷CVD沈積製程一或多次以提供處理劑。在一些實施例中,保護性處理以沈積製 程中最後脈衝或最後暴露之形式進行應用。
使用保護性處理可結合至少一些可能最初存在或在後續暴露時,諸如在自一個腔室轉移至另一個腔室期間存在之氧。使用保護性處理亦可使薄膜(諸如第一金屬氮化物層404)中至少一些先前氧化之部分還原。舉例而言,可接收已含有蝕刻終止層(或底部障壁層)、諸如TiN層之基板,且所述層可如本文所描述藉由在後續處理之前暴露於處理劑中來處理。
堆疊400中各個層之厚度可變化,不過在一些實施例中,諸如圖4中所繪示之實施例中,第一金屬氮化物層404可為約5埃至約20埃厚,例如約15埃厚,且第二金屬氮化物層可為約30埃至約50埃厚。如目前所揭露使用保護性處理可具有特定效用,其中堆疊(諸如堆疊400)中各個層之厚度得到減少以達成較小電子裝置及電路。
本文所揭露之保護性處理可在沈積各薄膜之前、期間或之後應用於介電層402、第一金屬氮化物層404、層406、第二金屬氮化物層408或金屬層410中之任一或多者上。在一些實施例中,較佳處理第一金屬氮化物層404及層406中之一或兩者。在一些實施例中,較佳可處理第一金屬氮化物層404、層406以及第二金屬氮化物層408中之一或多者。已提及在形成NMOS功函數設定層(NMOS workfunction setting layer)(如所繪示之TiAl層406或TaAl層406)之前或期間使用保護性處理;然而,處理劑亦可或替代地可在沈積第一蝕刻終止層(第一金屬氮化物層404)之前或期間施用。在一些實施例中,在第一金屬氮化物層404上使用保護性處理可消除或減少對任何後續層或至少 NMOS功函數設定層406進行此類處理的需要。類似地,在形成TiAl層406或TaAl層406之前、期間或之後使用保護性處理可消除或減少對後續層進行類似處理的需要,特定言之,在應用於TiAl層406或TaAl層406上之處理保護總體堆疊400之功函數與後續第二金屬氮化物層408或金屬層410之中度氧化無關的情況下消除或減少所述需要。
然而,在一些實施例中,處理第二金屬氮化物層408及/或金屬層410可為有益的。如下層之情況,保護性處理可減少那些層之氧化部分,清除氧污染及/或在暴露於污染或大氣時防止後續氧化。
與所論述之層無關,可使用相同的用於應用保護性處理之方法。在一些實施例中,以脈衝提供處理劑作為沈積循環之一部分。在一些實施例中,將經沈積之膜或經沈積之膜的部分浸泡在處理劑中。舉例而言,保護性處理可併入用於形成TiAl層或TaAl層406之製程中。且可在每一沈積循環中或只在一些循環中提供處理劑。
再次參考圖4,在一些實施例中,將第一金屬氮化物層404沈積在介電層402上方,所述介電層402可包括諸如氧化鉿之介電材料。可在沈積第一金屬氮化物層404之前、期間及/或之後應用保護性處理。在一些實施例中,需要在沈積NMOS功函數設定層(諸如TiAl層406或TaAl層406)之前將保護性處理應用於完成之第一蝕刻終止層(諸如TiN層)上,即使在沈積第一蝕刻終止層中使用保護性處理亦如此。舉例而言,若在沈積第一金屬氮化物層404的時間與沈積TiAl層406或TaAl層406 時的時間之間相差一些時間,此類延遲可能增加第一金屬氮化物層將暴露於水、空氣等中之機率。再次,雖然在電極及NMOS堆疊之情形下在形成TiAl膜或TaAl膜之情形下進行說明,但其他情形對技術人員將為顯而易見的。
再次參考圖4,可將TiAl層406或TaAl層406沈積在第一金屬氮化物層404上方。可在沈積TiAl層406或TaAl層406之前、期間及/或之後應用保護性處理。圖5A繪示一種可能的製程,其中在步驟502處提供氮化鈦層,且在步驟504處將TiAl層或TaAl層沈積在所述氮化鈦層上方。隨後在步驟506處將保護性處理應用於所沈積之TiAl層或TaAl層上。在一些實施例中,在沈積功函數設定TiAl層406或TaAl層406期間或之前應用保護性處理可幫助使氧在膜中之存在減到最少,同時在群集製程或去群集製程中,TiAl層406或TaAl層406等待第二金屬氮化物層408。在步驟506處應用之保護性處理可包括將經沈積之TiAl層或TaAl層浸泡在包括矽烷或硼烷之處理劑中。保護性處理可減少TiAl層或TaAl層中之氧污染或結合到所述氧污染上。
圖5B繪示一種方法,其中在步驟512處提供氮化鈦層,且在步驟514處將保護性處理應用於所述氮化鈦層上。隨後在步驟516處根據如上文所描述之沈積製程來沈積TiAl層或TaAl層。在此製程中,可能曾存在於氮化鈦層中或氮化鈦層上之自由氧可由保護性處理劑結合,以便防止或減少在步驟516中沈積之TiAl或TaAl的氧化。
其他材料亦可得益於根據本發明應用保護性處理。所有 NMOS功函數層,諸如純金屬,如Al及Ti;或過渡金屬氮化物、碳化物、硼化物、矽化物等均可能受到氧併入影響而使其更加p型。因此,保護性處理可應用於包括此類材料中之任一者的膜上。
圖5C繪示一種製程,其中在步驟522處提供氮化鈦,且在步驟524處藉由如上文所描述之沈積製程來沈積TiAl層或TaAl層,其中保護性處理併入一或多個沈積循環中。舉例而言,保護性處理可構成僅一個循環中之步驟,或可構成一定數目之循環中的步驟,諸如每隔一個循環或每三個、每四個、每五個、每六個、每七個循環等。
在一些實施例中,在步驟524處沈積TiAl層或TaAl層可包括有包括至少一個沈積循環之沈積製程,所述沈積循環包括:使基板與包括Ti或Ta,例如TiCl4或TaCl5之第一氣相前驅體接觸;自所述基板移除過量第一氣相前驅體及反應副產物(若存在);使所述基板與包括Al,例如TTBA之第二氣相前驅體接觸;自所述基板移除過量第二氣相前驅體及任何氣態副產物;其中,使所述基板與包括Ti或Ta之第一氣相前驅體接觸及使所述基板與包括Al之第二氣相前驅體接觸的步驟中的至少一者在氮前驅體之存在下進行;視情況使所述基板與包括矽烷或硼烷之保護性處理劑 接觸;以及視情況重複至少第一氣相前驅體及第二氣相前驅體接觸步驟及移除步驟,直至已形成所需厚度的TiAl薄膜或TaAl薄膜為止。
在一些實施例中,在步驟524處沈積包括氮之TiAl層或TaAl層可包括有包括至少一個沈積循環之沈積製程,所述沈積循環包括:將基板暴露於包括Ti或Ta,例如TiCl4或TaCl5之第一氣相前驅體中;將所述基板暴露於吹掃氣體中且/或自所述基板移除過量第一氣相前驅體及反應副產物(若存在);將所述基板暴露於包括Al,例如TTBA之第二氣相前驅體中;將所述基板暴露於吹掃氣體中且/或自所述基板移除過量第二氣相前驅體及任何氣態副產物;其中,將所述基板暴露於包括Ti或Ta之第一氣相前驅體中及將所述基板暴露於包括Al之第二氣相前驅體中的步驟中的至少一者在氮前驅體之存在下進行;視情況將所述基板暴露於包括矽烷或硼烷之保護性處理劑中;以及視情況重複至少第一氣相前驅體及第二氣相前驅體暴露步驟及移除步驟,直至已形成所需厚度的包括氮之TiAl薄膜或TaAl薄膜為止。
在一些實施例中。使基板與保護性處理劑接觸之步驟可 包含於各沈積循環中或僅包含於一些所述沈積循環中。因此,在一些實施例中,可在引入使基板與保護性處理劑接觸的步驟之前重複第一氣相前驅體及第二氣相前驅體接觸步驟及移除步驟若干次。亦可在任何沈積循環之前或僅作為第一沈積循環中之第一步驟使用使基板與保護性處理劑接觸之步驟。在第一金屬氮化物層404已經氧化的情況下,諸如在第一金屬氮化物層在先前製程中已充當蝕刻終止層的情況下,可能需要在用於沈積TiAl層406或TaAl層406的任何沈積循環之前應用保護性處理。在此類情況下,可能需要在沈積TiAl層406或TaAl層406之前以浸泡包括矽烷或硼烷之處理劑的形式應用保護性處理。在處理TiN層(第一金屬氮化物層404)之一些實施例中,未在沈積TiAl層406或TaAl層406期間或之後採用保護性處理。然而,在已處理第一金屬氮化物層404之一些實施例中,仍可能需要在沈積TiAl層406或TaAl層406期間或之後應用保護性處理。
在一些實施例中,使用本文所揭露之方法製造的含有TiAl層或TaAl層之NMOS堆疊展現小於約10-2安培/平方公分、小於約10-3安培/平方公分或小於約3×10-4安培/平方公分之漏電(Jg)(在-1伏應力下)。
在本發明之一些實施例中,TiAl層或TaAl層可形成於NMOS堆疊應用中,其中薄膜之等效氧化物厚度(equivalent oxide thickness,EOT)可小於約1.3奈米,小於約1.2奈米,較佳小於約1.1奈米,小於約1.05奈米或小於約1.0奈米。在一些實施例中,NMOS堆疊應用中TiAl膜或TaAl膜之厚度為約10埃至約100埃、約15埃至約75埃、約20埃至約50埃。在一些 實施例中,TiAl膜或TaAl膜之厚度小於約50埃或小於約30埃。在其他實施例中,TiAl膜或TaAl膜之厚度為約5埃至約1000埃、約15埃至約500埃或約20埃至約200埃。在一些實施例中,TiAl膜或TaAl膜之厚度為小於約500埃或小於約100埃厚。
在本發明之一些實施例中,可形成包括氮之TiAl層或TaAl層,其中有效功函數或eWF可為約4.0電子伏特至約4.9電子伏特、約4.1電子伏特至約4.6電子伏特或約4.15電子伏特至約4.3電子伏特。在一些實施例中,可形成包括氮之TiAl層或TaAl層,其中有效功函數或eWF可小於約4.5電子伏特,小於約4.4電子伏特,小於約4.3電子伏特或小於約4.25電子伏特。在一些實施例中,使用約10埃厚至約100埃厚、約15埃厚至約75埃厚或約20埃厚至約50埃厚之TiAl膜或TaAl膜來量測TiAl或TaAl材料之功函數。在一些實施例中,使用小於約50埃厚或小於約30埃厚之TiAl膜或TaAl膜來量測TiAl或TaAl材料之功函數。
在一些實施例中,相對於未暴露保護性處理之TiAl層或TaAl層,使用諸如矽烷(例如二矽烷或三矽烷)之保護性處理可降低TiAl層或TaAl層之電阻率。在一些實施例中,電阻率降低至多或多達約30%,至多或多達約40%,或至多或多達約50%。在一些實施例中,諸如在沈積之後以浸泡形式應用保護性處理的情況下,電阻率降低可多達約5%,多達約10%或多達約20%。
再次參考圖4,可藉由任何已知方法來沈積金屬層410。可在沈積金屬層410之前、期間及/或之後應用保護性處理。 在一些實施例中,提供第二金屬氮化物層408,且將金屬層410沈積在所述第二金屬氮化物層408上方。可將第二金屬氮化物層408沈積在TiAl層406或TaAl層406上方。類似於第一金屬氮化物層404,可在沈積第二金屬氮化物層408之前、期間及/或之後應用保護性處理。在此製程中,可能曾存在於第二金屬氮化物層408中或第二金屬氮化物層408上之自由氧可由保護性處理結合,以不使隨後沈積之材料氧化。減少第二金屬氮化物層408中之自由氧的量可具有以下附加益處:消除可能在後續製程(諸如下游熱加工)期間向下擴散至堆疊400中的氧氣量、可能實際上到達功函數層(亦即TiAl層406或TaAl層406)之擴散量。
保護性處理可應用於已完成之金屬層410上。保護性處理可以對經沈積之金屬膜進行浸泡的形式進行應用。在一些實施例中,藉由其中保護性處理併入一或多個沈積循環中之ALD方法來沈積金屬層。舉例而言,保護性處理可構成僅一個沈積循環中之步驟,或可構成一定數目之循環中的步驟,諸如每五個、每十個、每二十個循環等。
再次,雖然在處理NMOS堆疊中之薄膜的情形下進行說明,但亦可處理其他含金屬膜。使用本文所揭露之方法及材料來產生及/或處理之金屬薄膜的確切組成可變化。舉例而言,部分地視所用保護性處理之類型而定,根據本發明製造之TiAl膜或TaAl膜可含有多種不同元素組分,包含(但不限於)鈦、鋁、碳、矽及/或硼。
在一些實施例中,存在於處理後之膜中的矽烷或硼烷的原子百分比可大於約10%,大於約25%或大於約35%。在以浸 泡形式應用保護性處理之實施例中,矽烷或硼烷在所處理之那些表面處可極濃,而濃度在那些表面下方迅速地下降。在以沈積製程之一部分的形式應用保護性處理的實施例中,諸如在ALD型製程中,矽烷或硼烷濃度可為約5%至約50%。
在至少一些前述實施例中,除非此類替換不可行,否則用於一個實施例中之任何要素可以可互換方式用於另一個實施例中。
本領域技術人員應瞭解,在不偏離本發明之範疇的情況下,可對上文所描述之方法及結構進行各種省略、添加以及修改。所有此類修改及改變均意欲處於如由所附申請專利範圍所界定的本發明之範疇內。

Claims (22)

  1. 一種用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,所述鈦鋁薄膜包括氮且具有小於4.5eV的功函數,所述用於在反應空間中將鈦鋁薄膜沈積在基板上的製程包括至少一個沈積循環,所述沈積循環包括使所述基板與氣相鈦前驅體及氣相鋁前驅體在300℃至400℃的溫度下交替且連續地接觸,其中所述氣相鈦前驅體包括TiCl4且所述氣相鋁前驅體包括三第三丁基鋁(TTBA),且其中所述氣相鈦前驅體及所述氣相鋁前驅體中之至少一者在氣相氮前驅體之存在下接觸所述基板。
  2. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中將所述氣相氮前驅體與所述氣相鈦前驅體及所述氣相鋁前驅體中之一或兩者同時引入至所述反應空間中。
  3. 如申請專利範圍第2項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述氣相氮前驅體充當用於所述氣相鈦前驅體及所述氣相鋁前驅體中之一或兩者的運載氣體。
  4. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述氣相氮前驅體包括N2
  5. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中若存在過量的前驅體及反應副產物,在使所述基板與所述氣相鈦前驅體接觸之後且在隨後使所述基板與所述氣相鋁前驅體接觸之前,自所述反應空間移除所述過量的前驅體及反應副產物。
  6. 如申請專利範圍第5項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中若存在所述過量的前驅體及反應副產物,所述氣相氮前驅體在整個所述沈積循環期間流入所述反應空間中,且輔助自所述反應空間移除所述過量的前驅體及反應副產物。
  7. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述鈦鋁薄膜包括按原子計至多約40%之碳。
  8. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述鈦鋁薄膜包括按原子計至多約25%之氮。
  9. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述用於在反應空間中將鈦鋁薄膜沈積在基板上的製程為原子層沈積製程。
  10. 如申請專利範圍第1項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中反應物中之至少一者在所述基板的表面上至少部分地分解。
  11. 一種用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,所述鈦鋁薄膜包括氮且具有小於4.5eV的功函數,所述用於在反應空間中將鈦鋁薄膜沈積在基板上的製程包括一或多個沈積循環,所述沈積循環包括:使所述基板與包括TiCl4之第一氣相前驅體接觸;若存在過量的所述第一氣相前驅體及反應副產物,自所述基板移除過量的所述第一氣相前驅體及所述反應副產物;使所述基板與包括TTBA之第二氣相前驅體接觸;以及若存在過量的所述第二氣相前驅體及反應副產物,自所述基板移除過量的所述第二氣相前驅體及所述反應副產物;其中,使所述基板與包括TiCl4之所述第一氣相前驅體接觸及使所述基板與包括TTBA之所述第二氣相前驅體接觸的步驟中的至少一者在包括N2之氮前驅體的存在下進行,且所述一或多個沈積循環在300℃至400℃的溫度下進行。
  12. 如申請專利範圍第11項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述鈦鋁薄膜包括按原子計至多約25%之氮。
  13. 如申請專利範圍第11項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述鈦鋁薄膜包括按原子計至多約40%之碳。
  14. 如申請專利範圍第11項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中將包括N2之所述氮前驅體引入至所述反應空間中以作為用於包括TiCl4之所述第一氣相前驅體及包括TTBA之所述第二氣相前驅體中的每一者的運載氣體。
  15. 如申請專利範圍第14項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中移除過量的包括TTBA之所述第二氣相前驅體包括在不提供包括TTBA之所述第二氣相前驅體的情況下使包括N2之所述氮前驅體繼續流入所述反應空間中。
  16. 如申請專利範圍第14項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中移除過量的包括TiCl4之所述第一氣相前驅體包括在不提供包括TiCl4之所述第一氣相前驅體的情況下使包括N2之所述氮前驅體繼續流動。
  17. 如申請專利範圍第11項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中重複所述沈積循環兩次或大於兩次以將包括氮之所述鈦鋁薄膜沈積至所需厚度。
  18. 如申請專利範圍第17項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中在各所述沈積循環中,包括TiCl4之所述第一氣相前驅體及包括TTBA之所述第二氣相前驅體兩者均在包括N2之所述氮前驅體的存在下接觸所述基板。
  19. 一種用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,所述鈦鋁薄膜包括氮且具有小於4.5eV的功函數,所述用於在反應空間中將鈦鋁薄膜沈積在基板上的製程包括至少一個沈積循環,所述沈積循環包括:使所述基板與包括TiCl4之第一氣相前驅體接觸;若存在過量的所述第一氣相前驅體及反應副產物,自所述基板移除過量的所述第一氣相前驅體及所述反應副產物;使所述基板與包括TTBA之第二氣相前驅體接觸;以及若存在過量的所述第二氣相前驅體及反應副產物,自所述基板移除過量的所述第二氣相前驅體及所述反應副產物;其中,所述基板在300℃至400℃的溫度下接觸所述第一氣相前驅體及所述第二氣相前驅體,且使所述基板與包括TiCl4之所述第一氣相前驅體接觸及使所述基板與包括TTBA之所述第二氣相前驅體接觸的步驟中的至少一者在包括N2之氮前驅體的存在下進行;使所述基板與包括矽烷或硼烷之保護性處理試劑接觸;以及重複至少所述第一氣相前驅體及所述第二氣相前驅體的接觸步驟及移除步驟,直至已形成所需厚度的包括氮之所述鈦鋁薄膜為止。
  20. 如申請專利範圍第19項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中在各所述沈積循環中,使所述基板與包括矽烷或硼烷之所述保護性處理試劑接觸。
  21. 如申請專利範圍第19項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中所述矽烷或所述硼烷是由下述者所組成之族群中選出的:單矽烷、二矽烷、三矽烷、硼烷、二硼烷以及三硼烷。
  22. 如申請專利範圍第19項所述的用於在反應空間中將鈦鋁薄膜沈積在基板上的製程,其中在每2個、每5個、每10個、每20個或更多個所述沈積循環之後,使所述基板僅與包括矽烷或硼烷之所述保護性處理試劑接觸。
TW104134610A 2014-10-23 2015-10-22 沉積鈦鋁薄膜的製程 TWI655308B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462067802P 2014-10-23 2014-10-23
US62/067,802 2014-10-23

Publications (2)

Publication Number Publication Date
TW201617470A TW201617470A (zh) 2016-05-16
TWI655308B true TWI655308B (zh) 2019-04-01

Family

ID=55792552

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108105023A TWI687536B (zh) 2014-10-23 2015-10-22 沈積鉭鋁薄膜的製程
TW104134610A TWI655308B (zh) 2014-10-23 2015-10-22 沉積鈦鋁薄膜的製程

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108105023A TWI687536B (zh) 2014-10-23 2015-10-22 沈積鉭鋁薄膜的製程

Country Status (3)

Country Link
US (4) US10002936B2 (zh)
KR (1) KR102216575B1 (zh)
TW (2) TWI687536B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9982345B2 (en) * 2015-07-14 2018-05-29 Applied Materials, Inc. Deposition of metal films using beta-hydrogen free precursors
US10118828B2 (en) * 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
CN108074815B (zh) * 2016-11-17 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108962737B (zh) * 2017-05-19 2020-11-13 中芯国际集成电路制造(上海)有限公司 功函数调节层的制造方法
CN107275199B (zh) * 2017-06-14 2019-08-02 成都海威华芯科技有限公司 一种变比例钛铝共晶的GaNHEMT欧姆接触工艺方法
US10361366B2 (en) * 2017-08-03 2019-07-23 Tokyo Electron Limited Resistive random accress memory containing a conformal titanium aluminum carbide film and method of making
EP3732726A4 (en) * 2017-12-26 2021-08-18 Intel Corporation SWITCHING DEVICE WITH GATE STACK WITH LOW OXIDE GROWTH
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
US11127857B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11411112B2 (en) * 2019-07-31 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, method of forming the same, and semiconductor device having the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201435128A (zh) * 2013-03-14 2014-09-16 Asm Ip Holding Bv 碳化鈦膜之矽烷與硼烷處理

Family Cites Families (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
US4085430A (en) 1974-01-24 1978-04-18 U.S. Philips Corporation Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5382333A (en) 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
GB9315771D0 (en) 1993-07-30 1993-09-15 Epichem Ltd Method of depositing thin metal films
US5438028A (en) 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
US5780164A (en) 1994-12-12 1998-07-14 The Dow Chemical Company Computer disk substrate, the process for making same, and the material made therefrom
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
JP2846477B2 (ja) 1994-12-27 1999-01-13 シーメンス アクチエンゲゼルシヤフト 炭化シリコン単結晶の製造方法
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5691365A (en) 1995-07-18 1997-11-25 University Of Kentucky Research Foundation Nicotinic receptor antagonists in the treatment of neuropharmacological disorders
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5915004A (en) 1996-07-11 1999-06-22 Microsoft Corporation Moving a messaging system mailbox
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
JP3142821B2 (ja) 1998-08-27 2001-03-07 株式会社エヌ・ティ・ティ・ドコモ 情報通信ネットワークの課金方法
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
BR9917267B1 (pt) 1999-02-11 2010-12-14 processo de obtenÇço de revestimento com carbbetos de tungstÊnio, revestimento e material de construÇço obtidos por tal processo.
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
US6137706A (en) 2000-02-19 2000-10-24 Pulizzi Engineering Inc Dual-input, automatic-switching power supply
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR20010088044A (ko) 2000-03-10 2001-09-26 윤종용 위성 이동통신단말기의 안테나 장치
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6873576B1 (en) 2000-05-24 2005-03-29 Koninklijke Philips Electronics N.V. Method of thermally-assisted data recording and a recording apparatus
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
KR100532081B1 (ko) 2001-05-14 2005-11-30 엘지.필립스 엘시디 주식회사 박막 트랜지스터 표시소자의 인듐 틴 옥사이드 재생방법
KR100404342B1 (ko) 2001-07-10 2003-11-03 주식회사 아펙스 자외선 조사장치
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
ES2217229T3 (es) 2001-08-06 2004-11-01 Degussa Ag Compuestos de organosilicio.
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
DE10140256B4 (de) 2001-08-07 2012-09-06 Bos Gmbh & Co. Kg Schutzvorrichtung für einen Laderaum eines Fahrzeugs
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR100405259B1 (ko) 2001-10-19 2003-11-12 홍영기 소방용 관창의 멜빵
KR100441568B1 (ko) 2001-11-16 2004-07-23 한스타 디스플레이 코퍼레이션 열소산 구조물
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050064247A1 (en) 2003-06-25 2005-03-24 Ajit Sane Composite refractory metal carbide coating on a substrate and method for making thereof
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US20050095763A1 (en) 2003-10-29 2005-05-05 Samavedam Srikanth B. Method of forming an NMOS transistor and structure thereof
US7186446B2 (en) 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7211507B2 (en) 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100552820B1 (ko) 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4931171B2 (ja) 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7459392B2 (en) 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US7547796B2 (en) 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR100867633B1 (ko) * 2007-02-13 2008-11-10 삼성전자주식회사 티타늄 알루미늄 질화막의 형성 방법 및 이를 이용한상변화 메모리 소자의 형성 방법
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20090275198A1 (en) * 2008-05-01 2009-11-05 Smuruthi Kamepalli Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
KR20110084275A (ko) * 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
JP5719138B2 (ja) * 2009-12-22 2015-05-13 株式会社日立国際電気 半導体装置の製造方法および基板処理方法
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
TWI508176B (zh) 2011-06-20 2015-11-11 Applied Materials Inc 具有起始層之n型金屬薄膜沉積
US20130078454A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
US20150325447A1 (en) * 2013-01-18 2015-11-12 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201435128A (zh) * 2013-03-14 2014-09-16 Asm Ip Holding Bv 碳化鈦膜之矽烷與硼烷處理

Also Published As

Publication number Publication date
TW201617470A (zh) 2016-05-16
US10636889B2 (en) 2020-04-28
TWI687536B (zh) 2020-03-11
US20160118261A1 (en) 2016-04-28
US10002936B2 (en) 2018-06-19
US20200328285A1 (en) 2020-10-15
KR102216575B1 (ko) 2021-02-18
US20190043962A1 (en) 2019-02-07
US11139383B2 (en) 2021-10-05
KR20160048002A (ko) 2016-05-03
TW201920739A (zh) 2019-06-01
US20210399111A1 (en) 2021-12-23

Similar Documents

Publication Publication Date Title
TWI655308B (zh) 沉積鈦鋁薄膜的製程
US9583348B2 (en) Silane and borane treatments for titanium carbide films
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
TWI707983B (zh) 包含金屬碳化物材料之結構、包含該結構之裝置及其形成方法
KR101907193B1 (ko) 금속 박막들의 실란 또는 보란 처리
KR102197048B1 (ko) 이중 선택적 퇴적
TWI661080B (zh) 金屬矽化物的選擇性形成
TW201526109A (zh) 循環沈積製程
TWI515803B (zh) 矽化鉭內的摻雜鋁
WO2023086298A1 (en) Molybdenum precursor compounds