TWI548738B - 用於移除蝕刻後殘餘物之水性清潔劑 - Google Patents

用於移除蝕刻後殘餘物之水性清潔劑 Download PDF

Info

Publication number
TWI548738B
TWI548738B TW100125073A TW100125073A TWI548738B TW I548738 B TWI548738 B TW I548738B TW 100125073 A TW100125073 A TW 100125073A TW 100125073 A TW100125073 A TW 100125073A TW I548738 B TWI548738 B TW I548738B
Authority
TW
Taiwan
Prior art keywords
acid
triazole
group
cleaning composition
residue
Prior art date
Application number
TW100125073A
Other languages
English (en)
Other versions
TW201209156A (en
Inventor
傑佛里A 巴尼斯
史帝芬 里皮
張鵬
雷哈 拉加雷姆
Original Assignee
安堤格里斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 安堤格里斯公司 filed Critical 安堤格里斯公司
Publication of TW201209156A publication Critical patent/TW201209156A/zh
Application granted granted Critical
Publication of TWI548738B publication Critical patent/TWI548738B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Description

用於移除蝕刻後殘餘物之水性清潔劑
本發明係關於用於自微電子裝置移除蝕刻後殘餘物(包括含鈦、含銅及/或含鎢蝕刻後殘餘物)的組成物,及其製造及使用方法。
半導體電路中之互連電路係由經絕緣介電材料包圍的導電金屬電路所組成。過去普遍使用自原矽酸四乙酯(TEOS)氣相沈積的矽酸鹽玻璃作為介電材料,同時將鋁合金用於金屬互連。對較高加工速度之需求導致電路元件之尺寸變小,及以較高性能材料替代TEOS及鋁合金。由於銅之較高傳導性,鋁合金已被銅或銅合金取代。TEOS及氟化矽酸鹽玻璃(FSG)已被所謂的低k介電質(包括低極性材料諸如有機聚合物、混合有機/無機材料、有機矽酸鹽玻璃(OSG)、及摻碳氧化物(CDO)玻璃)取代。將孔隙度(即填充空氣的孔隙)併入此等材料中進一步降低材料的介電常數。
於積體電路的雙重金屬鑲嵌(dual-damascene)加工期間,使用微影術(photolithography)於將圖案成像於裝置晶圓上。微影技術包括塗布、曝光、及顯影之步驟。將晶圓塗布正或負型光阻物質,及隨後覆蓋界定待於後續製程中保留或移除之圖案的遮罩。於將遮罩適當定位後,將單色輻射(諸如紫外(UV)光或深UV(DUV)光(約250奈米或193奈米))之光束導引穿過遮罩,以使經曝光之光阻材料更可或更不可溶於選定之漂洗溶液中。可溶解的光阻材料隨後經移除或「顯影」,而留下與遮罩相同的圖案。
其後使用氣相電漿蝕刻於將經顯影光阻塗層之圖案轉移至下方層,該等下方層可包括硬遮罩、層間介電質(ILD)、及/或蝕刻終止層。電漿蝕刻後殘餘物一般會沈積於後段製程(back-end-of-the-line,BEOL)結構上,若未將其移除,則其會干擾隨後的矽化或接點形成。電漿蝕刻後殘餘物一般包括存在於基板上及電漿氣體中之化學元素。舉例來說,如使用TiN硬遮罩(例如,作為ILD上之覆蓋層),則電漿蝕刻後殘餘物包括含鈦物種,其難以使用習知之濕式清潔化學品移除。此外,習知之清潔化學品通常會損壞ILD,吸收至ILD之孔隙中,因而增加介電常數、及/或腐蝕金屬結構。舉例來說,以經緩衝氟化物及溶劑為主的化學品無法完全移除含Ti殘餘物,而含羥胺及氨-過氧化物化學品則會腐蝕銅。
除了含鈦電漿蝕刻後殘餘物之期望移除外,較佳亦將在電漿蝕刻後製程期間沈積之額外材料(諸如在圖案化裝置之側壁上之聚合殘餘物、在裝置之敞開通道結構中之含銅殘餘物、及含鎢殘餘物)移除。迄今為止,尚無單一的濕式清潔組成物可成功地移除所有殘餘材料,同時仍可與ILD、其他低k介電材料、及金屬互連材料相容。
將新材料(諸如低k介電質)整合至微電子裝置中對清潔效能產生新的需求。同時,減小的裝置尺寸使對臨界尺寸變化及裝置元件損傷的耐受度減小。可修改蝕刻條件以滿足新材料之需求。同樣地,必需修改電漿蝕刻後清潔組成物。清潔劑不應損傷下層介電材料或腐蝕裝置上之金屬互連材料(例如,銅、鎢、鈷、鋁、釕、鈦及其氮化物和矽化物)。
為此,本發明之一目的為提供用於自微電子裝置有效移除電漿蝕刻後殘餘物(包括,但不限於,含鈦殘餘物、聚合側壁殘餘物、含銅通道殘餘物、含鎢殘餘物、及/或含鈷殘餘物)的改良組成物,該等組成物可與ILD、金屬互連材料、及/或覆蓋層相容。
本發明大致係關於清潔組成物及其製造與使用方法。本發明之一態樣係關於一種用於自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物,同時不會損害微電子裝置表面上之金屬及ILD材料的組成物及方法。
在一態樣中,描述一種水性清潔組成物,該組成物包含至少一種腐蝕抑制劑、水、視需要之至少一種鉗合劑、視需要之至少一種蝕刻劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑。該水性清潔組成物適用於自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物。
在另一態樣中,描述一種水性清潔組成物,該組成物包含至少一種腐蝕抑制劑、水、至少一種蝕刻劑、至少一種鈍化劑、視需要之至少一種鉗合劑、及視需要之至少一種錯合劑。該水性清潔組成物適用於自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物。
在又另一態樣中,描述一種套組,該套組包括存於一或多個容器中之用於形成水性清潔組成物之一或多種下列試劑,該一或多種試劑係選自由至少一種腐蝕抑制劑、水、視需要之至少一種鉗合劑、視需要之至少一種蝕刻劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑所組成之群,且其中該套組適於形成適用於自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物的水性清潔組成物。
在又另一態樣中,描述一種自其上具有材料之微電子裝置移除該材料之方法,該方法包括使微電子裝置與水性清潔組成物接觸足夠的時間以自微電子裝置至少部分移除該材料,其中該水性清潔組成物包括至少一種腐蝕抑制劑、水、視需要之至少一種鉗合劑、視需要之至少一種蝕刻劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑。
在另一態樣中,描述一種自其上具有材料之微電子裝置移除該材料之方法,該方法包括使微電子裝置與水性清潔組成物接觸足夠的時間以自微電子裝置至少部分移除該材料,其中該水性清潔組成物包括至少一種腐蝕抑制劑、水、至少一種蝕刻劑、至少一種鈍化劑、視需要之至少一種鉗合劑、及視需要之至少一種錯合劑。
本發明之其他態樣、特徵及優點將可自隨後之揭示內容及隨附申請專利範圍而更加完全明白。
本發明大致係關於用於自其上具有殘餘物(較佳為蝕刻後殘餘物,更佳為含鈦蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物及/或含鎢蝕刻後殘餘物)之微電子裝置移除該殘餘物之組成物,該等組成物較佳可與微電子裝置表面上之超低k(ULK) ILD材料(諸如OSG及多孔CDO)、金屬互連材料(例如,銅及鎢)、遮罩覆蓋層(例如,TiN)、及鈷覆蓋層(例如,CoWP)相容。此外,本發明大致係關於使用組成物自其上具有殘餘物(較佳為蝕刻後殘餘物,更佳為含鈦蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物、含鎢蝕刻後殘餘物、及/或含鈷蝕刻後殘餘物)之微電子裝置移除該殘餘物之方法,該等組成物較佳可與微電子裝置表面上之超低k(ULK) ILD材料、金屬互連材料、及覆蓋層相容。
為容易參考起見,「微電子裝置」係相當於經製造用於微電子、積體電路、能量收集、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶裝置、太陽能面板及包括太陽能電池裝置、光伏打元件、及微機電系統(MEMS)之其他產品。應明瞭術語「微電子裝置」並不具任何限制意味,且其包括任何最終將成為微電子裝置或微電子組件的基板或結構。值得注意地,微電子裝置基板可為圖案化、毯覆式及/或測試基板。
如本文所用之「蝕刻後殘餘物」及「電漿蝕刻後殘餘物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重金屬鑲嵌加工)後殘留的材料。蝕刻後殘餘物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、含碳材料、含氮材料、含氧材料、聚合殘餘材料、含銅殘餘材料(包括氧化銅殘餘物)、含鎢殘餘材料、含鈷殘餘材料、蝕刻氣體殘餘物諸如氯及氟、及其組合。
如本文所定義之「低k介電材料」及ULK係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。低k介電材料最佳係使用有機矽烷及/或有機矽氧烷前驅物沈積。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所定義之術語「聚合側壁殘餘物」係相當於在電漿蝕刻後製程之後殘留於圖案化裝置之側壁上的殘餘物。該殘餘物之性質實質上為聚合性,然而,應明瞭無機物種(例如,含鈦、矽、鎢、鈷及/或銅物種)亦可能存在於側壁殘餘物中。
如本文所使用之「約」係意指相當於所述值之±5%。
如本文所使用之「適用」於自其上具有蝕刻後殘餘物之微電子裝置清潔該殘餘物係相當於自微電子裝置至少部分移除該殘餘物。較佳自微電子裝置移除至少約90%的一或多種待移除材料,更佳移除至少95%的一或多種待移除材料,及最佳移除至少99%的一或多種待移除材料。
如本文所使用之「覆蓋層」係相當於沈積於介電材料及/或金屬材料上,以於電漿蝕刻步驟期間對其提供保護的材料(例如,鈷)。硬遮罩覆蓋層傳統上為矽、氮化矽、氧氮化矽、氮化鈦、氧氮化鈦、鈦、鉭、氮化鉭、鉬、鎢、其組合、及其他類似化合物。鈷覆蓋層包括CoWP及其他含鈷材料或含鎢材料。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,及最佳小於0.1重量%。
如本文所使用之術語「半水性」係指水與有機組分之混合物。
如本文所定義之「錯合劑」包括熟悉技藝人士理解為錯合劑、鉗合劑、錯隔劑、及其組合的該等化合物。錯合劑將與待使用本文所述之組成物移除的金屬原子及/或金屬離子化學結合或以物理方式將其固持住。
如本文所定義之「強鹼」包括鹼金屬及鹼土金屬氫氧化物鹽諸如LiOH、NaOH、KOH、RbOH、CsOH、Mg(OH)2、Ca(OH)2、Sr(OH)2及Ba(OH)2,以及具有式NR1R2R3R4OH之四級銨氫氧化物,其中R1、R2、R3及R4係彼此相同或不同且係選自由C1-C6烷基、C6-C10芳基、及其組合組成之群。
本發明之組成物可以如更完整說明於下文之相當多樣的特定調配物具體實施。
在所有此等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
習知含鈦蝕刻後殘餘材料難以使用先前技藝之含氨組成物移除。本發明人發現一種實質上不含氨及/或強鹼(例如,NaOH、KOH等等),且較佳實質上不含氧化劑的清潔組成物,其可有效及選擇性地自其上具有含鈦殘餘物之微電子裝置的表面將其移除。此外,該組成物將實質上地移除聚合側壁殘餘物、含銅殘餘物、含鈷殘餘物、及/或含鎢殘餘物,而不會實質上地損壞下方的ILD、金屬互連材料(例如,Cu、Al、Co及W)、及/或覆蓋層。此外,不管係溝槽或通道先蝕刻(即先溝槽或先通道方案),皆可使用該組成物。此外,可調配該組成物,以自其上具有TiN層之微電子裝置的表面將其實質上地移除。
在第一態樣中,文中所述之清潔組成物係水性或半水性且包含至少一種腐蝕抑制劑、水、視需要之至少一種蝕刻劑來源、視需要之至少一種金屬鉗合劑、視需要之至少一種錯合劑、及視需要之至少一種鈍化劑,以用於自其上具有電漿蝕刻後殘餘物之微電子裝置的表面將其移除,其中該電漿蝕刻後殘餘物包含選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物、及其組合所組成之群之物種。在另一具體例中,文中所述之清潔組成物包含至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、視需要之至少一種金屬鉗合劑、視需要之至少一種錯合劑、及視需要之至少一種鈍化劑。在又另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種金屬鉗合劑、視需要之至少一種蝕刻劑來源、視需要之至少一種錯合劑、及視需要之至少一種鈍化劑。在又另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種錯合劑、視需要之至少一種蝕刻劑來源、視需要之至少一種鈍化劑、及視需要之至少一種金屬鉗合劑。在另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種鈍化劑、視需要之至少一種金屬鉗合劑、視需要之至少一種錯合劑、及視需要之至少一種蝕刻劑來源。在另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、至少一種金屬鉗合劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑。在又另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、至少一種錯合劑、視需要之至少一種鈍化劑、及視需要之至少一種金屬鉗合劑。在另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種錯合劑、至少一種金屬鉗合劑、視需要之至少一種鈍化劑、及視需要之至少一種蝕刻劑來源。在另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、至少一種鈍化劑、視需要之至少一種錯合劑、及視需要之至少一種金屬鉗合劑。在又另一具體例中,清潔組成物包含至少一種腐蝕抑制劑、水、至少一種錯合劑、至少一種金屬鉗合劑、及至少一種蝕刻劑來源。較佳地,基於組成物之總重量,水之存在量係在約50重量%至約99重量%之範圍內。在各具體例中,可添加至少一種表面活性劑、矽石來源、及/或至少一種有機溶劑。
在一具體例中,用於清潔選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物、及其組合所組成之群之電漿蝕刻後殘餘物的水性組成物包含至少一種腐蝕抑制劑、水、視需要之至少一種蝕刻劑、視需要之至少一種金屬鉗合劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑,其等基於組成物之總重量係以下列範圍存在。
在廣泛實務中,清潔組成物可包含下列成分,由其所組成,或基本上由其所組成:(i)至少一種腐蝕抑制劑、水、視需要之至少一種蝕刻劑來源、視需要之至少一種金屬鉗合劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑;(ii)至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、視需要之至少一種金屬鉗合劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑;(iii)至少一種腐蝕抑制劑、水、至少一種金屬鉗合劑、視需要之至少一種蝕刻劑來源、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑;(iv)至少一種腐蝕抑制劑、水、至少一種錯合劑、視需要之至少一種蝕刻劑來源、視需要之至少一種鈍化劑、及視需要之至少一種金屬鉗合劑;(v)至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、至少一種金屬鉗合劑、視需要之至少一種鈍化劑及視需要之至少一種錯合劑;(vi)至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、至少一種錯合劑、視需要之至少一種鈍化劑及視需要之至少一種金屬鉗合劑;(vii)至少一種腐蝕抑制劑、水、至少一種錯合劑、至少一種金屬鉗合劑、視需要之至少一種鈍化劑及視需要之至少一種蝕刻劑來源;(viii)至少一種腐蝕抑制劑、水、至少一種錯合劑、至少一種金屬鉗合劑、及至少一種蝕刻劑來源;(ix)至少一種腐蝕抑制劑、水、至少一種鈍化劑、視需要之至少一種金屬鉗合劑、視需要之至少一種錯合劑、及視需要之至少一種蝕刻劑來源;(x)至少一種腐蝕抑制劑、水、至少一種蝕刻劑來源、至少一種鈍化劑、視需要之至少一種錯合劑、及視需要之至少一種金屬鉗合劑。
包含水係要充作溶劑及幫助溶解殘餘物(例如,水溶性氧化銅殘餘物)。水較佳經去離子。
在一較佳具體例中,水性清潔組成物實質上不含氧化劑諸如含過氧化物之化合物及硝酸。在另一較佳具體例中,水性清潔組成物在與待清潔基板接觸之前實質上不含研磨材料。
水性清潔組成物之pH範圍係約0至約7,較佳約0至約5,更佳約0至約4,及最佳約0至約3。
蝕刻劑來源促進分解及溶解蝕刻後殘餘物種,促進聚合物側壁殘餘物移除及輕度蝕刻TiN硬遮罩。此處涵蓋的蝕刻劑來源包括,但不限於:氫氟酸(HF);氟矽酸(H2SiF6);氟硼酸;氟矽酸銨鹽((NH4)2SiF6);六氟磷酸四甲基銨;氟化銨鹽;氟化氫銨鹽;分別具有式NR4BF4及PR4BF4之四氟硼酸四級銨及四氟硼酸四級鏻,其中R可彼此相同或不同且係選自由下列組成之群:氫、直鏈、分支鏈、或環狀C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、及直鏈或分支鏈C6-C10芳基(例如,苄基);四氟硼酸四丁銨(TBA-BF4);重量比為約90:10至約99:1,較佳約93:7至約98:2之丙二醇/HF;重量比為約75:25至約95:5,較佳約80:20至約90:10之丙二醇/氟化四烷基銨,其中該等烷基可彼此相同或不同且係選自由直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)組成之群;重量比為約75:25至約95:5,較佳約80:20至約90:10之丙二醇/氟化四丁銨;重量比為約75:25至約95:5,較佳約80:20至約90:10之丙二醇/氟化苄基三甲銨;及其組合。該蝕刻劑來源較佳包括氟化氫銨、四氟硼酸四級銨(例如,四氟硼酸四甲銨、四氟硼酸四乙銨、四氟硼酸四丙銨、四氟硼酸四丁銨)、四氟硼酸四級鏻、或其組合。該蝕刻劑來源較佳包括氟化氫銨、四氟硼酸四丁銨、或其組合。熟悉技藝人士應明瞭四氟硼酸四級銨及四氟硼酸四級鏻可於原位產生。
有機溶劑(當存在時)促進水性清潔組成物之組分及有機殘餘物之溶解,潤濕微電子裝置結構之表面以利於殘餘物移除,防止殘餘物再沈積,及/或使下方材料(例如,ULK)鈍化。此處涵蓋的有機溶劑包括,但不限於:醇、醚、吡咯啶酮、二醇、胺、及二醇醚,包括,但不限於,甲醇、乙醇、異丙醇、丁醇、及高級醇(諸如C2-C4二醇及C2-C4三醇)、四氫呋喃甲醇(THFA)、鹵化醇(諸如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲碸(四氫噻吩碸)、***、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、1,4-丙二醇、二烷、丁內酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單***、三甘醇單***、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚(即丁基卡必醇)、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、二丙二醇甲基醚乙酸酯、二元酯、甘油碳酸酯、N-甲醯基啉、磷酸三乙酯、及其組合。此外,有機溶劑可包含其他兩親性物種,即類似於表面活性劑同時包含親水性及疏水性部分的物種。疏水性質一般可藉由包含由烴或氟碳基團所組成之分子基團而賦與,及親水性質一般可藉由包含離子或不帶電極性官能基而賦與。有機溶劑較佳包括三丙二醇甲基醚(TPGME)、二丙二醇甲基醚(DPGME)、丙二醇、及其組合。當存在時,基於組成物之總重量,組成物包含至少0.01重量%有機溶劑。
金屬腐蝕抑制劑可消除金屬(例如,銅、鎢、及/或鈷互連金屬)的過度蝕刻。適宜的腐蝕抑制劑包括,但不限於,唑類諸如苯并***(BTA)、1,2,4-***(TAZ)、5-胺基四唑(ATA)、1-羥基苯并***、5-胺基-1,3,4-噻二唑-2-硫醇、3-胺基-1H-1,2,4-***、3,5-二胺基-1,2,4-***、甲苯***、5-苯基苯并***、5-硝基苯并***、3-胺基-5-巰基-1,2,4-***、1-胺基-1,2,4-***、2-(5-胺基戊基)苯并***、1-胺基-1,2,3-***、1-胺基-5-甲基-1,2,3-***、3-巰基-1,2,4-***、3-異丙基-1,2,4-***、5-苯基硫醇-苯并***、鹵基苯并***(鹵基=F、Cl、Br或I)、萘并***、1H-四唑-5-乙酸、2-巰基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巰基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巰基噻唑啉、2,4-二胺基-6-甲基-1,3,5-三、噻唑、咪唑、苯并咪唑、三、甲基四唑、試鉍硫醇I(Bismuthiol I)、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑、DNA鹼(例如,腺嘌呤、胞嘧啶、鳥嘌呤、胸嘧啶)、磷酸鹽抑制劑、胺、吡唑、亞胺二乙酸(IDA)、丙硫醇、矽烷、二級胺、苯并羥肟酸、雜環氮抑制劑、檸檬酸、抗壞血酸、硫脲、1,1,3,3-四甲脲、尿素、脲衍生物、尿酸、乙基黃原酸鉀、甘胺酸、十二烷基膦酸(DDPA)、及其混合物。二羧酸,諸如草酸、丙二酸、琥珀酸、氮基三乙酸、及其組合,亦係有用的銅鈍化劑物種。一般認為唑類會化學吸附於銅表面上並形成不可溶解的亞銅表面錯合物。適宜的鎢腐蝕抑制劑包括,但不限於,四氫噻吩碸、2-巰基噻唑啉、2,3,5-三甲基吡、2-乙基-3,5-二甲基吡、喹啉、乙醯基吡咯、嗒、組胺酸、吡、甘胺酸、苯并咪唑、苯并***(BTA)、亞胺二乙酸(IDA)、麩胺基硫(還原)、半胱胺酸、2-巰基苯并咪唑、胱胺酸、噻吩、巰基吡啶N-氧化物、硫胺HCl、四乙基硫代胺基甲醯二硫化物(tetraethyl thiuram disulfide)、1,2,4-***、2,5-二巰基-1,3-噻二唑抗壞血酸、抗壞血酸、及其組合,較佳為四氫噻吩碸、吡、甘胺酸、組胺酸、抗壞血酸、及其組合。較佳地,腐蝕抑制劑包括BTA、TAZ、5-胺基-1,3,4-噻二唑-2-硫醇、十二烷基膦酸、BTA與TAZ之組合或其任何其他組合。當存在時,基於組成物之總重量,組成物包含至少0.01重量%之腐蝕抑制劑。
包含鉗合劑可鉗合蝕刻後殘餘物種中之經氧化銅及/或鎢金屬及/或與TiN及/或含鈦殘餘物反應。適宜的鉗合劑包括,但不限於:氟化β-二酮鉗合劑諸如1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfac)、及乙醯丙酮鹽(acac);亞胺二乙酸;吡唑鹽;脒鹽;胍鹽;酮亞胺;二烯;聚胺;乙二胺四乙酸(EDTA);1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA);依替膦酸(etidronic acid);甲磺酸;鹽酸;醋酸;乙醯丙酮;烷基胺;芳基胺;二醇胺;烷醇胺;***;噻唑;四唑;咪唑;1,4-苯醌;8-羥基喹啉;亞柳基苯胺;四氯-1,4-苯醌;2-(2-羥苯基)苯并唑;2-(2-羥苯基)苯并噻唑;羥基喹啉磺酸(HQSA);磺柳酸(SSA);柳酸(SA);鹵化(例如,氟化、氯化、溴化、碘化)四甲銨;及胺及胺-N-氧化物,包括,但不限於:吡啶、2-乙基吡啶、2-甲氧基吡啶及其衍生物諸如3-甲氧基吡啶、2-甲吡啶、吡啶衍生物、二甲吡啶、哌啶、哌、三乙胺、三乙醇胺、乙胺、甲胺、異丁胺、第三丁胺、三丁胺、二丙胺、二甲胺、二甘醇胺、單乙醇胺、甲基二乙醇胺、吡咯、異唑、1,2,4-***、聯吡啶、嘧啶、吡、嗒、喹啉、異喹啉、吲哚、咪唑、N-甲基啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、1-甲基咪唑、二異丙胺、二異丁胺、苯胺、苯胺衍生物、五甲基二伸乙三胺(PMDETA)及以上任何物質之組合。鉗合劑較佳為甲磺酸、鹽酸、PMDETA、及其組合。當存在時,基於組成物之總重量,組成物包含至少0.01重量%之鉗合劑。
錯合劑較佳對含鋁殘餘物具有高親和力。涵蓋的錯合劑包括,但不限於,胺基羧酸、有機酸及其衍生物、膦酸及其衍生物、及其組合,包括:丁二胺四乙酸、二伸乙三胺五乙酸(DTPA)、乙二胺四丙酸、(羥乙基)乙二胺三乙酸(HEDTA)、N,N,N’,N’-乙二胺四(亞甲基膦酸)(EDTMP)、三伸乙四胺六乙酸(TTHA)、1,3-二胺基-2-羥丙烷-N,N,N’,N’-四乙酸(DHPTA)、甲基亞胺二乙酸、丙二胺四乙酸、1,5,9-三吖環十二烷-N,N’,N”-参(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’’’-肆(亞甲基膦酸)(DOTP)、氮基参(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-参(亞甲基膦酸)(NOTP)、2-膦酸丁烷-1,2,4-三羧酸、氮基三乙酸(NTA)、檸檬酸、酒石酸、葡萄糖酸、葡萄糖二酸、甘油酸、草酸、酞酸、順丁烯二酸、苯乙醇酸、丙二酸、乳酸、二羥基苯甲酸、兒茶酚、五倍子酸、五倍子酸丙酯、五倍子酚、半胱胺酸、二羥基柳酸、嘉磷塞(glyphosphate)、N-(膦酸甲基)亞胺二乙酸、甲酸、丙酸、丁酸、硫酸根離子、N-(2-羥乙基)亞胺二乙酸、吡啶-2,5-二羧酸、吡啶-2,6-二羧酸、7-碘基-8-羥基喹啉-5-磺酸、2-胺基-2-丙基膦酸、1,2-二羥基苯-4-磺酸、4,5-二羥基-1,3-苯二磺酸(Tiron)、搔洛鉻紫R(solochrome violet R)、3-羥基-2-萘甲酸、變色酸(chromotropic acid)、硝乙酸、氧二乙酸、硫二乙酸、8-羥基-7-(芳基偶氮)喹啉-5-磺酸、2-酮丁酸、乙醯乙酸、苯基絲胺酸、L-抗壞血酸、方形酸、乙醯羥肟酸、3-羥基-5,7-二磺酸基-2-萘甲酸、2,3-二羥基萘-6-磺酸、磺胺二甲氧嘧啶(sulfoxine)、奧辛(oxine)、琥珀酸、3,4-二羥基苯甲酸、2-(3,4-二羥苯基)-2-(1,1-苯并哌喃)-3,5,7-三醇、3-羥基-7-磺酸基-2-萘甲酸、1,2-二羥基萘-4-磺酸、N,N-雙(2-羥乙基)甘胺酸、N-(膦酸甲基)亞胺二乙酸、亞胺雙(亞甲基膦酸)、D-葡萄糖酸、酒石酸、1-酮基丙烷-1,2-二羧酸、丙烷-1,2,3-三羧酸、N,N’,N”-參[2-(N-羥基胺甲醯基)乙基]-1,3,5-苯三羧醯胺(BAMTPH)、去鐵胺-B(desferriferrioxamine-B)、1,7-二羥基-4-磺酸基-2-萘甲酸、天冬胺酸、麩胺酸、吡哆醛-5-(二氫磷酸酯)、吡哆醛、胺基(苯基)亞甲基二磷酸、乙二醇四乙酸(EGTA)、伸乙基雙(亞胺基(2-羥苯基)亞甲基(甲基)膦酸)、N-(2-羥乙基)伸乙基二氮基-N,N’,N’-三乙酸、三亞甲基二氮基四乙酸、(2-二羥基三亞甲基)二氮基四乙酸、二甲苯酚橙、甲基瑞香草酚藍、3-羥基麩胺酸、L-磷絲胺酸、DL-胺基-3-磷丙酸、及其組合。
該等組成物可視需要進一步包括表面活性劑以促進殘餘物移除、潤濕表面、及/或防止殘餘物再沈積。說明性的表面活性劑包括,但不限於,兩性鹽、陽離子性表面活性劑、陰離子性表面活性劑、氟烷基表面活性劑、SURFONYL 104、TRITON CF-21、ZONYL UR、ZONYL FSO-100、ZONYL FSN-100、3M Fluorad氟表面活性劑(即FC-4430及FC-4432)、二辛基磺酸琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、十二烷基苯磺酸、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸鹽、R1苯磺酸或其鹽(其中R1係直鏈或分支鏈C8-C18烷基)、兩親性氟聚合物、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸鹽、十二烷基苯磺酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚矽氧或經改質之聚矽氧聚合物、炔屬二醇或經改質之炔屬二醇、烷基銨或經改質之烷基銨鹽,以及包含至少一種前述表面活性劑之組合、十二烷基硫酸鈉、兩性離子表面活性劑、氣溶膠-OT(AOT)及其氟化類似物、烷基銨、全氟聚醚表面活性劑、2-磺酸琥珀酸鹽、磷酸酯基表面活性劑、硫基表面活性劑、及乙醯乙酸酯基聚合物。當存在時,基於組成物之總重量,組成物包含至少0.01重量%之表面活性劑。
組成物可進一步包含矽石來源。該矽石可作為微細矽石粉末,或作為四烷氧基矽烷(諸如TEOS)添加至組成物,較佳係以蝕刻劑對矽石來源為約4:1至約5:1之比例。在一特佳具體例中,蝕刻劑來源係氟矽酸及矽石來源係TEOS。該較佳具體例進一步包括二醇基溶劑以促進矽石來源溶解於組成物中。當存在時,基於組成物之總重量,組成物包含至少0.01重量%之矽石。
可包含低k鈍化劑以降低低k層之化學侵蝕及保護晶圓免於額外氧化。硼酸係目前較佳的低k鈍化劑,雖然其他羥基添加劑亦可有利地用於此用途,例如,3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸及其混合物。低k鈍化劑較佳包含亞胺二乙酸、硼酸、或其組合。當存在時,基於組成物之總重量,組成物包含至少0.01重量%之低k鈍化劑。較佳地,基於下層低k材料之總重量,使用文中所述之移除組成物蝕刻/移除少於2重量%之下層低k材料,更佳少於1重量%,最佳少於0.5重量%。
在一特佳具體例中,水性組成物包含BTA、TAZ、氟化氫銨、硼酸、及水,由其所組成,或基本上由其所組成。在另一特佳具體例中,水性組成物包含BTA、TAZ、氟化氫銨、四氟硼酸四丁銨、硼酸、及水,由其所組成,或基本上由其所組成。在又另一特佳具體例中,水性組成物包含氟化氫銨、硼酸、十二烷基膦酸、及水,由其所組成,或基本上由其所組成。
文中所述之水性組成物較佳不含研磨材料(例如,矽石、氧化鋁、於化學機械拋光製程期間使用之其他研磨劑)、氧化劑、氨、強鹼、及醯胺肟錯合劑。雖然經揭示為視需要之組分,但水性組成物最佳實質上不含有機溶劑及矽石來源。
在另一具體例中,文中所述之水性組成物進一步包含電漿蝕刻後殘餘物,其中該電漿蝕刻後殘餘物包含選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物、及其組合組成之群之殘餘材料。該殘餘材料可溶解及/或懸浮於水性組成物中。
在又另一具體例中,文中所述之水性組成物進一步包含氮化鈦材料。TiN材料可溶解及/或懸浮於水性組成物中。
在一具體例中,該等組成物適用於選擇性地移除TiN、側壁殘餘物、及/或蝕刻後殘餘物,而不會實質上地蝕刻圖案化或毯覆鎢層、銅層及/或ULK層。在另一具體例中,該等組成物適用於選擇性地移除側壁殘餘物、及/或蝕刻後殘餘物,而不會實質上地蝕刻圖案化或毯覆鎢層、TiN、銅層及/或ULK層。
除了液體溶液外,此處亦涵蓋可將本發明兩態樣之組成物調配成為泡沫、霧劑、次臨界或超臨界流體(即其中該溶劑係CO2等,而非水)。
有利地,文中所述之清潔組成物自微電子裝置之頂表面、側壁、及通道及線路有效地移除電漿蝕刻後殘餘物,而不會損害存在於裝置上之ILD、覆蓋層、及/或金屬互連層。此外,無論係先蝕刻溝槽或通道,皆可使用該等組成物。
當明瞭在一般的清潔應用中,一般實務係製造高度濃縮形式以在極端稀釋下使用。舉例來說,可以更為濃縮的形式製造清潔組成物(包含至少約20重量%水以供溶解用),其後再在製造商處、在使用前、及/或在工廠在使用期間用額外溶劑(例如,水及/或有機溶劑)稀釋。稀釋比可在約0.1份稀釋劑:1份移除組成物濃縮物至約100份稀釋劑:1份移除組成物濃縮物之範圍內。當明瞭在稀釋後,移除組成物之許多組分的重量百分比比率將維持不變。
文中所述之組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將組成物調配為在使用點處混合的單一包裝調配物或多份調配物,較佳為多份調配物。可將多份調配物之個別份於工具處或於工具上游之儲槽中混合。各別成分的濃度可在組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭文中所述之組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成文中所述之組成物的組分。套組較佳包括用於在工廠或使用點處與水結合之存於一或多個容器中之至少一種腐蝕抑制劑、視需要之水、視需要之至少一種蝕刻劑、視需要之至少一種鉗合劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑之較佳組合。套組之容器必需適於儲存及運送該清潔組成物組分,例如,NOWPak容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。容納移除組成物之組分的一或多個容器較佳包括用於使該一或多個容器中之組分流體相通,以進行摻混及配送的構件。舉例來說,參照NOWPak容器,可對該一或多個容器中之襯裡的外側施加氣體壓力,以導致襯裡之至少一部分的內容物排出,且因此可流體相通而進行摻混及配送。或者,可對習知之可加壓容器的頂部空間施加氣體壓力,或可使用泵於達成流體相通。此外,系統較佳包括用於將經摻混之清潔組成物配送至製程工具的配送口。
較佳使用實質上化學惰性、不含雜質、可撓性及彈性的聚合薄膜材料,諸如高密度聚乙烯,於製造該一或多個容器的襯裡。理想的襯裡材料不需要共擠塑或障壁層以進行加工,且不含任何會不利影響待置於襯裡中之組分之純度需求的顏料、UV抑制劑、或加工劑。理想襯裡材料的清單包括含純粹(無添加劑)聚乙烯、純粹聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚二氯亞乙烯、聚氯乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等等的薄膜。此等襯裡材料的較佳厚度係在約5密爾(mil)(0.005英吋)至約30密爾(0.030英吋)之範圍內,例如,20密爾(0.020英吋)之厚度。
關於套組之容器,將以下專利及專利申請案之揭示內容的各別全體併入本文為參考資料:美國專利第7,188,644號,標題「使超純液體中之顆粒產生減至最小的裝置及方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)」;美國專利第6,698,619號,標題「可回收及再利用的桶中袋流體儲存及配送容器系統(RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)」;及2007年5月9日以John E.Q. Hughes之名義提出申請之美國專利申請案第60/916,966號,標題「材料摻混及分佈用的系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」,及2008年5月9日以Advanced Technology Materials,Inc.之名義提出申請之PCT/US08/63276,標題「材料摻混及分佈用的系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」。
當應用至微電子製造操作時,清潔組成物可有效用於自微電子裝置之表面清潔電漿蝕刻後殘餘物,及可在施用經調配用於自裝置表面移除另類材料之其他組成物之前或之後應用於該表面。文中所述之組成物不會損壞裝置表面上的ILD材料,且較佳移除在移除加工前存在於裝置上之殘餘物的至少90%,更佳至少95%,及最佳移除待移除殘餘物的至少99%。
在電漿蝕刻後殘餘物移除應用中,可以任何適當方式將組成物施加至待清潔之裝置,例如,經由將組成物噴塗於待清潔裝置之表面上,經由將待清潔裝置浸泡於靜態或動態體積之組成物中,經由使待清潔裝置與其上吸收有組成物之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,或藉由任何其他藉以使組成物與待清潔裝置進行移除接觸之適當手段、方式或技術。此外,此處涵蓋批式或單一晶圓加工。
在使用組成物於自其上具有電漿蝕刻後殘餘物之微電子裝置移除該等物質時,典型上使組成物與裝置在約20℃至約90℃範圍內之溫度下(較佳約40℃至約70℃,及最佳約50℃至約60℃)靜態或動態接觸約1分鐘至約30分鐘之時間(較佳約1分鐘至10分鐘)。較佳為靜態接觸。此等接觸時間及溫度係為說明性,可採用任何其他可有效地自裝置至少部分地移除蝕刻後殘餘材料的適當時間及溫度條件。自微電子裝置「至少部分移除」殘餘材料係相當於移除至少90%的材料,較佳移除至少95%。最佳使用文中所述之組成物移除至少99%的該殘餘材料。
於達成期望的移除作用後,可輕易地將組成物自其先前經施用的裝置移除(例如,經由漂洗、洗滌、或其他移除步驟),此可能係在本文所述組成物之指定最終應用中所需且有效。舉例來說,裝置可用包含去離子水之漂洗溶液漂洗及/或經乾燥(例如,旋轉乾燥、N2、蒸氣乾燥等等)。
必要時,可能需要清潔後烘烤步驟及/或異丙醇蒸氣乾燥步驟來移除可能吸收至ILD材料之孔隙中的非揮發性材料,以不改變低k介電材料之電容。
另一態樣係關於根據文中所述之方法製得之改良的微電子裝置,及含有該等微電子裝置之產品。
又另一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與組成物接觸足夠的時間,以自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物,及將該微電子裝置併入至該物件中,其中該組成物包含至少一種腐蝕抑制劑、水、視需要之至少一種鉗合劑、視需要之至少一種蝕刻劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑。
又另一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與組成物接觸足夠的時間,以自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物,及將該微電子裝置併入至該物件中,其中該組成物包含至少一種腐蝕抑制劑、水、視需要之至少一種鉗合劑、視需要之至少一種蝕刻劑、視需要之至少一種鈍化劑、及視需要之至少一種錯合劑。
在又另一態樣中,可將文中所述之組成物利用於微電子裝置製程之其他態樣中,即在電漿蝕刻後殘餘物清潔步驟之後。舉例來說,可使用組成物於移除灰化後殘餘物及/或可將其稀釋並用作化學機械拋光(CMP)後清潔。或者,可使用文中所述之組成物於自光罩材料移除污染材料以將其再利用。
在又另一態樣中,描述一種製造物件,該物件包括微電子裝置基板、殘餘材料、及清潔組成物,其中該清潔組成物可為文中所述之任何組成物,且其中該殘餘材料係選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物、及其組合所組成之群。
雖然本發明已參照說明具體例及特徵以不同方式揭示於文中,但當明瞭前文所述之具體例及特徵並非要限制本發明,且熟悉技藝人士當可基於文中之揭示內容明白其他的變化、修改及其他具體例。因此,本發明係應廣泛解釋為涵蓋在後文所述之申請專利範圍之精神及範疇內的所有此等變化、修改及另類具體例。

Claims (20)

  1. 一種水性清潔組成物,其包含至少一種腐蝕抑制劑、水、至少一種蝕刻劑、及至少一種鈍化劑,其中該至少一種腐蝕抑制劑包含十二烷基膦酸,及其中,該水性清潔組成物適用於自其上具有電漿蝕刻後殘餘物之微電子裝置清潔該殘餘物。
  2. 如申請專利範圍第1項之清潔組成物,其中,該電漿蝕刻後殘餘物包含選自由含鈦化合物、聚合化合物、含銅化合物、含鎢化合物、含鈷化合物、及其組合所組成之群之殘餘物。
  3. 如申請專利範圍第1項之清潔組成物,其中,該至少一種蝕刻劑包含選自由下列所組成之群之氟化物種:氫氟酸、氟矽酸、氟硼酸、氟矽酸銨鹽、六氟磷酸四甲銨、氟化銨鹽、氟化氫銨鹽、四氟硼酸四丁銨、四氟硼酸四甲銨、四氟硼酸四乙銨、四氟硼酸四丙銨、四氟硼酸四丁銨、丙二醇/HF、丙二醇/氟化四烷基銨、丙二醇/氟化苄基三甲銨、具有式PR4BF4之四氟硼酸四級鏻,其中R可彼此相同或不同且係選自由氫、直鏈C1-C6烷基、分支鏈C1-C6烷基、環狀C1-C6烷基、直鏈C6-C10芳基及分支鏈C6-C10芳基所組成之群,及其組合。
  4. 如申請專利範圍第1項之清潔組成物,其中,該至少一種蝕刻劑包含選自由氟化氫銨、四氟硼酸四丁銨、四氟硼酸 四級鏻、及其組合所組成之群之氟化物。
  5. 如申請專利範圍第1項之清潔組成物,其中,該至少一種鈍化劑包含選自由硼酸、3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸、及其混合物所組成之群之物種。
  6. 如申請專利範圍第1項之清潔組成物,其中,該至少一種鈍化劑包含硼酸。
  7. 如申請專利範圍第1或2項之清潔組成物,其中,該至少一種腐蝕抑制劑進一步包含選自由下列所組成之群之物種:苯并***(BTA)、1,2,4-***(TAZ)、5-胺基四唑(ATA)、1-羥基苯并***、5-胺基-1,3,4-噻二唑-2-硫醇、3-胺基-1H-1,2,4-***、3,5-二胺基-1,2,4-***、甲苯***、5-苯基苯并***、5-硝基苯并***、3-胺基-5-巰基-1,2,4-***、1-胺基-1,2,4-***、2-(5-胺基戊基)苯并***、1-胺基-1,2,3-***、1-胺基-5-甲基-1,2,3-***、3-巰基-1,2,4-***、3-異丙基-1,2,4-***、5-苯基硫醇-苯并***、鹵基苯并***、萘并***、1H-四唑-5-乙酸、2-巰基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巰基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巰基噻唑啉、2,4-二胺基-6-甲基-1,3,5-三、噻唑、咪唑、苯并咪唑、三、甲基四唑、試鉍硫醇I(Bismuthiol I)、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸 三甲苯酯、吲唑、腺嘌呤、胞嘧啶、鳥嘌呤、胸嘧啶、磷酸鹽抑制劑、胺、吡唑、丙硫醇、矽烷、苯并羥肟酸、雜環氮抑制劑、檸檬酸、抗壞血酸、硫脲、1,1,3,3-四甲脲、尿素、脲衍生物、尿酸、乙基黃原酸鉀、甘胺酸、亞胺二乙酸、硼酸、丙二酸、琥珀酸、氮基三乙酸、四氫噻吩碸、2,3,5-三甲基吡、2-乙基-3,5-二甲基吡、喹啉、乙醯基吡咯、嗒、組胺酸、吡、麩胺基硫(還原)、半胱胺酸、胱胺酸、噻吩、巰基吡啶N-氧化物、硫胺鹽酸鹽(thiamine HCl)、四乙基硫代胺基甲醯二硫化物(tetraethyl thiuram disulfide)、2,5-二巰基-1,3-噻二唑抗壞血酸、及其組合。
  8. 如申請專利範圍第1或2項之清潔組成物,其中,基於組成物之總重量,水之量係在50重量%至99重量%之範圍內。
  9. 如申請專利範圍第1或2項之清潔組成物,其中,pH係在0至7之範圍內。
  10. 如申請專利範圍第1或2項之清潔組成物,其中,該組成物實質上不含研磨材料、氧化劑、氨、強鹼、及醯胺肟錯合劑。
  11. 如申請專利範圍第1或2項之清潔組成物,其中,該組成物實質上不含有機溶劑。
  12. 如申請專利範圍第1或2項之清潔組成物,其中,該組成物實質上不含矽石來源。
  13. 如申請專利範圍第1或2項之清潔組成物,其中,該組成物進一步包含選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、含鎢殘餘物、含鈷殘餘物、及其組合所組成之群之電漿蝕刻後殘餘物。
  14. 一種自其上具有材料之微電子裝置移除該材料之方法,該方法包括使該微電子裝置與水性清潔組成物接觸足夠的時間以自該微電子裝置至少部分移除該材料,其中,該水性清潔組成物包括至少一種腐蝕抑制劑、水、至少一種蝕刻劑、及至少一種鈍化劑,其中該至少一種腐蝕抑制劑包含十二烷基膦酸。
  15. 如申請專利範圍第14項之方法,其中,該材料包含電漿蝕刻後殘餘物,其包括選自由含鈦化合物、聚合化合物、含銅化合物、含鎢化合物、含鈷化合物、及其組合所組成之群之殘餘物。
  16. 如申請專利範圍第14或15項之方法,其中,該接觸包括選自由下列所組成之群之條件:1分鐘至30分鐘之時間;40℃至70℃範圍內之溫度;及其組合。
  17. 如申請專利範圍第1項之清潔組成物,其進一步包含至少一種表面活性劑。
  18. 如申請專利範圍第14項之方法,其中,該至少一種蝕刻劑包含選自由下列所組成之群之氟化物種:氫氟酸、氟矽酸、氟硼酸、氟矽酸銨鹽、六氟磷酸四甲銨、氟化銨鹽、氟 化氫銨鹽、四氟硼酸四丁銨、四氟硼酸四甲銨、四氟硼酸四乙銨、四氟硼酸四丙銨、四氟硼酸四丁銨、丙二醇/HF、丙二醇/氟化四烷基銨、丙二醇/氟化苄基三甲銨、具有式PR4BF4之四氟硼酸四級鏻,其中R可彼此相同或不同且係選自由氫、直鏈C1-C6烷基、分支鏈C1-C6烷基、環狀C1-C6烷基、直鏈C6-C10芳基及分支鏈C6-C10芳基所組成之群,及其組合。
  19. 如申請專利範圍第14項之方法,其中,該至少一種鈍化劑包含選自由硼酸、3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸、及其混合物所組成之群之物種。
  20. 如申請專利範圍第14項之方法,其中,該至少一種腐蝕抑制劑進一步包含選自由下列所組成之群之物種:苯并***(BTA)、1,2,4-***(TAZ)、5-胺基四唑(ATA)、1-羥基苯并***、5-胺基-1,3,4-噻二唑-2-硫醇、3-胺基-1H-1,2,4-***、3,5-二胺基-1,2,4-***、甲苯***、5-苯基苯并***、5-硝基苯并***、3-胺基-5-巰基-1,2,4-***、1-胺基-1,2,4-***、2-(5-胺基戊基)苯并***、1-胺基-1,2,3-***、1-胺基-5-甲基-1,2,3-***、3-巰基-1,2,4-***、3-異丙基-1,2,4-***、5-苯基硫醇-苯并***、鹵基苯并***、萘并***、1H-四唑-5-乙酸、2-巰基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巰基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巰基噻唑啉、2,4-二胺基-6-甲基-1,3,5-三、噻唑、咪唑、苯并咪唑、 三、甲基四唑、試鉍硫醇I(Bismuthiol I)、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑、腺嘌呤、胞嘧啶、鳥嘌呤、胸嘧啶、磷酸鹽抑制劑、胺、吡唑、丙硫醇、矽烷、苯并羥肟酸、雜環氮抑制劑、檸檬酸、抗壞血酸、硫脲、1,1,3,3-四甲脲、尿素、脲衍生物、尿酸、乙基黃原酸鉀、甘胺酸、亞胺二乙酸、硼酸、丙二酸、琥珀酸、氮基三乙酸、四氫噻吩碸、2,3,5-三甲基吡、2-乙基-3,5-二甲基吡、喹啉、乙醯基吡咯、嗒、組胺酸、吡、麩胺基硫(還原)、半胱胺酸、胱胺酸、噻吩、巰基吡啶N-氧化物、硫胺鹽酸鹽(thiamine HCl)、四乙基硫代胺基甲醯二硫化物(tetraethyl thiuram disulfide)、2,5-二巰基-1,3-噻二唑抗壞血酸、及其組合。
TW100125073A 2010-07-16 2011-07-15 用於移除蝕刻後殘餘物之水性清潔劑 TWI548738B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US36503410P 2010-07-16 2010-07-16

Publications (2)

Publication Number Publication Date
TW201209156A TW201209156A (en) 2012-03-01
TWI548738B true TWI548738B (zh) 2016-09-11

Family

ID=45470097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100125073A TWI548738B (zh) 2010-07-16 2011-07-15 用於移除蝕刻後殘餘物之水性清潔劑

Country Status (8)

Country Link
US (2) US9063431B2 (zh)
EP (1) EP2593964A4 (zh)
JP (1) JP2013533631A (zh)
KR (1) KR20130088847A (zh)
CN (1) CN103003923A (zh)
SG (2) SG187551A1 (zh)
TW (1) TWI548738B (zh)
WO (1) WO2012009639A2 (zh)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
BR112013003854A2 (pt) 2010-08-20 2016-06-07 Advanced Tech Materials processo sustentável para reivindicação de metais preciosos e metais de base oriundo de resíduo e
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
SG11201400840UA (en) * 2011-10-05 2014-04-28 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
CN102677072B (zh) * 2012-05-03 2016-06-15 中国石油大学(华东) 一种热浸镀锌及锌铝合金钢材用缓蚀清洗剂
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
WO2013187313A1 (ja) * 2012-06-13 2013-12-19 三菱瓦斯化学株式会社 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
JP6198672B2 (ja) * 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
JP6088999B2 (ja) * 2013-05-02 2017-03-01 富士フイルム株式会社 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
WO2014192266A1 (ja) * 2013-05-31 2014-12-04 日立化成株式会社 エッチング組成物
SG11201509933QA (en) * 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN104238287A (zh) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 一种去除光阻残留物的清洗液
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
CN104345583B (zh) * 2013-08-02 2020-07-03 安集微电子科技(上海)股份有限公司 一种用于去除光阻残留物的清洗液
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
KR102153113B1 (ko) 2013-10-21 2020-09-08 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
EP3104398B1 (en) 2013-12-06 2020-03-11 Fujifilm Electronic Materials USA, Inc. Cleaning formulation and method for removing residues on surfaces
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
CN103710180B (zh) * 2013-12-12 2016-04-13 内蒙古河西航天科技发展有限公司 一种硅酸盐污垢去除剂
WO2015095175A1 (en) * 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
EP3139402B1 (en) 2014-05-02 2018-08-15 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
CN106459850A (zh) 2014-05-13 2017-02-22 巴斯夫欧洲公司 Tin障碍和清洁组合物
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
US20150368557A1 (en) 2014-06-23 2015-12-24 Hyosan Lee Metal etchant compositions and methods of fabricating a semiconductor device using the same
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
KR102398801B1 (ko) 2014-11-13 2022-05-17 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 코발트의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
JP6589883B2 (ja) 2014-11-13 2019-10-16 三菱瓦斯化学株式会社 半導体素子を洗浄するためのアルカリ土類金属を含む洗浄液、およびそれを用いた半導体素子の洗浄方法
JP6555273B2 (ja) 2014-11-13 2019-08-07 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
CN104674223A (zh) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 有色金属清洁擦亮剂
CN104630780A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种铜器清洗光亮液
CN104630800A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 铜制品清洁光亮剂
CN104630776A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
CN104651843A (zh) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 不锈钢器皿清洗擦亮剂
CN104630802A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
KR102269329B1 (ko) * 2015-03-20 2021-06-25 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
US10647950B2 (en) * 2015-03-31 2020-05-12 Versum Materials Us, Llc Cleaning formulations
KR102427699B1 (ko) 2015-04-27 2022-08-01 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
CN109195720B (zh) 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) * 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
WO2018058341A1 (en) * 2016-09-28 2018-04-05 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
KR102434147B1 (ko) * 2016-10-06 2022-08-19 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 제형
KR102207306B1 (ko) 2016-11-25 2021-01-22 엔테그리스, 아이엔씨. 에칭 후 잔류물을 제거하기 위한 세정 조성물
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10707087B2 (en) * 2016-12-28 2020-07-07 Invensas Bonding Technologies, Inc. Processing stacked substrates
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
EP3601514A4 (en) * 2017-03-24 2020-04-08 Fujifilm Electronic Materials USA, Inc. CLEANING COMPOSITIONS FOR REMOVING RESIDUES ON SEMICONDUCTOR SUBSTRATES
CN107357143B (zh) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
SG11202001057VA (en) 2017-08-22 2020-03-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions
KR102295991B1 (ko) * 2017-08-31 2021-09-01 후지필름 가부시키가이샤 처리액, 키트, 기판의 세정 방법
WO2019073931A1 (ja) 2017-10-10 2019-04-18 三菱ケミカル株式会社 洗浄液、洗浄方法及び半導体ウェハの製造方法
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
JP7383614B2 (ja) * 2017-12-08 2023-11-20 ビーエーエスエフ ソシエタス・ヨーロピア 低k値の材料、銅、および/またはコバルトの層の存在下で、アルミニウム化合物を含む層を選択的にエッチングするための組成物および方法
US11377624B2 (en) 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
KR20230023820A (ko) 2017-12-18 2023-02-17 엔테그리스, 아이엔씨. 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
EP3774680A4 (en) 2018-03-28 2021-05-19 FUJIFILM Electronic Materials U.S.A, Inc. CLEANING COMPOSITIONS
TWI808162B (zh) * 2018-04-27 2023-07-11 日商三菱瓦斯化學股份有限公司 水性組成物及使用此組成物之清洗方法
EP3787009A4 (en) * 2018-04-27 2021-06-09 Mitsubishi Gas Chemical Company, Inc. AQUEOUS COMPOSITION AND PROCESS FOR USING CLEANING
EP3787008B1 (en) * 2018-04-27 2024-04-10 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
US11149235B2 (en) * 2018-07-20 2021-10-19 Entegris, Inc. Cleaning composition with corrosion inhibitor
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Cleaning products for residues after burning and methods of using them
US11091727B2 (en) 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
KR102669119B1 (ko) * 2018-11-14 2024-05-24 삼성디스플레이 주식회사 식각 조성물, 이를 이용한 패턴 형성 방법 및 표시 장치의 제조 방법
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
JP2022530147A (ja) 2019-04-24 2022-06-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板からフォトレジストを除去するための剥離組成物
KR20220011701A (ko) 2019-05-23 2022-01-28 바스프 에스이 로우-k 재료, 구리, 코발트 및/또는 텅스텐의 층의 존재 하에 하드 마스크 및/또는 에치-스탑 층을 선택적으로 에칭하기 위한 조성물 및 프로세스
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
KR20220012521A (ko) 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
TW202208607A (zh) * 2020-08-27 2022-03-01 美商富士軟片電子材料美國股份有限公司 清潔組成物
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN112941516A (zh) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 一种精控式蚀刻液及其蚀刻方法
WO2022146846A1 (en) * 2020-12-29 2022-07-07 Entegris, Inc. Selective removal of metal oxide hard masks
EP4323491A1 (en) * 2021-04-16 2024-02-21 Entegris, Inc. Cleaning composition
CN113150884B (zh) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186539B (zh) * 2021-04-27 2022-12-06 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液及其制备方法
CN113860914B (zh) * 2021-09-16 2023-06-27 湖北文理学院 去除白色鹅卵石锈黄斑的浸泡液及其制备方法、白色鹅卵石锈黄斑的去除方法
WO2024004980A1 (ja) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 半導体基板洗浄用組成物、半導体基板の洗浄方法、及び半導体基板の製造方法
CN115725369B (zh) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液组合物的应用

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
JP2005097715A (ja) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
ES2293340T3 (es) * 2003-08-19 2008-03-16 Mallinckrodt Baker, Inc. Composiciones decapantes y de limpieza para microelectronica.
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7888301B2 (en) * 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4456424B2 (ja) * 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7718590B2 (en) 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
SG161280A1 (en) 2005-04-15 2010-05-27 Advanced Tech Materials Removal of high-dose ion-implanted photoresist using self assembled monolayers in solvent systems
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
SG10201504423QA (en) * 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009516360A (ja) 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
CN101755324B (zh) * 2007-07-26 2011-10-12 三菱瓦斯化学株式会社 清洗和防腐用组合物及半导体元件或显示元件的制造方法
KR20100051839A (ko) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
WO2009026324A2 (en) 2007-08-20 2009-02-26 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
CN101597548A (zh) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
CN101685273B (zh) * 2008-09-26 2014-06-04 安集微电子(上海)有限公司 一种去除光阻层残留物的清洗液
WO2010048139A2 (en) 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues

Also Published As

Publication number Publication date
KR20130088847A (ko) 2013-08-08
US20150307818A1 (en) 2015-10-29
TW201209156A (en) 2012-03-01
EP2593964A4 (en) 2017-12-06
SG187551A1 (en) 2013-03-28
JP2013533631A (ja) 2013-08-22
WO2012009639A2 (en) 2012-01-19
US9063431B2 (en) 2015-06-23
US20130296214A1 (en) 2013-11-07
WO2012009639A9 (en) 2012-05-24
SG10201505535VA (en) 2015-09-29
CN103003923A (zh) 2013-03-27
WO2012009639A3 (en) 2012-04-26
EP2593964A2 (en) 2013-05-22

Similar Documents

Publication Publication Date Title
TWI548738B (zh) 用於移除蝕刻後殘餘物之水性清潔劑
TWI611047B (zh) 用以移除蝕刻後殘餘物之液體清洗劑
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
US6773873B2 (en) pH buffered compositions useful for cleaning residue from semiconductor substrates
TWI516574B (zh) 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
TW201348405A (zh) 選擇性移除灰化旋塗玻璃之方法
CN112771144A (zh) 用于铈粒子的化学机械研磨后(post cmp)清洁组合物
US11124746B2 (en) Post CMP cleaning composition