JP6363116B2 - 窒化チタンを選択的にエッチングするための組成物および方法 - Google Patents

窒化チタンを選択的にエッチングするための組成物および方法 Download PDF

Info

Publication number
JP6363116B2
JP6363116B2 JP2015561546A JP2015561546A JP6363116B2 JP 6363116 B2 JP6363116 B2 JP 6363116B2 JP 2015561546 A JP2015561546 A JP 2015561546A JP 2015561546 A JP2015561546 A JP 2015561546A JP 6363116 B2 JP6363116 B2 JP 6363116B2
Authority
JP
Japan
Prior art keywords
ether
ammonium
composition
chloride
glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015561546A
Other languages
English (en)
Other versions
JP2016510175A (ja
Inventor
チェン,リ−ミン
クーパー,エマニュエル,アイ.
リッピー,スティーブン
ソング,リンヤン
スウ,チア−ジャン
トゥ,シェン−ハン
ワン,チエ,ジュイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2016510175A publication Critical patent/JP2016510175A/ja
Application granted granted Critical
Publication of JP6363116B2 publication Critical patent/JP6363116B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Description

[0001] 本発明は、金属導体および絶縁体材料(即ち、低誘電率誘電体)の存在下で窒化チタンおよび/またはフォトレジストエッチ残留物を選択的にエッチングするための組成物およびプロセスに関し、より詳細には、銅、タングステン、および低誘電率誘電材料の露出したまたは下にある層よりも高いエッチ速度および選択性で窒化チタンおよび/またはフォトレジストエッチ残留物を効果的かつ効率的にエッチングするための組成物およびプロセスに関する。
[0002] フォトレジストマスクは、半導体産業において、材料、例えば半導体または誘電体にパターンを形成するために一般に使用されている。1つの用途においては、フォトレジストマスクは、マイクロ電子デバイスのバックエンドメタライゼーションにおいて相互接続部を形成するためにデュアルダマシンプロセスに使用される。デュアルダマシンプロセスは、金属導体層、例えば銅層を覆う低誘電率誘電体層上にフォトレジストマスクを形成することを伴う。次いで、フォトレジストマスクに従って低誘電率誘電体層をエッチングし、金属導体層を露出させるビアおよび/またはトレンチを形成する。ビアおよびトレンチは、デュアルダマシン構造として一般に知られ、通常は二つのリソグラフィー工程を使用して規定される。次いで、フォトレジストマスクを低誘電率誘電体層から除去してからビアおよび/またはトレンチに導電材料を堆積させて相互接続部を形成する。
[0003] マイクロ電子デバイスが小型化するにつれ、ビアおよびトレンチの限界寸法を達成することがますます難しくなる。従って、ビアおよびトレンチより良好なプロファイル制御を実現するために金属ハードマスクが使用される。金属ハードマスクは、チタンまたは窒化チタンで作ることができ、デュアルダマシン構造のビアおよび/またはトレンチの形成後に湿式エッチングプロセスによって除去される。湿式エッチングプロセスは、下にある金属導体層および低誘電率誘電材料に影響を与えることなく金属ハードマスクおよび/またはフォトレジストエッチ残留物を効果的に除去する除去化学作用を使用することが不可欠である。換言すると、除去化学作用は、金属導体層および低誘電率誘電体層と比較して高度に選択的であることが求められる。
[0004] 従って、本発明の目的は、ハードマスクのエッチ速度を損なわずに、存在する金属導体層および低誘電率誘電体層と比較して、ハードマスク材料を選択的に除去するための改善された組成物を提供することである。
[0005] 本発明は、存在する金属導体層および低誘電率誘電体層と比較して、ハードマスク層および/またはフォトレジストエッチ残留物を選択的にエッチングするための組成物およびプロセスに関する。より具体的には、本発明は、銅、タングステン、および低誘電率誘電体層と比較して、窒化チタンおよび/またはフォトレジストエッチ残留物を選択的にエッチングするための組成物およびプロセスに関する。
[0006] 一態様においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を選択的に除去するための組成物であって、少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つの腐食抑制剤、少なくともシリカ源、水、および少なくとも1つの有機溶媒を含み、過酸化水素を実質的に含まない組成物が記載される。
[0007] 別の態様においては、表面に窒化チタン材料を有するマイクロ電子デバイスの表面から窒化チタン材料をエッチングする方法であって、少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つの腐食抑制剤、少なくともシリカ源、水、および少なくとも1つの有機溶媒を含む組成物に表面を接触させることを含み、組成物が、過酸化水素を実質的に含まず、組成物が、表面から金属および絶縁材料と比較して、窒化チタン材料を選択的に除去する方法が記載される。
[0008] 本発明他の態様、特徴および実施形態は、次の開示および添付の請求項からさらに十分に明らかになるであろう。
[0009] 一般に、本発明は、存在する金属導体層および低誘電率誘電体層と比較して、ハードマスク層および/またはフォトレジストエッチ残留物を選択的にエッチングするための半水溶性組成物およびプロセスに関する。より具体的には、本発明は、銅、タングステン、および低誘電率誘電体層と比較して、窒化チタンおよび/またはフォトレジストエッチ残留物を選択的にエッチングするための半水溶性組成物およびプロセスに関する。マイクロ電子デバイス上に存在し得る他の材料は、前記組成物によって実質的に除去または腐食されてはならない。
[0010] 参照を容易にするため、「マイクロ電子デバイス」は、ミクロ電子工学、集積回路、エネルギー集積、またはコンピュータチップの用途に使用するために製造される半導体基板、平面パネルディスプレイ、相変化メモリデバイス、ソーラーパネル、ならびに太陽電池装置、光起電装置、および微小電気機械システム(MEMS)を含む他の製品に相当する。当然のことながら、「マイクロ電子デバイス」、「マイクロ電子基板」および「マイクロ電子デバイス構造」という用語は、決して制限することを意図したものではなく、最終的にはマイクロ電子デバイスまたはマイクロ電子アセンブリになるいかなる基板または構造体も含む。マイクロ電子デバイスは、パターン化や被覆が可能であり、制御装置および/またはテスト装置とすることができる。
[0011] 「ハードマスクキャッピング層」または「ハードマスク」は、本明細書において使用される場合、プラズマエッチの工程の間、誘電材料を保護するためにその上に堆積させる材料に相当する。ハードマスクキャッピング層は、従来から窒化ケイ素、酸窒化ケイ素、窒化チタン、酸窒化チタン、チタン、および他の同様の化合物である。
[0012] 本明細書において使用される場合、「窒化チタン」および「TiNx」は、純粋な窒化チタンだけでなく、様々な化学量論および酸素含有量を含む不純な窒化チタン(TiOxy)に相当する。
[0013] 本明細書において使用される場合、「約」は、明示された値の±5%に相当するよう意図される。
[0014] 本明細書において定義されるように、「低誘電率誘電材料」は、層状マイクロ電子デバイスにおいて誘電材料として使用され、誘電率が約3.5未満の任意の材料に相当する。好ましくは、低誘電率誘電材料は、低極性材料、例えば、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ素化ケイ酸塩ガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスを含む。当然ながら、低誘電率誘電材料は、様々な密度および様々な多孔性を有してもよい。
[0015] 本明細書において定義されるように、「金属導体層」は、銅、タングステン、コバルト、モリブデン、アルミニウム、ルテニウム、これらを含む合金、およびそれらの組合せを含む。
[0016] 本明細書において定義されるように、「アミン」種は、少なくとも1つの第一級、第二級、および第三級アミンを含む。ただし、(i)カルボン酸基とアミン基を両方含む種、(ii)アミン基を含む界面活性剤、および(iii)アミン基が置換基(例えば、アリール部分または複素環部分に結合する)である種は、本定義による「アミン」とは認められない。アミンの式は、NR123で表すことができる(式中、R1、R2およびR3は同一であるか、または互いに異なるものとすることができ、水素、直鎖または分岐C1〜C6アルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C6〜C10アリール(例えば、ベンジル)、直鎖または分岐C1〜C6アルカノール(例えば、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、ヘキサノール)、およびそれらの組合せからなる群から選択されるが、ただし、R1、R2およびR3を全て水素とすることはできない)。
[0017] 本明細書において定義されるように、「フォトレジストエッチ残留物」は、フォトレジスト材料を含む任意の残留物、または当業者によって容易に理解されるエッチングまたはアッシング工程後のフォトレジストの副生成物である物質に相当する。
[0018] 「実質的に含まない」とは、本明細書においては、2wt.%未満、好ましくは1wt.%未満、より好ましくは0.5wt.%未満、更により好ましくは0.1wt.%未満、最も好ましくは0wt.%と定義される。
[0019] 本明細書において使用される場合、「フルオリド」種は、イオン性フルオリド(F-)または共有結合フッ素を含む種に相当する。当然ながら、フルオリド種は、フルオリド種として含まれても、in situで生じてもよい。
[0020] 本明細書において使用される場合、「クロリド」種は、イオン性クロリド(Cl-)を含む種に相当するが、ただし、クロリドアニオンを含む界面活性剤は、本定義による「クロリド」とは認められない。
[0021] 本明細書において使用される場合、「半水溶性」という用語は、水と有機溶媒成分との混合物を意味する。半水溶性除去組成物は、ハードマスク層および/またはフォトレジストエッチ残留物を除去する間、存在する金属導体層および低誘電率誘電体層を実質的に損傷してはならない。
[0022] 本明細書において定義されるように、強塩基は、11より高い少なくとも1つのpKaを有する任意の塩基であり、一方、弱塩基は、11未満の少なくとも1つのpKaを有する任意の塩基である。
[0023] 本発明の組成物は、多種多様な特定の調合物において具体化されてもよく、以下により十分に説明される。
[0024] 組成物の特定の成分についてゼロ下限を含む重量パーセントの範囲に関して述べるそのような全ての組成物においては、当然のことながら、そのような成分は組成物の様々な特定の実施形態においては、存在してもしなくてもよく、そのような成分が存在する場合、そのような成分が採用される組成物の全重量を基準として0.001重量パーセントという低い濃度で存在してもよい。
[0025] 本発明の実施形態は、ハードマスクおよび/またはフォトレジストエッチ残留物を除去するための化学作用を含む。一実施形態においては、半水溶性組成物が誘電体層上の金属ハードマスクおよび/またはフォトレジストエッチ残留物を除去する湿式エッチ溶液であり、誘電体層の下にある金属導体層および誘電体層自体と比較して選択性が高い。より特定の実施形態においては、半水溶性組成物は、銅、タングステン、および低誘電率誘電材料のうちの少なくとも1つと比較して選択性が高い窒化チタン層および/またはフォトレジストエッチ残留物を除去するウェットエッチ溶液である。
[0026] 従って、一態様においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を選択的に除去するための半水溶性組成物であって、少なくとも1つの酸化剤、および少なくとも1つのエッチャントを含み、過酸化水素を実質的に含まない組成物が記載される。一実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための半水溶性組成物は、少なくとも1つの酸化剤、少なくとも1つのエッチャント、水、および少なくとも1つの有機溶媒を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。別の実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための半水溶性組成物は、少なくとも1つの酸化剤、少なくとも1つのエッチャント、水、および少なくとも1つの腐食抑制剤を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。更に別の実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための半水溶性組成物は、少なくとも1つの酸化剤、少なくとも1つのエッチャント、水、少なくとも1つのシリカ源、および少なくとも1つの腐食抑制剤を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。また別の実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための半水溶性組成物は、少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つの腐食抑制剤、水、および少なくとも1つの有機溶媒を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。更に別の実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための半水溶性組成物は、少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つのシリカ源、水、および少なくとも1つの有機溶媒を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。また別の実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための半水溶性組成物は、少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つの腐食抑制剤、少なくともシリカ源、水、および少なくとも1つの有機溶媒を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。本明細書に記載される半水溶性組成物に含ませることが考えられる他の成分としては、少なくとも1つの低誘電率不動態化剤、少なくとも1つの界面活性剤、少なくとも1つのヨウ素捕捉剤、およびそれらの組合せが挙げられるが、これに限らない。有利には、これらの組成物は、約45℃〜約60℃の範囲の温度で、40:1より高いTiN対タングステン選択性および約1Åmin-1未満のタングステン除去速度を有し、更により好ましくは、50:1より高いTiN対タングステン選択性および約1Åmin-1未満のタングステン除去速度を有する。更には、これらの組成物は、約45℃〜約60℃の範囲の温度で、20:1より高いTiN対銅選択性および約2Åmin-1未満の銅除去速度、更により好ましくは30:1より高いTiN対銅選択性および約2Åmin-1未満の銅除去速度を有する。これらの組成物は、本明細書において定義されるようなアミン、化学的機械的研磨材、金属ハロゲン化物、およびそれらの組合せを実質的に含まない。半水溶性組成物は、0〜4の範囲のpH値、および30〜50mN/mの範囲、好ましくは約30mN/m〜約40mN/mの範囲の表面張力値を有する。
[0027] 窒化チタンのエッチ速度を高めるためにエッチャントが添加される。考えられるエッチャントは、HF、フッ化アンモニウム、テトラフルオロホウ酸、ヘキサフルオロケイ酸、B−FまたはSi−F結合を含有する他の化合物、テトラブチルアンモニウムテトラフルオロボラート(TBA−BF4)、テトラアルキルアンモニウムフルオリド(NR1234F)、強塩基、例えばテトラアルキルアンモニウムヒドロキシド(NR1234OH)(式中、R1、R2、R3、R4は同一であっても、互いに異なってもよく、水素、直鎖または分岐C1〜C6アルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C1〜C6アルコキシ基(例えば、ヒドロキシエチル、ヒドロキシプロピル)置換または非置換アリール基(例えば、ベンジル)からなる群から選択される)、弱塩基、およびそれらの組合せを含むが、これに限らない。好ましくは、フルオリド源は、テトラフルオロホウ酸、ヘキサフルオロケイ酸、H2ZrF6、H2TiF6、HPF6、フッ化アンモニウム、酸性フッ化アンモニウム、テトラメチルアンモニウムフルオリド、テトラメチルアンモニウムヒドロキシド、アンモニウムヘキサフルオロシリカート、アンモニウムヘキサフルオロチタナート、またはフッ化アンモニウムとテトラメチルアンモニウムフルオリドとの組合せを含む。フルオリド源に代えて、またはフルオリド源に加え、エッチャントは、強塩基、例えば、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)、ベンジルトリメチルアンモニウムヒドロキシド(BTMAH)、水酸化カリウム、水酸化アンモニウム、ベンジルトリエチルアンモニウムヒドロキシド(BTEAH)、テトラブチルホスホニウムヒドロキシド(TBPH)、(2−ヒドロキシエチル)トリメチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリエチルアンモニウムヒドロキシド、(2−ヒドロキシエチル)トリプロピルアンモニウムヒドロキシド、(1-ヒドロキシプロピル)トリメチルアンモニウムヒドロキシド、エチルトリメチルアンモニウムヒドロキシド、ジエチルジメチルアンモニウムヒドロキシド(DEDMAH)、1,1,3,3-テトラメチルグアニジン(TMG)、炭酸グアニジン、アルギニン、およびそれらの組合せを含むことができる。最も好ましくは、エッチャントは、ヘキサフルオロケイ酸、テトラフルオロホウ酸、またはそれらの組合せを含む。
[0028] 酸化剤は、TiNx中のTi3+を酸化させるために含まれる。本明細書において考えられる酸化剤は、過酸化水素(H22)、FeCl3、FeF3、Fe(NO33、Sr(NO32、CoF3、MnF3、オキソン(2KHSO5・KHSO4・K2SO4)、過ヨウ素酸、ヨウ素酸、酸化バナジウム(V)、酸化バナジウム(IV、V)(V613)、バナジウム酸アンモニウム、アンモニウム多原子塩(例えば、ペルオキソ一硫酸アンモニウム、亜塩素酸アンモニウム(NH4ClO2)、塩素酸アンモニウム(NH4ClO3)、ヨウ素酸アンモニウム(NH4IO3)、硝酸アンモニウム(NH4NO3)、過ホウ酸アンモニウム(NH4BO3)、過塩素酸アンモニウム(NH4ClO4)、過ヨウ素酸アンモニウム(NH4IO4)、過硫酸アンモニウム((NH4228)、次亜塩素酸アンモニウム(NH4ClO))、タングステン酸アンモニウム((NH4102(W27))、ナトリウム多原子塩(例えば、過硫酸ナトリウム(Na228)、次亜塩素酸ナトリウム(NaClO)、過ホウ酸ナトリウム)、カリウム多原子塩(例えば、ヨウ素酸カリウム(KIO3)、過マンガン酸カリウム(KMnO4)、過硫酸カリウム、硝酸(HNO3)、過硫酸カリウム(K228)、次亜塩素酸カリウム(KClO))、テトラメチルアンモニウム多原子塩(例えば、亜塩素酸テトラメチルアンモニウム((N(CH34)ClO2)、塩素酸テトラメチルアンモニウム((N(CH34)ClO3)、ヨウ素酸テトラメチルアンモニウム((N(CH34)IO3)、過ホウ酸テトラメチルアンモニウム((N(CH34)BO3)、過塩素酸テトラメチルアンモニウム((N(CH34)ClO4)、過ヨウ素酸テトラメチルアンモニウム((N(CH34)IO4)、過硫酸テトラメチルアンモニウム((N(CH34)S28))、テトラブチルアンモニウム多原子塩(例えば、ペルオキソ一硫酸テトラブチルアンモニウム)、ペルオキソ一硫酸、硝酸鉄(Fe(NO33)、過酸化水素尿素((CO(NH22)H22)、過酢酸(CH3(CO)OOH)、1,4−ベンゾキノン、トルキノン、ジメチル−1,4−ベンゾキノン、クロラニル、アロキサン、N−メチルモルホリンN−オキシド、トリメチルアミンN−オキシド、およびそれらの組合せを含むが、これに限らない。酸化剤が塩の場合、水和されていても無水であってもよい。酸化剤は、デバイスウエハへの組成物の導入前に製造業者で組成物に導入されてもよく、あるいは、デバイスウエハにおいて、即ち、in situで導入されてもよい。好ましくは、第二の態様の組成物のための酸化剤は、過酸化水素を含む。好ましくは、半水溶性組成物用の酸化剤は、酸化バナジウム、バナジウム酸アンモニウム、ヨウ素酸アンモニウム、過ヨウ素酸アンモニウム、ヨウ素酸、過ヨウ素酸、1,4−ベンゾキノン、またはそれらの組合せを含む。
[0029] 半水溶性組成物は、エッチャント源の活性を低下させるために少なくとも1つのシリカ源を含む。一実施形態においては、少なくとも1つのシリカ源は、アルコキシシランを含む。考えられるアルコキシシランは一般式SiR1234を有する(式中、R1、R2、R3およびR4は同一であっても、または互いに異なってもよく、直鎖C1〜C6アルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、分岐C1〜C6アルキル基、C1〜C6アルコキシ基(例えば、メトキシ、エトキシ、プロポキシ、ブトキシ、ペントキシ、ヘキソキシ)、フェニル基、およびそれらの組合せからなる群から選択される)。当業者には当然のことであるが、アルコキシシランとして特徴づけられるには、R1、R2、R3またはR4の少なくとも1つは、C1〜C6アルコキシ基でなければならない。考えられるアルコキシシランは、メチルトリメトキシシラン、ジメチルジメトキシシラン、フェニルトリメトキシシラン、テトラエトキシシラン(TEOS)、N−プロピルトリメトキシシラン、N−プロピルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、およびそれらの組合せを含む。アルコキシシランの代わりに、またはアルコキシシランに加えて使用できる他のシリカ源は、アンモニウムヘキサフルロロシリカート、ケイ酸ナトリウム、ケイ酸テトラメチルアンモニウム(TMAS)、およびそれらの組合せを含む。好ましくは、ケイ素含有化合物は、TEOS、TMAS、ケイ酸ナトリウム、またはそれらの組合せを含む。
[0030] エッチャントとシリカ源の両方を含むことの代替案は、in situでのフルオロケイ酸の生成である。フッ化水素酸(好ましくは40%以上の濃度で)とTEOSまたは他の加水分解性アルコキシシランを少なくとも1つの有機溶媒に適切な比率で混ぜ、SiO2中に飽和またはほぼ飽和した適性量のフルオロケイ酸を有する濃縮物を形成してもよい。
[0031] 酸化剤がヨウ素酸塩または過ヨウ素酸塩を含む場合、任意選択によりヨウ素捕捉剤が半水溶性組成物に添加される。理論によって拘束されることを望まないが、ヨウ素酸塩または過ヨウ素酸塩が低減されるためにヨウ素が蓄積し、それにより銅のエッチ速度が増加すると考えられる。ヨウ素捕捉剤は、ケトン、より好ましくはカルボニルに対してα水素を有するケトン、例えば、4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、シクロヘキサノン、5−メチル−3−ヘプタノン、3−ペンタノン、5−ヒドロキシ−2−ペンタノン、2,5−ヘキサンジオン、4−ヒドロキシ−4−メチル−2−ペンタノン、アセトン、ブタノン、2−メチル−2−ブタノン、3,3−ジメチル−2−ブタノン、4−ヒドロキシ−2−ブタノン、シクロペンタノン、2−ペンタノン、3−ペンタノン、1−フェニルエタノン、アセトフェノン、ベンゾフェノン、2−ヘキサノン、3−ヘキサノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2,6−ジメチル−4−ヘプタノン、2−オクタノン、3−オクタノン、4−オクタノン、ジシクロヘキシルケトン、2,6−ジメチルシクロヘキサノン、2−アセチルシクロヘキサノン、2,4−ペンタンジオン、メントン、およびそれらの組合せを含むが、これに限らない。好ましくは、ヨウ素捕捉剤は、4−メチル−2−ペンタノン、2,4−ジメチル−3−ペンタノン、またはシクロヘキサノンを含む。
[0032] 金属腐食抑制剤が、酸化剤の酸化活性を阻害するために添加される。本明細書において考えられる金属腐食抑制剤は、5−アミノ−1,3,4−チアジアゾール−2−チオール(ATDT)、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−メチル−ベンゾトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、ベンゾトリアゾールカルボン酸、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、ペンチレンテトラゾール、5−フェニル−1H−テトラゾール、5−ベンジル−1H−テトラゾール、Ablumine O(Taiwan Surfactant)、2−ベンジルピリジン、スクシンイミド、マレイミド、フタルイミド、グルタルイミド、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、ベンゾチアゾール、イミダゾール、ピラゾール、インジアゾール、アデノシン、カルバゾール、サッカリン、およびベンゾインオキシムを含むが、これに限らない。追加的な腐食抑制剤は、カチオン性第四級界面活性剤塩、例えばベンザルコニウムクロリド、ベンジルジメチルドデシルアンモニウムクロリド、ミリスチルトリメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムブロミド、ヘキサデシルピリジニウムクロリド、Aliquat336(Cognis)、ベンジルジメチルフェニルアンモニウムクロリド、CrodaquatTES(Croda Inc.)、RewoquatCPEM(Witco)、ヘキサデシルトリメチルアンモニウムp−トルエンスルホナート、ヘキサデシルトリメチルアンモニウムヒドロキシド、1−メチル−1’−テトラデシル−4,4’−ビピリジウムジクロリド、アルキルトリメチルアンモニウムブロミド、塩酸アンプロリウム、水酸化ベンゼトニウム、塩化ベンゼトニウム、ベンジルジメチルヘキサデシルアンモニウムクロリド、ベンジルジメチルテトラデシルアンモニウムクロリド、ベンジルドデシルジメチルアンモニウムブロミド、ベンジルドデシルジメチルアンモニウムクロリド、セチルピリジニウムクロリド、コリンp−トルエンスルホナート塩、ジメチルジオクタデシルアンモニウムブロミド、ドデシルエチルジメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムクロリド、エチルヘキサデシルジメチルアンモニウムブロミド、ジラール試薬、ヘキサデシル(2−ヒドロキシエチル)ジメチルアンモニウム二水素ホスファート、デキサデシルピリジニウムブロミド、ヘキサデシルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムクロリド、メチルベンゼトニウムクロリド、Hyamine(登録商標)1622、Luviquat(商標)、N,N’,N’−ポリオキシエチレン(10)−N−タロウ−1,3−ジアミノプロパン液、オキシフェノニウムブロミド、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、トンゾニウムブロミド、トリドデシルアンモニウムクロリド、トリメチルオクタデシルアンモニウムブロミド、1−メチル−3−n−オクチルイミダゾリウムテトラフルオロボラート、1−デシル−3−メチルイミダゾリウムテトラフルオロボラート、1−デシル−3−メチルイミダゾリウムクロリド、トリドデシルメチルアンモニウムブロミド、ジメチルジステアリルアンモニウムクロリド、およびヘキサメトニウムクロリドを含む。他の腐食抑制剤は、非イオン性界面活性剤、例えばPolyFoxPF−159(OMNOVA Solutions)、ポリ(エチレングリコール)(「PEG」)、ポリ(プロピレングリコール)(「PPG」)、PEG−PPGコポリマー、例えばPluronicF−127(BASF)、陰イオン界面活性剤、例えばドデシルベンゼンスルホン酸、ドデシルベンゼンスルホン酸ナトリウム、およびそれらの組合せを含む。第四級塩は、腐食抑制剤(特に銅およびタングステンに対して)と湿潤剤の両方として機能できる。当業者には明らかなことであるが、第四級塩はほとんどの場合、クロリドまたはブロミドとして市販されているが、ハロゲン化物アニオンを非ハロゲン化物アニオン、例えば硫酸塩、メタンスルホン酸塩、硝酸塩、水酸化物等とイオン交換することは容易である。こうした変換された第四級塩も、本明細書においては考えられる。特に好適な実施形態においては、5−メチル−1H−ベンゾトリアゾールは、銅に対する酸化剤の酸化活性を阻害することが知られている。5−メチル−1H−ベンゾトリアゾール(mBTA)に代えて、またはこれに加えて、好適な腐食抑制剤は、ピラゾール、ベンゾトリアゾール、カチオン性第四級界面活性剤塩、より好ましくはミリスチルトリメチルアンモニウムブロミド、ベンザルコニウムクロリド、ヘキサデシルトリメチルアンモニウムp−トルエンスルホナート、およびヘキサデシルトリメチルアンモニウムヒドロキシド、テトラゾール、例えば5−ベンジル−1H−テトラゾール、ならびにそれらの組合せを含む。
[0033] 本明細書に記載の半水溶性組成物は、低誘電率誘電体層の化学攻撃を低下させ、ウエハを更なる酸化から保護するために、任意選択により少なくとも1つの低誘電率不動態化剤を含むことができる。好適な低誘電率不動態化剤は、ホウ酸、ホウ酸塩、例えば五ホウ酸アンモニウム、四ホウ酸ナトリウム、3−ヒドロキシ−2−ナフトエ酸、マロン酸、およびイミノ二酢酸を含むが、これに限らない。存在する場合、半水溶性組成物は、低誘電率不動態化剤を組成物の全重量を基準として約0.01wt%〜約2wt%含む。好ましくは、下にある低誘電率材料の全重量を基準として、下にある低誘電率材料の2wt.%未満、より好ましくは1wt.%未満、最も好ましくは0.5wt.%未満が本明細書に記載の半水溶性組成物を使用してエッチ/除去される。
[0034] 確実に湿潤化するため、とりわけpHが低い場合には、界面活性剤、好ましくは耐酸化性フッ素化陰イオン界面活性剤を半水溶性組成物に添加することができる。本発明の組成物で考えられる陰イオン界面活性剤は、フッ素系界面活性剤、例えばZONYL(登録商標)URおよびZONYL(登録商標)FS−62(DuPont Canada Inc., Mississauga, Ontario, Canada)、ならびにフルオロアルキルスルホン酸アンモニウム、例えばNovec(商標)4300(3M)を含むが、これに限らない。使用されるエッチャントがフルオリドを含む場合、界面活性剤およびエッチャントとして使用できる長鎖テトラアルキルアンモニウムフルオリドを使用することが考えられる。
[0035] 少なくとも1つの有機溶媒は、少なくとも1つの水混和性有機溶媒を含み、少なくとも1つの水混和性の有機溶媒は、式R123C(OH)(式中、R1、R2およびR3は、互いに独立し、水素、C2〜C30アルキル、C2〜C30アルケン、シクロアルキル、C2〜C30アルコキシ、およびそれらの組合せからなる群から選択される)の化合物からなる群から選択される。例えば、少なくとも1つの溶媒は、メタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2−エチル−1−ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、1,2−および1,3−プロピレングリコール、1,2−、1,3−、および1,4−ブタンジオール、テトラヒドロフルフリルアルコール(THFA)、ブチレンカルボナート、エチレンカルボナート、プロピレンカルボナート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn-プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、2,3−ジヒドロデカフルオロペンタン、エチルペルフルオロブチルエーテル、メチルペルフルオロブチルエーテル、アルキルカルボナート、アルキレンカルボナート、4−メチル−2−ペンタノール、テトラメチレングリコールジメチルエーテル、ジメチルスルホキシド、ならびにそれらの組合せからなる群から選択される少なくとも1つの種を含むことができる。好ましくは、少なくとも1つの有機溶媒は、ジエチレングリコールモノエチルエーテル、ジエチレングリコールメチルエーテル、プロピレングリコール、エチレングリコール、テトラエチレングリコールジメチルエーテル、トリエチレングリコールメチルエーテル、トリエチレングリコールエチルエーテル、トリプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、またはそれらの組合せを含む。
[0036] 別の実施形態においては、本明細書に記載の半水溶性組成物はいずれも、窒化チタンおよび/またはフォトレジストエッチ材料残留物であって、半水溶性組成物に懸濁および/または溶解される残留物を更に含んでもよい。
[0037] 一実施形態においては、第一の態様の組成物は、組成物の全重量を基準として以下の範囲で存在する少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つの腐食抑制剤、少なくともシリカ源、水、および少なくとも1つの有機溶媒を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない。
半水溶性組成物の特に好適な実施形態においては、少なくとも1つの酸化剤は、酸化バナジウム、バナジウム酸アンモニウム、ヨウ素酸アンモニウム、過ヨウ素酸アンモニウム、ヨウ素酸、過ヨウ素酸、1,4−ベンゾキノン、またはそれらの組合せを含み;少なくとも1つのエッチャントは、ヘキサフルオロケイ酸、テトラフルオロホウ酸、またはそれらの組合せを含み;少なくとも1つの腐食抑制剤は、5−メチル−1H−ベンゾトリアゾール、ピラゾール、ベンゾトリアゾール、ミリスチルトリメチルアンモニウムブロミド、ベンザルコニウムクロリド、ヘキサデシルトリメチルアンモニウムp−トルエンスルホナート、ヘキサデシルトリメチルアンモニウムヒドロキシド、5−ベンジル−1H−テトラゾール、またはそれらの組合せを含み;少なくとも1つのシリカ源は、TEOS、TMAS、ケイ酸ナトリウム、またはそれらの組合せを含み、少なくとも1つの有機溶媒は、ジエチレングリコールモノエチルエーテル、ジエチレングリコールメチルエーテル、プロピレングリコール、エチレングリコール、テトラエチレングリコールジメチルエーテル、トリエチレングリコールメチルエーテル、トリエチレングリコールエチルエーテル、トリプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、またはそれらの組合せを含む。
[0038] 当然ながら、半水溶性組成物の濃縮物を使用前に希釈することは、一般的な方法である。例えば、組成物は、より濃縮した形態で製造し、その後製造業者において使用前に、および/または製造工場での使用中に少なくとも1つの溶媒で希釈してもよい。希釈率は、希釈剤約0.1部:組成物濃縮物1部〜希釈剤約100部:組成物濃縮物1部の範囲であってもよい。更に当然のことであるが、本明細書に記載の組成物は時間と共に不安定となり得る酸化剤を含む。従って、濃縮形態は、酸化剤を実質的に含まないものとすることができ、酸化剤は、製造業者が使用前および/または製造工場での使用中に濃縮物または希釈した半水溶性組成物に導入することができる。
[0039] 本明細書に記載の半水溶性組成物は、それぞれの原材料を単純に添加し、均質な状態に混合することで容易に調合される。更には、半水溶性組成物は、シングルパッケージ調合物として、または使用時または使用前に混合される多成分調合物として容易に調合されてもよく、好ましくは多成分調合物である。多成分調合物の個々の成分は、ツールにおいて、または混合領域/区域で、例えばインラインミキサーまたはツール上流の貯蔵タンクで混合してもよい。多成分調合物の様々な成分が、混合すると所望の組成物を形成する原材料/構成要素のいかなる組合せを含有してもよいと考えられる。それぞれの原材料の濃度は、特定の複数の半水溶性組成物において広範囲に多様であってもよく、即ち、より濃度が低くても高くてもよく、当然ながら、半水溶性組成物は、本明細書における開示と矛盾しない原材料のいかなる組合せを様々に、または代わりとして含むことも、それらからなることも、または本質的にそれらからなることもできる。
[0040] 従って、第二の態様は、1つまたは複数の容器において、本明細書に記載の半水溶性組成物を形成するように構成された1つまたは複数の成分を含むキットに関する。キットの容器は、前記半水溶性組成物成分の保存および輸送に適していなければならず、例えば、NOWPak(登録商標)容器(Advanced Technology Materials, Inc., Danbury, Conn., USA)である。組成物の成分を含有する1つまたは複数の容器は、好ましくはブレンドおよび分注のために前記1つまたは複数の容器の中の成分を流体連通させる手段を含む。例えば、NOWPak(登録商標)容器に関して言えば、ライナーの内容物の少なくとも一部を放出させ、従ってブレンドおよび分注のための流体連通を可能とするために前記1つまたは複数の容器内のライナーの外側にガス圧をかけてもよい。あるいは、従来の加圧可能容器の上部空間にガス圧をかけてもよく、または流体連通を可能にするためにポンプを使用してもよい。加えて、この系は、好ましくはブレンドした組成物をプロセスツールに分注するための分注ポートを含む。
[0041] 実質的に化学的に不活性で、不純物を含まず、可撓性で弾性のある高分子フィルム材料、例えば高密度ポリエチレンが、前記1つまたは複数の容器のライナーを作るために好ましくは使用される。望ましいライナー材料は、共押出またはバリア層を必要とすることなく、かつ、ライナーに配される成分の純度要件に悪影響を及ぼしうる顔料、UV阻害剤、または加工剤を用いることなく加工される。望ましいライナー材料の一覧には、バージン(添加剤を含まない)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含むフィルムが含まれる。こうしたライナー材料の好適な厚さは、約5ミル(0.005インチ)〜約30ミル(0.030インチ)の範囲であり、例えば厚さ20ミル(0.020インチ)である。
[0042] キット用の容器に関して言えば、以下の特許および特許出願の開示がそれぞれの全体を参照することによって本明細書に組込まれる:「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」と題する米国特許第7,188,644号;「RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」と題する米国特許第6,698,619号;および2008年5月9日出願の「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」と題するPCT/US08/63276号。
[0043] 第三の態様において、本発明は、本明細書に記載の半水溶性組成物を使用して表面に窒化チタン材料を有するマイクロ電子デバイスの表面から窒化チタン材料をエッチングする方法に関する。例えば、窒化チタン材料は、マイクロ電子デバイス上に存在する金属導体および絶縁体材料を実質的に損傷/除去することなく除去し得る。従って、好適な実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留材料を有するマイクロ電子デバイスの表面から、本明細書に記載の半水溶性組成物を使用して、金属導体および絶縁体材料と比較して、窒化チタンおよび/またはフォトレジストエッチ残留材料を選択的かつ実質的に除去する方法が記載される。別の好適な実施形態においては、表面に窒化チタンおよび/またはフォトレジストエッチ残留材料を有するマイクロ電子デバイスの表面から本明細書に記載の半水溶性組成物を使用して、金属導体(例えば、銅)、タングステンおよび絶縁体材料と比較して、窒化チタンおよび/またはフォトレジストエッチ残留材料を選択的かつ実質的に除去する方法が記載される。
[0044] エッチングの用途において、組成物は、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面に、任意適切な方法で、例えば、デバイスの表面に半水溶性組成物を噴霧することにより、窒化チタンおよび/またはフォトレジストエッチ残留物質を含むデバイスを浸漬(静的または動的体積の半水溶性組成物に)させることにより、デバイスを別の物質、例えば、半水溶性組成物を吸収させたパッドまたは繊維状吸着剤アプリケーター要素に接触させることにより、窒化チタンおよび/またはフォトレジストエッチ残留物質を含むデバイスを循環する半水溶性組成物と接触させることにより、または半水溶性組成物を窒化チタンおよび/またはフォトレジストエッチ残留物質と除去接触させる他の任意適切な手段、方法もしくは技法によって施用される。施用は、動的または静的洗浄のためにバッチまたはシングルウエハ装置で行われてもよい。有利には、本明細書に記載の半水溶性組成物は、マイクロ電子デバイス構造上に存在し、組成物に暴露され得る他の材料、例えば金属および絶縁材料(即ち、低誘電率誘電体)に対する窒化チタンおよび/またはフォトレジストエッチ残留物質への選択性のために、高度に効率的で選択性が高い方法で、窒化チタンおよび/またはフォトレジストエッチ残留物質の少なくとも部分的な除去を実現する。
[0045] 表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイス構造物の表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を除去するための本明細書に記載の半水溶性組成物の使用では、半水溶性組成物を、典型的にはシングルウエハツールにて、約20℃〜約100℃、好ましくは約45℃〜約60℃の範囲の温度で約0.3分〜約30分間、好ましくは約0.5分〜約3分間の十分な時間デバイス構造物と接触させる。こうした接触時間および温度は例示であり、窒化チタンおよび/またはフォトレジストエッチ残留物質をデバイス構造物から少なくとも部分的に除去するのに有効である他の任意適切な時間および温度条件を採用してもよい。
[0046] 一実施形態においては、デバイス構造へ供給される間、半水溶性組成物はインラインで加熱される。インラインで加熱することにより、浴自体よりも半水溶性組成物の寿命は延びる。
[0047] 所望のエッチング作用の実現に続き、半水溶性組成物は、本明細書に記載の半水溶性組成物の所与の最終用途において求められ、有効であるように、例えば、すすぎ、洗浄、または他の除去工程によって既に施用されたマイクロ電子デバイスから容易に除去することができる。例えば、デバイスは、脱イオン水を含むすすぎ液でのすすぎ、および/または乾燥(例えば、遠心乾燥、N2、蒸気乾燥等)をしてもよい。
[0048] 半水溶性組成物は、好ましくは金属導体および絶縁(即ち、低誘電率誘電)材料と比較して、窒化チタン材料を選択的にエッチングする。一実施形態においては、窒化チタンのエッチ速度は高い(50℃にて50Åmin-1以上、好ましくは約35Åmin-1以上)が、同じ温度での金属(例えば、CuおよびW)のエッチ速度は低く(約10Åmin-1未満、好ましくは約5Åmin-1未満)、低誘電率誘電材料のエッチ速度は低い(約10Åmin-1未満、好ましくは約5Åmin-1未満)。
[0049] 第四の態様は、本明細書に記載の方法に従って作られる改良型のマイクロ電子デバイス、およびそのようなマイクロ電子デバイスを含有する製品に関する。
[0050] 第五の態様は、マイクロ電子デバイスを備える物品を製造する方法であって、表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質をエッチングによって除去するのに十分な時間、マイクロ電子デバイスを半水溶性組成物に接触させること、および前記マイクロ電子デバイスを前記物品に組込むことを含み、半水溶性組成物が、少なくとも1つの酸化剤、少なくとも1つのエッチャント、少なくとも1つの腐食抑制剤、少なくともシリカ源、水、および少なくとも1つの有機溶媒を含むか、それらからなるか、または本質的にそれらからなり、組成物は過酸化水素を実質的に含まない方法に関する。半水溶性組成物は、窒化チタン材料を更に含んでも、窒化チタン材料からなっても、または本質的に窒化チタン材料からなってもよい。
[0051] 本発明の第六の態様は、マイクロ電子デバイス基板、前記基板上の窒化チタン層、および本明細書に記載の組成物を含むか、それらからなるか、または本質的にそれらからなる製造物品に関する。
[0052] 本発明の特徴と利点は、以下に述べる例示的実施例によって、より十分に示される。
実施例1
[0053] 過ヨウ素酸0.003wt%、H2SiF61.2wt%、ベンザルコニウムクロリド0.004wt%、TEOS0.3wt%、およびDI水98.493wt%を含む基礎液1を調製した。基礎液1から出発し、以下の表1〜3に示すような調合物を調製した。銅、タングステン、TiNおよびPETEOSの切り取り試片を50℃にて表示した時間各調合物に浸漬し、エッチ速度を判定した。
[0054] 有機溶媒10wt%とmBTA0.5%の添加は、TiNのエッチ速度に有意な効果を及ぼさなかったが、CuおよびWのエッチ速度を確かに低下させたことがわかる。有機溶媒の量を50wt%に増加させると、TiNのエッチ速度は低下した。有機溶媒が50wt%の場合の結果は、調合物の選択性を変えるように調合物を調整できることを示唆する。例えば、溶媒濃度を調整することにより、例えば、溶媒DPGMEを含む調合物LおよびWでそうであるように、CuおよびWのエッチ速度を低下させた状態でTiNのエッチ速度を40Åmin-1から10Åmin-1未満まで変化させることができる。別の態様においては、半水溶性組成物は、TiNおよびWと比較して、銅を選択的に除去する、またはWと比較して、CuおよびTiNを選択的に除去するための調合物である。
[0055] 有機溶媒10wt%の添加が銅のエッチ速度を低下させたことがわかる。これは、有機溶媒がmBTAの溶解を助けたためであると推定される。更に、mBTAと共に有機溶媒を添加しても、TiNのエッチ速度には悪影響を及ぼさず、それでもCuおよびWには適合する。
実施例2
[0056] バナジウム酸アンモニウム0.01wt%、H2SiF61.2wt%、ミリスチルトリメチルアンモニウムブロミド0.004wt%、TEOS0.3wt%、およびDI水98.486wt%を含む基礎液2を調製した。基礎液2から出発し、以下の表4に示すような調合物を調製した。銅、タングステン、TiNおよびPETEOSの切り取り試片を50℃にて表示した時間各調合物に浸漬し、エッチ速度を判定した。
[0057] 1つの溶媒(THFA)のみが10wt%でTiNのエッチ速度を大幅に変えたことがわかる。
実施例3
[0058] テトラフルオロホウ酸、ピラゾール、酸化バナジウム(IV,V)、および水を含む組成物を調製し、PETEOS、Cu(Cu)、TiN、およびWの切り取り試片のエッチ速度を50℃で各調合物に浸漬した後判定した。組成物および結果を表5に示す。
実施例4
[0059] テトラフルオロホウ酸、ピラゾール、バナジウム(IV,V)酸化物、水、ジエチレングリコールモノブチルエーテル(DEGBE)、および表6に表示する追加成分を含む組成物を調製した。PETEOS、Cu(Cu)、TiN、およびWの切り取り試片のエッチ速度を40℃で各調合物に浸漬した後に判定すると、表7に示す通りであった。
[0060] 本発明の特定の態様、特徴および例示的実施形態を参照して本発明を本明細書に記載したが、当然ながら、本発明の有用性はそのように限定されず、むしろ、本明細書に開示に基づき、本発明の分野の当業者が連想するように、数多くの他の変形、修正および他の実施形態まで拡大されて、それらを包含する。同様に、以下に主張される本発明は、その精神および範囲内の全てのそのような変形、変更および代替的実施形態を含むものとして概括的に解釈されるように意図される。

Claims (22)

  1. 表面に窒化チタンおよび/またはフォトレジストエッチ残留物質を有するマイクロ電子デバイスの表面から窒化チタンおよび/またはフォトレジストエッチ残留物質を選択的に除去するための組成物であって、0.0001重量%から2重量%の少なくとも1つの酸化剤、0.01重量%から10重量%の少なくとも1つのエッチャント、0.0001重量%から10重量%の少なくとも1つの腐食抑制剤、0.001重量%から5重量%の少なくともシリカ源、40重量%から99.99重量%の水、および1重量%から80重量%の少なくとも1つの有機溶媒を含み、過酸化水素を実質的に含まず、且つタングステンおよびTiNの切り取り試片が50℃で組成物中に浸漬されたとき、40:1より高いTiN対タングステン選択性および1Åmin -1 未満のタングステン除去速度を有することを特徴とする、組成物。
  2. エッチャントが、H2ZrF6、H2TiF6、HPF6、HF、フッ化アンモニウム、酸
    性フッ化アンモニウム、テトラフルオロホウ酸、ヘキサフルオロケイ酸、テトラブチルアンモニウムテトラフルオロボラート(TBA−BF4)、アンモニウムヘキサフルオロシ
    リカート、アンモニウムヘキサフルオロチタナート、テトラアルキルアンモニウムフルオリド(NR1234F)、テトラアルキルアンモニウムヒドロキシド(NR1234OH)(式中、R1、R2、R3、R4は同一であっても、互いに異なってもよく、直鎖または分岐C1〜C6アルキル基からなる群から選択される)、弱塩基、およびそれらの組合せからなる群から選択される種を含む、請求項1に記載の組成物。
  3. エッチャントが、ヘキサフルオロケイ酸、テトラフルオロホウ酸、またはそれらの組合せを含む、請求項1に記載の組成物。
  4. 酸化剤が、FeCl3(水和および非水和の両方)、Fe(NO33、Sr(NO32
    、CoF3、FeF3、MnF3、オキソン(2KHSO5・KHSO4・K2SO4)、過ヨ
    ウ素酸、ヨウ素酸、酸化バナジウム(V)、酸化バナジウム(IV,V)、バナジウム酸アンモニウム、ペルオキソ一硫酸アンモニウム、亜塩素酸アンモニウム(NH4ClO2)、塩素酸アンモニウム(NH4ClO3)、ヨウ素酸アンモニウム(NH4IO3)、硝酸アンモニウム(NH4NO3)、過ホウ酸アンモニウム(NH4BO3)、過塩素酸アンモニウム(NH4ClO4)、過ヨウ素酸アンモニウム(NH4IO3)、過硫酸アンモニウム((NH4228)、次亜塩素酸アンモニウム(NH4ClO)、タングステン酸アンモニ
    ウム((NH4102(W27))、過硫酸ナトリウム(Na228)、次亜塩素酸ナ
    トリウム(NaClO)、過ホウ酸ナトリウム、ヨウ素酸カリウム(KIO3)、過マン
    ガン酸カリウム(KMnO4)、過硫酸カリウム、硝酸(HNO3)、過硫酸カリウム(K228)、次亜塩素酸カリウム(KClO)、亜塩素酸テトラメチルアンモニウム((
    N(CH34)ClO2)、塩素酸テトラメチルアンモニウム((N(CH34)ClO3)、ヨウ素酸テトラメチルアンモニウム((N(CH34)IO3)、過ホウ酸テトラメ
    チルアンモニウム((N(CH34)BO3)、過塩素酸テトラメチルアンモニウム((
    N(CH34)ClO4)、過ヨウ素酸テトラメチルアンモニウム((N(CH34)I
    4)、過硫酸テトラメチルアンモニウム((N(CH34)S28)、ペルオキソ一硫
    酸テトラブチルアンモニウム、ペルオキソ一硫酸、硝酸鉄(Fe(NO33)、過酢酸(CH3(CO)OOH)、1,4−ベンゾキノン、トルキノン、ジメチル−1,4−ベン
    ゾキノン、クロラニル、アロキサン、N−メチルモルホリンN−オキシド、トリメチルアミンN−オキシド、およびそれらの組合せからなる群から選択される種を含む、請求項1から3のいずれか一項に記載の組成物。
  5. 酸化剤が、酸化バナジウム、ヨウ素酸アンモニウム、過ヨウ素酸アンモニウム、バナジウム酸アンモニウム、過ヨウ素酸、ヨウ素酸、1,4−ベンゾキノン、またはそれらの組合せからなる群から選択される種を含む、請求項1から4のいずれか一項に記載の組成物。
  6. 酸化剤が、バナジウム酸アンモニウムおよび過ヨウ素酸からなる群から選択される種を含む、請求項1から5のいずれか一項に記載の組成物。
  7. 少なくとも1つの腐食抑制剤が、5−アミノ−1,3,4−チアジアゾール−2−チオール(ATDT)、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−メチル−ベンゾトリアゾール(mBTA)、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、ベンゾトリアゾールカルボン酸、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール、2−メルカプトベンゾイミダゾール(MBI)、2−メルカプトベンゾチア
    ゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、ペンチレンテトラゾール、5−フェニル−1H−テトラゾール、5−ベンジル−1H−テトラゾール、Ablumine O、2−ベンジルピリジン、スクシンイミド、マレイミド、フタルイミド、グルタルイミド、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、ピラゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、ベンゾチアゾール、イミダゾール、インジアゾール、アデノシン、カルバゾール、サッカリン、ベンゾインオキシム、PolyFoxPF−159、ポリ(エチレングリコール)、ポリ(プロピレングリコール)、PEG−PPGコポリマー、ドデシルベンゼンスルホン酸、ドデシルベンゼンスルホン酸ナトリウム、ベンザルコニウムクロリド、ベンジルジメチルドデシルアンモニウムクロリド、ミリスチルトリメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムブロミド、ヘキサデシルピリジニウムクロリド、Aliquat336、ベンジルジメチルフェニルアンモニウムクロリド、CrodaquatTES、RewoquatCPEM、ヘキサデシルトリメチルアンモニウムp−トルエンスルホナート、ヘキサデシルトリメチルアンモニウムヒドロキシド、1−メチル−1’−テトラデシル−4,4’−ビピリジウムジクロリド、アルキルトリメチルアンモニウムブロミド、塩酸アンプロリウム、水酸化ベンゼトニウム、塩化ベンゼトニウム、ベンジルジメチルヘキサデシルアンモニウムクロリド、ベンジルジメチルテトラデシルアンモニウムクロリド、ベンジルドデシルジメチルアンモニウムブロミド、ベンジルドデシルジメチルアンモニウムクロリド、セチルピリジニウムクロリド、コリンp−トルエンスルホナート塩、ジメチルジオクタデシルアンモニウムブロミド、ドデシルエチルジメチルアンモニウムブロミド、ドデシルトリメチルアンモニウムクロリド、エチルヘキサデシルジメチルアンモニウムブロミド、ジラール試薬、ヘキサデシル(2−ヒドロキシエチル)ジメチルアンモニウム二水素ホスファート、デキサデシルピリジニウムブロミド、ヘキサデシルトリメチルアンモニウムブロミド、ヘキサデシルトリメチルアンモニウムクロリド、メチルベンゼトニウムクロリド、Hyamine(登録商標)1622、Luviquat(商標)、N,N’,N’−ポリオキシエチレン(10)−N−タロウ−1,3−ジアミノプロパン液、オキシフェノニウムブロミド、テトラヘプチルアンモニウムブロミド、テトラキス(デシル)アンモニウムブロミド、トンゾニウムブロミド、トリドデシルアンモニウムクロリド、トリメチルオクタデシルアンモニウムブロミド、1−メチル−3−n−オクチルイミダゾリウムテトラフルオロボラート、1−デシル−3−メチルイミダゾリウムテトラフルオロボラート、1−デシル−3−メチルイミダゾリウムクロリド、トリドデシルメチルアンモニウムブロミド、ジメチルジステアリルアンモニウムクロリド、ヘキサメトニウムクロリド、およびそれらの組合せからなる群から選択される種を含む、請求項1から6のいずれか一項に記載の組成物。
  8. 少なくとも1つの腐食抑制剤が、ベンゾトリアゾール誘導体、カチオン性第四級界面活性剤、またはそれらの組合せを含む、請求項1から7のいずれか一項に記載の組成物。
  9. 少なくとも1つの腐食抑制剤がメチルベンゾトリアゾールを含む、請求項1から8のいずれか一項に記載の組成物。
  10. シリカ源が、メチルトリメトキシシラン、ジメチルジメトキシシラン、フェニルトリメトキシシラン、テトラエトキシシラン(TEOS)、N−プロピルトリメトキシシラン、N−プロピルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、アンモニウムヘキサフルロロシリカート、ケイ酸ナトリウム、ケイ酸テトラメチルアンモニウム(TMAS)、およびそれらの組合せからなる群から選択される少なくとも一種を含む、請求項1から9のいずれか一項に記載の組成物。
  11. シリカ源が、TEOS、TMAS、ケイ酸ナトリウム、またはそれらの組合せを含む、請求項10に記載の組成物。
  12. 少なくとも1つの有機溶媒が、メタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2−エチル−1−ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、1,2−および1,3−プロピレングリコール、1,2−、1,3−、および1,4−ブタンジオール、テトラヒドロフルフリルアルコール(THFA)、ブチレンカルボナート、エチレンカルボナート、プロピレンカルボナート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレング
    リコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロ
    ピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロ
    ピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、2,3−ジヒドロデカフルオロペンタン、エチルペルフルオロブチルエーテル、メチルペルフルオロブチルエーテル、アルキルカルボナート、アルキレンカルボナート、4−メチル−2−ペンタノール、テトラメチレングリコールジメチルエーテル、ジメチルスルホキシド、ならびにそれらの組合せからなる群から選択される種を含む、請求項1から11のいずれか一項に記載の組成物。
  13. 少なくとも1つの有機溶媒が、ジエチレングリコールモノエチルエーテル、ジエチレングリコールメチルエーテル、プロピレングリコール、エチレングリコール、テトラエチレングリコールジメチルエーテル、トリエチレングリコールメチルエーテル、トリエチレングリコールエチルエーテル、トリプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、またはそれらの組合せを含む、請求項12に記載の組成物。
  14. 少なくとも1つの低誘電率不動態化剤、少なくとも1つの界面活性剤、少なくとも1つのヨウ素捕捉剤、およびそれらの組合せからなる群から選択される少なくとも1つの追加成分を更に含む、請求項1から13のいずれか一項に記載の組成物。
  15. アミン、化学的機械的研磨材、金属ハロゲン化物、およびそれらの組合せを実質的に含まない、請求項1から14のいずれか一項に記載の組成物。
  16. 組成物のpHが0〜4の範囲にある、請求項1から15のいずれか一項に記載の組成物。
  17. 表面に窒化チタン材料を有するマイクロ電子デバイスの表面から窒化チタン材料をエッチングする方法であって、請求項1から16のいずれか一項に記載の組成物を表面に接触させることを含み、組成物が、表面から金属および絶縁材料と比較して、窒化チタン材料を選択的に除去する、方法。
  18. 接触させることが、20℃〜100℃の範囲の温度にて0.3分〜30分の範囲の時間を含む、請求項17に記載の方法。
  19. 所望のエッチング作用後に、組成物が表面からすすぎ落とされる、請求項17または18に記載の方法。
  20. 属が銅、タングステン、またはその両方を含む、請求項17から19のいずれか一項に記載の方法。
  21. 組成物が、45℃〜60℃の範囲の温度で、40:1より高いTiN対タングステン選択性および1Åmin-1未満のタングステン除去速度を有する、請求項17から20のいずれか一項に記載の方法。
  22. 組成物が、45℃〜60℃の範囲の温度で、20:1より高いTiN対銅選択性および2Åmin-1未満の銅除去速度を有する、請求項17から21のいずれか一項に記載の方法。
JP2015561546A 2013-03-04 2014-03-04 窒化チタンを選択的にエッチングするための組成物および方法 Active JP6363116B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361772251P 2013-03-04 2013-03-04
US61/772,251 2013-03-04
PCT/US2014/020312 WO2014138064A1 (en) 2013-03-04 2014-03-04 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
JP2016510175A JP2016510175A (ja) 2016-04-04
JP6363116B2 true JP6363116B2 (ja) 2018-07-25

Family

ID=51491868

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015561546A Active JP6363116B2 (ja) 2013-03-04 2014-03-04 窒化チタンを選択的にエッチングするための組成物および方法

Country Status (8)

Country Link
US (1) US10472567B2 (ja)
EP (1) EP2964725B1 (ja)
JP (1) JP6363116B2 (ja)
KR (1) KR102294726B1 (ja)
CN (1) CN105102584B (ja)
SG (2) SG10201706443QA (ja)
TW (1) TWI655273B (ja)
WO (1) WO2014138064A1 (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI558850B (zh) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 電子零件用處理液及電子零件之製造方法
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
US10332784B2 (en) * 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10294422B2 (en) * 2015-07-16 2019-05-21 Hailiang Wang Etching compositions for transparent conductive layers comprising silver nanowires
CN106919013B (zh) * 2015-12-28 2021-12-07 安集微电子(上海)有限公司 一种低蚀刻的去除光阻残留物的清洗液
KR102415954B1 (ko) * 2016-01-12 2022-07-01 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
CN106404501A (zh) * 2016-08-29 2017-02-15 中航动力股份有限公司 一种工业纯钛ta2的电解腐蚀剂及电解抛光腐蚀方法
WO2018217978A1 (en) * 2017-05-25 2018-11-29 Saint-Gobain Ceramics & Plastics, Inc. Oxidizing fluid for the chemical-mechanical polishing of ceramic materials
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
KR102487940B1 (ko) * 2018-03-19 2023-01-16 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
KR102665340B1 (ko) 2018-09-18 2024-05-14 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
KR20210127920A (ko) * 2019-02-13 2021-10-25 가부시끼가이샤 도꾸야마 오늄염을 포함하는 반도체 웨이퍼의 처리액
JP7081010B2 (ja) * 2019-02-13 2022-06-06 株式会社トクヤマ オニウム塩を含む半導体ウェハの処理液
KR20220016516A (ko) * 2019-07-05 2022-02-09 후지필름 가부시키가이샤 조성물, 키트, 기판의 처리 방법
MY191198A (en) * 2019-10-03 2022-06-07 Nissan Chemical Corp Cation-containing polishing composition for eliminating protrusions at periphery of laser mark
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TW202134477A (zh) * 2020-03-04 2021-09-16 美商慧盛材料美國責任有限公司 用於氮化鈦及鉬導電金屬線的蝕刻溶液
CN111621791B (zh) * 2020-05-06 2022-05-10 中国石油天然气集团有限公司 一种抗稀盐酸和硫化氢腐蚀的炼油蒸馏塔顶缓蚀剂
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
KR20230129242A (ko) * 2020-12-29 2023-09-07 엔테그리스, 아이엔씨. 금속 산화물 하드 마스크의 선택적 제거
JPWO2023277048A1 (ja) * 2021-07-02 2023-01-05
CN114350365A (zh) * 2021-12-07 2022-04-15 湖北兴福电子材料有限公司 一种稳定蚀刻氮化钛的蚀刻液
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
TW202342821A (zh) * 2022-02-24 2023-11-01 日商三菱瓦斯化學股份有限公司 組成物、以及使用其之半導體基板之製造方法及蝕刻方法
US20230383185A1 (en) * 2022-05-27 2023-11-30 Entegris, Inc. Etchant composition and method
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
WO2024024811A1 (ja) * 2022-07-28 2024-02-01 三菱瓦斯化学株式会社 半導体基板洗浄用組成物およびこれを用いた半導体基板の製造方法
TWI814652B (zh) * 2022-11-28 2023-09-01 南亞塑膠工業股份有限公司 去膜液
WO2024129228A1 (en) * 2022-12-13 2024-06-20 Versum Materials Us, Llc Compositions for selective removal of tin layer over tungsten

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
KR100536593B1 (ko) 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
CN101371339A (zh) 2003-05-12 2009-02-18 高级技术材料公司 用于步骤ⅱ的铜衬里和其他相关材料的化学机械抛光组合物及其使用方法
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200726858A (en) 2005-04-15 2007-07-16 Advanced Tech Materials Apparatus and method for supercritical fluid removal or deposition processes
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2008543060A (ja) 2005-05-26 2008-11-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅不活性化化学機械研磨後洗浄組成物及び使用方法
US20090215269A1 (en) 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
JP2008547202A (ja) 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法
CN101242914A (zh) 2005-06-16 2008-08-13 高级技术材料公司 用于除去硬化的光致抗蚀剂、蚀刻后残留物和/或底部抗反射涂层的稠密流体组合物
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
JP2009512195A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲートスペーサ酸化物材料を選択的にエッチするための組成物および方法
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI509690B (zh) * 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
TWI611047B (zh) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TW200916564A (en) 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20100017695A (ko) 2007-05-09 2010-02-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 재료 혼합과 분배를 위한 시스템 및 방법
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
JP2010535422A (ja) 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
KR20100101105A (ko) 2007-11-14 2010-09-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 가용성 나노결정의 무-용매 합성
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
SG188848A1 (en) 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
US7968506B2 (en) 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
WO2010039936A2 (en) 2008-10-02 2010-04-08 Advanced Technology Materials, Inc. Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
KR20110110841A (ko) 2009-01-28 2011-10-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 리소그래픽 도구 현장 세척 제형
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8222145B2 (en) * 2009-09-24 2012-07-17 Dupont Air Products Nanomaterials, Llc Method and composition for chemical mechanical planarization of a metal-containing substrate
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
TWI568859B (zh) * 2010-04-15 2017-02-01 恩特葛瑞斯股份有限公司 廢棄印刷電路板之回收利用方法
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
SG10201605021PA (en) 2011-06-21 2016-08-30 Entegris Inc Method for the recovery of lithium cobalt oxide from lithium ion batteries
KR101776923B1 (ko) * 2011-08-05 2017-09-11 삼성디스플레이 주식회사 식각액 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조 방법
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103958640B (zh) 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
AP2014007781A0 (en) 2011-12-15 2014-07-31 Advanced Tech Materials Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP2814895A4 (en) 2012-02-15 2015-10-07 Entegris Inc POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
WO2013138276A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Methods for the selective removal of ashed spin-on glass
CN104334706A (zh) 2012-03-18 2015-02-04 安格斯公司 具有改进的阻挡层相容性和清洁性能的cpm后配制物
CA2869431A1 (en) 2012-04-06 2013-10-10 Entegris, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
WO2013170130A1 (en) 2012-05-11 2013-11-14 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
WO2013173743A2 (en) 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Aqueous clean solution with low copper etch rate for organic residue removal improvement
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법

Also Published As

Publication number Publication date
SG10201706443QA (en) 2017-09-28
US10472567B2 (en) 2019-11-12
TW201439280A (zh) 2014-10-16
WO2014138064A1 (en) 2014-09-12
EP2964725A1 (en) 2016-01-13
SG11201507014RA (en) 2015-10-29
EP2964725B1 (en) 2021-06-23
US20160032186A1 (en) 2016-02-04
EP2964725A4 (en) 2016-11-23
CN105102584B (zh) 2018-09-21
CN105102584A (zh) 2015-11-25
JP2016510175A (ja) 2016-04-04
TWI655273B (zh) 2019-04-01
KR102294726B1 (ko) 2021-08-30
KR20150126637A (ko) 2015-11-12

Similar Documents

Publication Publication Date Title
JP6363116B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20160713

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171215

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20180301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20180301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180514

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180515

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180529

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180627

R150 Certificate of patent or registration of utility model

Ref document number: 6363116

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250