TW200918664A - Wafer reclamation compositions and methods - Google Patents

Wafer reclamation compositions and methods Download PDF

Info

Publication number
TW200918664A
TW200918664A TW097122364A TW97122364A TW200918664A TW 200918664 A TW200918664 A TW 200918664A TW 097122364 A TW097122364 A TW 097122364A TW 97122364 A TW97122364 A TW 97122364A TW 200918664 A TW200918664 A TW 200918664A
Authority
TW
Taiwan
Prior art keywords
composition
acid
weight
microelectronic device
removal
Prior art date
Application number
TW097122364A
Other languages
Chinese (zh)
Inventor
Pamela M Visintin
Ping Jiang
Michael B Korzenski
Mackenzie King
Jianwen Han
Monica K Hilgarth
Jun Liu
Renjie Zhou
David W Minsek
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW200918664A publication Critical patent/TW200918664A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • C11D2111/22

Abstract

Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition preferably includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and/or reuse of said structure. Processes include the monitoring and modifying said compositions.

Description

200918664 九、發明說明: 【發明所屬之技術領域】 本發明廣泛地係關於適用於自具有例如低κ介電質之材 料的基板或物品移除該材料層之組合物及方法,以再生、 再加工'再循環及/或再使用該基板或物品,且係關於使 用該方法製造的產品,且係關於監視及改質該等組合物之 方法。 【先前技術】 對與高密度、超大規模積體電路(ULSI#導體佈線有關 之效此的逐步提向之需要已日益要求使用低介電常數(低·κ) 絕緣層從而在減小裝置尺寸同時增加信號傳輸速度。 典型低-κ材料包括(例如)使用專有black diam〇ndtm 方法使用諸如 SiLK™、AUR〇ra™、c〇raltm 或 black CDO通常係使用化學氣相沈積(cvd)法自 矽氧烷前驅物形成。CVD摻碳氧化物低— diamond™之市售前驅物沈積之摻碳氧化物(CD〇)。該等 有機矽烷及有機200918664 IX. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention is broadly directed to compositions and methods for removing a layer of material from a substrate or article having a material such as a low-k dielectric for regeneration, Processing 'recycling and/or reusing the substrate or article, and relating to products made using the method, and to methods for monitoring and modifying such compositions. [Prior Art] The need for a step-by-step improvement related to high-density, ultra-large-scale integrated circuits (ULSI# conductor wiring) has increasingly required the use of a low dielectric constant (low-k) insulating layer to reduce device size. At the same time increase the signal transmission speed. Typical low-κ materials include, for example, the use of proprietary black diam〇ndtm methods such as SiLKTM, AUR〇raTM, c〇raltm or black CDO, which are usually chemical vapor deposition (cvd) Formation from a decane precursor. CVD carbon-doped oxide low-mass precursors deposited by diamond-based carbon oxides (CD〇). These organic decanes and organic

用於某些結構之間隙填充材料。A gap fill material for certain structures.

裝置晶圓(例如矽半導體晶圓)在不可接受Device wafers (such as germanium semiconductor wafers) are not acceptable

:晶圓)在不可接受之層處理後必須 可能出現任何數目之加工問題’ 132222.doc 200918664 例如層的不均勻沈積或隨後的蝕刻誤差。在選定之加工步 驟後進仃夕種σσ質控制測試方法,藉此可能出於多種原因 而使半導體晶圓不合格及”報廢”,從而導致顯著非生產性 成本。 先刖技術實踐已將不合格或報廢處理晶圓送至晶圓供應 商以供加工,藉此使用化學及機械方法自半導體晶圓移: 材料層(例如,介電層,諸如CD0層)以再使用該晶圓。在: Wafer) Any number of processing problems must occur after unacceptable layer processing. 132222.doc 200918664 For example, uneven deposition of layers or subsequent etching errors. After the selected processing steps, the σσ quality control test method is introduced, whereby the semiconductor wafer may be unqualified and "discarded" for a variety of reasons, resulting in significant non-productive costs. Prior art practices have sent unqualified or end-of-life wafers to wafer suppliers for processing, thereby moving from semiconductor wafers using chemical and mechanical methods: a layer of material (eg, a dielectric layer, such as a CD0 layer) The wafer is reused. in

C Ο 成力移除上覆在晶圓上之介電層及其他特徵後,將晶 循環或再㈣於新的多層半導體裝置製造過程中。因為半 導體曰曰圓裝、向較大直徑晶圓(例如12吋晶圓)轉移,所以 2外場報廢及再循環處理㈣因高的非生產性成本 愈加不具吸引力。 =術中需要研發可藉以使用改良之組合物自微電子 衣置(例如’半導體晶圓)移除材料(例如,低 括CDO層)之方半^ ^ λ "电層,包 4組合物與現有製造方法相容。較 土 ^用该等組合物自微電 電層)之方法不需要高耗能氧化步驟移除材枳例如低4 為此目的’提供改良之组八 ^ 裝置結構移除至少 丨精纟可自微電子 止 種材料(例如金屬堆疊材料、触刻終 再:、;:障壁層及/或介電層’包括高W以 物及方法:或再使用該等結構,藉此組合 -、見存I运方法及組份相容。下層 如石夕)較佳不被該移除组合物損壞。 、 除了移除材料層同時使對下層基板材料之損壞最小化之 132222.doc 200918664 外,組合物可經調配以順應當地環境要求。舉例而言,高 氟化物濃度及高有機溶劑濃度可使組合物因廢水處理問題 而難以用於大量製造。視調配物之化學需氧量(COD)水準 而定,藉此溶液之COD為可在強氧化劑存在下在酸性條件 下完全氧化為二氧化碳之有機化合物之量的量度,直接返 回環境中之設施廢水中可能不允許存在該調配物。例如在 瑞士,廢水樣本之COD必須降至200與1000 mg/L之間,隨 後才可使廢水或工業水返回至環境(Pupunat,L·,Sollberger,F,, Rychen, P., "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/ p56.pdf) o 若廢水僅含有氟化物源(無有機溶劑),則可首先採用氟 化物處理系統自廢水移除氟化物,且接著將水排至環境 中。若廢水僅含有有機溶劑(無氟化物源),則可採用諸如 焚化爐之有機物處理系統。不利地,焚化系統不能接受含 有高氟化物濃度之廢水樣本,因為氟化物源可能會損壞焚 化爐之構造材料。 因此,除了提供改良之組合物及方法以自微電子裝置結 構移除至少一種材料以再生、再加工、再循環及/或再使 用該等結構之外,組合物及/或使用該組合物之方法較佳 順應與該組合物處理有關之當地規章標準。 【發明内容】 本發明廣泛地係關於適用於自其上具有至少一種材料 (例如介電及/或其他材料層)之微電子裝置結構移除該至少 132222.doc 200918664 種材料以再生、再加工、再循環及,或再使用該微 裝置結構之組合物,監視、改質及使用該等移除组合物之 方法’及使用該等方法製造之產品或中間產品。 在一態樣t,描述-種移除組合物,該移除組合物 至少,刻劑及水’其中該組合物進一步表徵 : 下組份⑴及(II)中之—者: (I) 至少一種胺;或 (II) 至少一種有機溶劑, 其中該移除組合物適於自其上具有至 ^電^置移除0少—種可移除材料。在-實施例中, 移除組合物可進一步包含指示劑。 在另-態樣中,描述—種使微電子裝置結構再 法’該方法包含: 、之方 :包含-微電子裝置基板及至少—種可移除材料之 =置結構與移除組合物奴夠條件下接觸^夠時間以自 :=子裝置基板實質上移除至少一種可移除材 經再生的微電子裝置基板。 生 在另一態樣中,描述一種自其上具有 移除該材料之方法,該方法包含:材抖之微電子裝置 (a)使該微電子裝置與移除組合物在 m 鉤p6|、 /皿度下接觸足 '守間以自该微電子裝置實質上移 料;且 V 種可移除材 (W使該微電子裝置與沖洗組合物 夠時間以自兮η雷辜雄罢每新 乐益度下接觸足 才π以自忒u電子裝置實質上移除殘餘 132222.doc 200918664 其中該第一溫度小於該第二溫度。 在另一態樣中,描述移除組合物,該移除組合物包含至 ,)種無機酸、至少一種餘刻劑、至少一種氧化劑,及視 f月况之水’其中该移除組合物適於自其上具有s丨匚及/或 SiC:N之微電子裝置結構移除Sic及/或Sic:N。 在另一悲樣中,描述一種減少組份自移除組合物蒸發之 方法,該方法包含在其中安置有該移除組合物之容器上形 Γ ϋ 成減少蒸發之材料層以使蒸發最小化,其中該移除組合物 適於自其上具有至少-種可移除材料之微電子裝置結構移 除該至少一種可移除材料。 在另一態樣中,描述一種套組,該套組包含在一第一容 器中的一基礎調配物及在一第二容器中的至少一種添加 劑,其中在使用時將該第二容器與該第一容器組合以產生 可自微電子裝置結構實質上移除至少一種可移除材料以產 生可再循環或可再使用之微電子裝置基板的移除組合物。 另一態樣係關於使用指示劑監視移除組合物之至少一種 組份的濃度變化之方法,該方法包含: ⑷,移除組合物中引入指示劑,其中該指示劑為第一 狀態,表不移除組合物適用於自微電子裝置結構實質上 移除至少一種可移除材料; (b)將微電子裝置結構引入至移除組合物卜且 ⑷監視指示劑,其中指示劑成為第二狀態之轉變表干 移除組合物中至少一種組份濃度之改變。 該指示劑之第-狀態可為無色的,可見光譜中之第一顏 132222.doc 200918664 色或紫外光譜中之第一波長。指示劑之第二狀態可為無色 的,可見光譜中之第二顏色或紫外光譜中之第二波長,且 第一狀態與第二狀態不同。 另一態樣係關於自其上具有導電墨水之微電子裂置纟士構 移除導電墨水之方法,該方法包含: 分析該導電墨水以測定組合物組成;及 使組份組合以形成移除組合物以自微電子裝置结構實質 上移除導電墨水。C Ο After removing the dielectric layer and other features overlying the wafer, the crystal is circulated or re-synthesized into the new multilayer semiconductor device fabrication process. Because semiconductors are rounded and transferred to larger diameter wafers (eg, 12-inch wafers), 2 field scrap and recycling (4) are increasingly unattractive due to high non-productive costs. = In-situ development requires the use of a modified composition to remove material from a microelectronic device (eg, a 'semiconductor wafer) (eg, a low-environment CDO layer) half of the ^^ λ " electrical layer, package 4 composition and Existing manufacturing methods are compatible. The method of using the composition from the microelectro-electric layer) does not require a high-energy oxidative step to remove the material, such as low 4 for this purpose 'providing improved group VIII device structure removal at least 丨 fine 纟 can be self-micro The electronic seed-cutting material (for example, the metal stacking material, the touch-finishing layer:, the: barrier layer and/or the dielectric layer) includes a high- W material and method: or reuse the structure, thereby combining - The method and composition are compatible. The lower layer, such as Shi Xi, is preferably not damaged by the removal composition. In addition to removing the layer of material while minimizing damage to the underlying substrate material, the composition can be formulated to meet environmental requirements. For example, high fluoride concentrations and high organic solvent concentrations can make the composition difficult to use in high volume manufacturing due to wastewater treatment problems. Depending on the chemical oxygen demand (COD) level of the formulation, the COD of the solution is a measure of the amount of organic compound that can be completely oxidized to carbon dioxide under acidic conditions in the presence of a strong oxidant, directly returning to the facility wastewater in the environment. This formulation may not be allowed in the middle. For example, in Switzerland, the COD of wastewater samples must be reduced to between 200 and 1000 mg/L before the wastewater or industrial water can be returned to the environment (Pupunat, L., Sollberger, F,, Rychen, P., " Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/ p56.pdf) o If the wastewater contains only fluoride source (no organic solvent), then fluorine can be used first. The chemical treatment system removes fluoride from the wastewater and then drains the water to the environment. If the wastewater contains only organic solvents (no fluoride source), an organic treatment system such as an incinerator can be used. Disadvantageously, the incineration system cannot accept wastewater samples containing high fluoride concentrations because the fluoride source can damage the construction materials of the incinerator. Thus, in addition to providing improved compositions and methods for removing at least one material from a microelectronic device structure for regeneration, reprocessing, recycling, and/or reuse of such structures, the compositions and/or the use of the compositions The method preferably conforms to local regulatory standards associated with the treatment of the composition. SUMMARY OF THE INVENTION The present invention broadly relates to the removal of at least 132222.doc 200918664 materials for use in a microelectronic device structure having at least one material (eg, a dielectric and/or other material layer) thereon for regeneration, reprocessing , recycling, or reusing the composition of the micro device structure, monitoring, modifying, and using the methods of removing the composition' and products or intermediate products made using the methods. In one aspect t, a removal composition is described, the removal composition is at least a formulation and water' wherein the composition is further characterized: in the lower components (1) and (II): (I) at least An amine; or (II) at least one organic solvent, wherein the removal composition is adapted to have from 0 to remove less than - a removable material. In an embodiment, the removal composition can further comprise an indicator. In another aspect, a method for reconfiguring a microelectronic device structure is described. The method includes: a party comprising: a microelectronic device substrate and at least a removable material = a structure and a removal composition slave The contact is sufficient for a period of time from: = the sub-device substrate substantially removes at least one removable material from the regenerated microelectronic device substrate. In another aspect, a method for removing the material therefrom is described, the method comprising: a microelectronic device (a) that causes the microelectronic device and the removal composition to be in the m hook p6|, / contacting the foot 'shoulder' to substantially transfer material from the microelectronic device; and V kinds of removable materials (W make the microelectronic device and the rinsing composition time enough to self-suffice The contact is substantially π to substantially remove the residual 132222.doc 200918664 wherein the first temperature is less than the second temperature. In another aspect, the removal composition is described, the removal The composition comprises, to, a mineral acid, at least one residual agent, at least one oxidizing agent, and water in which the composition is adapted to have s丨匚 and/or SiC:N therefrom The microelectronic device structure removes Sic and/or Sic:N. In another grievance, a method of reducing the evaporation of components from a removal composition is described, the method comprising forming a layer of material on the vessel in which the removal composition is disposed to reduce evaporation to minimize evaporation. And wherein the removal composition is adapted to remove the at least one removable material from a microelectronic device structure having at least one removable material thereon. In another aspect, a kit is described that includes a base formulation in a first container and at least one additive in a second container, wherein the second container is The first container is combined to produce a removal composition that can substantially remove at least one removable material from the microelectronic device structure to produce a recyclable or reusable microelectronic device substrate. Another aspect relates to a method of using an indicator to monitor a change in concentration of at least one component of a removal composition, the method comprising: (4) introducing an indicator into the composition, wherein the indicator is in a first state, the table The non-removing composition is adapted to substantially remove at least one removable material from the microelectronic device structure; (b) introducing the microelectronic device structure to the removal composition and (4) monitoring the indicator, wherein the indicator becomes the second The transition of state dry removes the change in concentration of at least one component of the composition. The first state of the indicator can be colorless, the first wavelength in the first spectrum 132222.doc 200918664 or the ultraviolet spectrum in the visible spectrum. The second state of the indicator can be colorless, a second color in the visible spectrum or a second wavelength in the ultraviolet spectrum, and the first state is different from the second state. Another aspect relates to a method of removing a conductive ink from a microelectronic fissure gentleman having a conductive ink thereon, the method comprising: analyzing the conductive ink to determine a composition of the composition; and combining the components to form a removal The composition substantially removes the conductive ink from the structure of the microelectronic device.

另一態樣係關於包含管、毛細管及電解質凝膠之鹽橋, 其中該管為沿一軸之圓柱,該毛細管自該管之第一端露 出,且s亥管之弟一端谷納一參考電極,且其中該電解質凝 膠填充該毛細管及該管之至少一部分。 本發明之其他態樣、特徵及實施例將由以下揭示内容及 所附申請專利範圍而更完全顯而易見。 【實施方式】 本發明一般係關於適用於自具有至少一個材料層(例 如,介電材料(高-K及低-K)、金屬堆疊材料、蝕刻終止 層、障壁層材料、矽化物、鐵電體、光阻、抗反射塗層、 蝕刻後殘餘物等)之微電子裝置結構移除該材料層以再 生、再加工、再循環及/或再使用該微電子裝置結構之移 除組合物及方法。該再生、再加工、再循環及/或再使用 可在外場或内部進行。 ”微電子裝置”對應於半導體基板、太陽能電池(光電)、 平板頁示器及微電子機械系統(MEMS),其經製造用於微 132222.doc 200918664 電子、積體電路或電腦晶片廡田 + 电胸曰日片應用。應理解術語"微 置"、"微電子基板"及"微電子裝 、 仅复、..D構不欲以任 加限制且包括最終將成為微電子 衣·置或彳政電子纟且杜/ 基板或結構。微電子裝置可為 '' 、可 或測試裝置。,,不合格彳教雷;Φ φ 的對"、、及/ 發明^ 結構意欲涵蓋可根據本 知听万:冉生、再加工及/或、、軎 汉及硐泳的所有結構。Another aspect relates to a salt bridge comprising a tube, a capillary tube and an electrolyte gel, wherein the tube is a cylinder along an axis, the capillary is exposed from the first end of the tube, and a reference electrode is formed at the end of the tube And wherein the electrolyte gel fills the capillary and at least a portion of the tube. Other aspects, features and embodiments of the present invention will be more fully apparent from the following description and appended claims. [Embodiment] The present invention generally relates to having at least one material layer (for example, a dielectric material (high-K and low-K), a metal stack material, an etch stop layer, a barrier layer material, a telluride, a ferroelectric Microelectronic device structure of bulk, photoresist, anti-reflective coating, post-etch residue, etc.) removes the material layer to regenerate, rework, recycle, and/or reuse the removal composition of the microelectronic device structure and method. This regeneration, reprocessing, recycling and/or reuse can be carried out in the field or in the interior. "Microelectronic device" corresponds to a semiconductor substrate, a solar cell (photovoltaic), a flat panel pager, and a microelectromechanical system (MEMS), which is manufactured for micro 132222.doc 200918664 electronic, integrated circuit or computer chip 庑田+ Electric chest 曰 film application. It should be understood that the terms "micro-set", "microelectronic substrate" and "microelectronics, only complex, ..D do not want to be limited and include eventually become microelectronics clothing or Electronic 纟 and du / substrate or structure. The microelectronic device can be a '', configurable or test device. , 不 彳 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对 对

”微電子裝置結構,’包括其上I 子壯署其把”甘士 、具有至少一種材料之”微電 〇 £ \ 子衣置基板,其中該至少一種舳钮豳g + W…士曰風, 種材枓與微電子裝置基板在 :且成上或結晶學上不同。如本文所定義,”微 板"對應於任何基板,包括(但不限於):㈣;多曰/ 鍺,第刪族化合物’諸如氮化銘、氮化鎵、石申化錄、碟 化銦’榻石;第刪族化合物;第卿族化合物,諸如"Microelectronic device structure," includes a substrate on which the "Issues", "Ganshi, has at least one material", and at least one of the buttons 豳g + W... , the seed material and the microelectronic device substrate are: and are either crystalline or crystallographically different. As defined herein, "microplate" corresponds to any substrate, including but not limited to: (4); multiple 曰 / 锗, Deletion compounds such as nitriding, gallium nitride, shishenhua, disc indium pentoxide; cleavage compound;

CdSe、CdS、ZnS、ZnSe及 CMTe .石山仆々 ie,奴化矽;藍寶石;誃寶 石上矽;石炭;經摻雜玻璃;未經摻雜之玻璃;鑽:. 一玻璃;多晶石夕(經摻雜或未經換雜);單晶卿 雜或未經摻雜);非晶石夕、鋼銦(鎵)二砸;及其組合。"二 料''或”材料層"可包括(但不限於) 戌y 種4自由以下各物 組成之群之物質:經摻雜蟲Μ、未經摻耗晶石夕、 後殘餘物、低-κ介電質、高_κ介電質、餘刻終止材料、金 屬堆疊材料、障壁層材料、鐵m化物、氮化物1 化物、光阻、底部抗反射塗層(BARC)、犧牲抗反射塗芦 含有聚合物之積累、雜項材料、摻雜區域及幻且 合。至少-個材料層可接雜有至少一種離子植入離子,諸 如硼、磷及珅。如本文所用’,,雜項材料”包括含有銷之材 132222.doc 200918664 料,含有鑭之材料、含 有錢之材料、含有猛之好社CdSe, CdS, ZnS, ZnSe and CMTe. Shishan servant ,, slave sputum; sapphire; sapphire gemstone; charcoal; doped glass; undoped glass; drill: a glass; polycrystalline stone eve ( Doped or unsubstituted); single crystal or undoped); amorphous austenite, steel indium (gallium) diterpene; and combinations thereof. "二料'' or 'material layer" may include, but is not limited to, 戌y species of 4 free radicals: digested mites, undoped feldspar, post-residue , low-κ dielectric, high _κ dielectric, residual termination material, metal stack material, barrier layer material, iron m compound, nitride compound, photoresist, bottom anti-reflective coating (BARC), sacrifice Anti-reflective coatings contain polymer accumulation, miscellaneous materials, doped regions, and illusion. At least one material layer may be mixed with at least one ion implanted ion such as boron, phosphorus, and antimony. As used herein, "Miscellaneous materials" include materials containing 13222.doc 200918664, materials containing bismuth, materials containing money, containing Mengzhishe

Mn〇x、碳奈米 3有錳之材科,诸如Mn〇x, carbon nano 3 has a family of manganese, such as

LiNb03 ' TeO srT1〇3、Zr〇2、 、 及其組合。 本文所用,約”意欲對應於所述值的±5〇/〇。 物1文所用’㈣”半水性”係指水與有機組份之混人 物。半水性移除組合物必須 伤之,“ 層與待使用該組合物移除…*貝細留之層,該 ,,保留;Ά“ 示之材枓相鄰。視所要結果而定, 古、 僅由微電子裝置基板(若最初沈積於其上,則且 有經摻雜或未經摻雜之石s 八 “ $ 衫雜之…曰矽層)組成。或者,視例如再 ί或再加工之所要結果而定,”保留層,,可包括微電子裝置 “反以及至少一種選自由以下各物組成之群之材料:經摻 雜蟲晶石夕、未經摻雜之_、低_κ介電質…介電 質、姓刻終止材料、金屬堆疊材料、障壁層材料、換㈣ 域、鐵電體、石夕化物、氮化物'氧化物、雜項材料及其組 合。”不實質上損壞待保留之與所移除材料相鄰定位之層" 意謂小於100 Α的待保留層被使用本發明組合物移除,3更 佳地小於50 A、甚至更佳小於20 A、甚至更佳小於1()入、 且最佳小於1 A的待保留層被移除。熟習此項技術者應理 解π層”可為經毯覆層或圖案化層。顯著地,”可移除材料/ 層π係選自由以下各物組成之群•蝕刻後殘餘物、低^介 電質、高-Κ介電質、蝕刻終止材料、金屬堆疊材料、障壁 層材料、鐵電體、矽化物、氮化物、氧化物、光阻、底部 抗反射塗層(BARC)、犧牲抗反射塗層(SARC)、含有聚人 物之積累、雜項材料、推雜區域(不包括經穆雜蟲晶声)及 132222.doc 13 200918664 其組合。 如本文所定義,”低^介電材料"對應於在層化微電子裝 置中用作"電材料之任何材料’其中該材料具有小於約 4.0之介電常數。較佳地,低_κ介電材料包括低極性材料, 諸如氧化石夕、含石夕之有機聚合物、含石夕之混合式有機/無 機材料、有機矽酸鹽玻璃(0SG)、TE〇s、氟化矽酸鹽玻璃 (FSG)及摻碳之氧化物(CD〇)玻璃。為本說明書之目的, 低-κ介電材料進一步包括氮化矽材料。應瞭解低_κ介電材 料可具有變化之密度及變化之孔隙率。 如本文所定義,"金屬堆疊材料”及,,金屬”對應於:钽、 亂化钽、氮化鈦、鈦、鎳、鈷、鎢及其矽化物;含銅層; 含鋁層;Al/Cu層;Α1之合金;Cu之合金;含鈷之層,諸 如CoWP及CoWBP ;含金之層;Au/pt層;氧化姶;氧基石夕 酸铪;氧化锆;氧化鑭;鈦酸鹽;其摻氮之類似物;釕; 銀;鑛;錯;碼;銀;MoTa ;及其在微電子裝置上之組 合及鹽。 如本文所定義,”高-κ介電質”材料對應於:氧化铪(例 如,HfOO ;氧化鍅(例如,Zr〇2);氧基矽酸铪;矽酸铪; 矽酸鍅;矽酸鈦;氧化鋁;其摻鑭之類似物(例如, LaAl〇3);矽酸鋁;鈦酸鹽(例如,η"5);铪及矽之氧化 物及氮化物(例如,HfSiON);其摻鑭之類似物(例如, HFSiON (La));鈦酸鋇錄(BST);給及在呂之氧化物(例如, HfxAlyOz);鈦酸 |思(SrTi03);鈦酸鋇(BaTi〇,、· u 3;,及其組 合0 132222.doc -14- 200918664 如本文所疋義障壁層材料,’對應於此項技術中用於密 、,f Λ (例如銅互連)以使該金屬(例如銅)向介電材料之 擴政取小化的任何材料。較佳障壁層材料包括富矽氮化 物、W氧基氮化物、组、鈦、釕、給、鶴及其他耐火金 屬及其氮化物及矽化物。 如本文所疋義,”鐵電體”包括(但不限於)·鈦酸鋇 (BaLO3),鈦酸鉛(pbTi〇3);鍅鈦酸鉛(ρζτ);鍅鈦酸鉛鑭 (PLZT),鈮酸鉛鎂(ρΜΝ);鈮酸鉀(KNbc^ ;鈮酸鉀鈉 (KxNai.xNb〇3);鈕鈮酸鉀(K(TaxNbi x)〇3);鈮酸鉛 (PbNb206),鈦酸鉍(Bi4Ti3〇i2);鈮酸鉛鉍(pbBi2Nb2〇9); 鈮酸鋰(LiNb〇3);鈕酸鋰(LiTa〇3);钽酸锶鉍;钽鈮酸鰓 絲;组酸锶;鈦酸锶;及其組合及鹽。 如本文所定義,”蝕刻終止層”包括碳化矽(Sic)、碳氮化 矽(SiCN)、碳氧化矽(Sic〇)、氧基氮化矽(Si〇N)、銅、矽 錯(SiGe) ' SiGeB、SiGeC、AlAs、InGaP、InP、InGaAs& 其組合及鹽。 如本文所定義,”氧化物"包括其他層以及壓電(諸如 (Pb,Sr)(Zr,Ti)03)、熱電(諸如(Pb,Ca)(Zr,Ti)〇3)、超導體 (諸如YBCO)、電極(諸如氧化銦錫)、熱障壁材料(諸如 Zr02、Ce02、Y2〇3、MgO、Al2〇3及 Si02)、光學塗層(諸如 Ti02、Ta205、Y2〇3及Sc203)及導電膜(諸如La(1.x)SrxGa(1-y)My〇3, 其中 M=Fe、Co、Ni、La(1-x)SrxMn03 及 La(1_x)CaxMn03)中 所界定之任何氧化物化合物。 如本文中所定義,”含聚合物之積累”對應於在製造期間 132222.doc -15- 200918664 在微電子裝置基板之背面及斜邊上堆積之材料,且包括在 微電子裝置上沈積之任何材料,包括(但不限於)低介電 質、高-Κ介電質、姓刻終止材料、金屬堆疊材料、障壁層 材料、鐵電體、石夕化物、氮化物、氧化物、光阻、: 反射塗層(BARC)、犧牲抗反射塗層(SARC)、雜項二 • 摻雜劑及其組合。 b本文所用’使微電子裝置結構”再生,,對應於實質移除 〇 靡留之層相鄰之至少-種材料而不實質上損壞該(等) 待保留之層,其中該(等)待移除之材料包括(但不限於 刻後殘餘物、蝕刻終止層、金屬 —、介電二 合物之積累、摻雜區域(不包括經摻雜之蟲 …。該(等)待保留之層係選自由以下各物組. 微電子裝置基板、經捧雜之蟲晶石夕、未經推雜之蟲: ^ 屬$材料、障壁層材料、鐵電體、料 ί、 物、氮化物、介電質(低/弋一、 化 ϋ 人$丄 及/或尚-Κ)、摻雜區域及且組 白。再生可在外場或内部進 "、,·且 保留之屏X处“ 丁應、瞭解待移除之材料及待 曰不此為相同物質。舉例而言,待移 括低-Κ介電材料且待保留之層可為微電子裝置其板2 解熟習此項技術者使用本揭 土板。應瞭 料同時保留特定層之組合物及方法。 #除特-材 如本文所定義,"實質移除”或”實質上移 至少9。重量%,更佳至少95重量%,甚至更佳二於:除 %,甚至更佳至少98重 少97重量 且攻佳至少99重量%的需要 i32222.doc 16 200918664 杪丨常ι柯料。 如本文所用,丨,i丄 „/ω Λ 影及未通過品質子裝置結構對應於在微影顯 塗層(ARC)八、: 實質移除光阻材料,抗反射 曰 5有聚合物之積累、蝕刻後殘# & 銅及其組合中之至少去^ 丨後殘餘物、電链之 . t置W 者,再加工包括移除微電子 方面及/或斜邊上的含有聚合物之 - 工可在外場或内邱、*〜^ 價系再加 内邛進仃。再加工後,可根據此項技術中已 知之光微影技術再涂饮 交u中已 Ο 構。 ⑯、“及再圖案化微電子裝置結 :二=義’'終點,,對應於移除組合物不再自不合格 置有效且高產地移除可移除材料之範圍。終點可 為。+夕不同因素之6士要,勹紅~ 移除… (不限於)飽和(例如加載) 移除^物,及/或耗盡移除組合物之—或多種組份。 :本文所定義,”再循環”定義為在如 除後再生及真祐 κ材料移 及再使用或再加工及再使用微電子 層。舉例而言,再循環之汽電+梦要 、之保留 ϋ 循衣之倣電子裝置可再引入至絮碎士 &中’可用作對照或測試裝置,或可 加 如本文所定義,”實質消除,,坑洞係指相對=方法中。 i組合物時通常所觀測到的情形的坑洞之減少。二=移 :::佳度;、於使用其他移除組合物時所觀測到的⑻ 1〇/〇更佳小於5。/。且最佳小於2%。 成=解待再生之微電子裝置結構包括選自W各物,且 之基板.裸石夕,·多晶石夕;鍺;第心 如氮化鎵、砰化嫁、攝化鋼;揭石;第n/IV族化^;,第堵 132222.doc 200918664 II/VI族化合物,諸如 cdSe、CdS、ZnS、ZnSe及 CdTe ;碳 化石夕;藍寶石;藍寶石上矽;碳;經摻雜玻璃;未經摻雜 之玻璃;鑽石;GeAsSe玻璃;及其組合,且可為此項技術 中習知所用之任何直徑或厚度。舉例而言’此項技術中習 知所用之基板直徑包括2〇〇 mm、300 mm、4忖、6叶及未 來的45 0 mm。3〇〇 mm基板具有75〇 μπι之厚度,且相對於 3 00 mm基板’其餘基板之厚度與直徑成正比。LiNb03 ' TeO srT1〇3, Zr〇2, and combinations thereof. As used herein, "about" is intended to correspond to ±5〇/〇 of the stated value. The term '(four)' semi-aqueous' as used herein refers to a mixture of water and organic components. The semi-aqueous removal composition must be injurious," The layer is removed from the composition to be used...*The layer left by the shell, this, reserved; Ά" The material is adjacent to the material. Depending on the desired result, the ancient, only the substrate of the microelectronic device (if originally deposited in On top of it, there is a composition of doped or undoped stone s 八 八 ”. Alternatively, depending on the desired result, such as re-processing or re-processing, the "reserved layer" may comprise a microelectronic device "reverse and at least one material selected from the group consisting of: Doped _, low _ κ dielectric ... dielectric, surname termination material, metal stack material, barrier layer material, exchange (four) domain, ferroelectric, lithium, nitride 'oxide, miscellaneous materials And their combinations. "Not substantially damaging the layer to be retained adjacent to the material being removed" means that the layer to be retained less than 100 Å is removed using the composition of the invention, 3 more preferably less than 50 A, even more preferably less than A layer of 20 A, even more preferably less than 1 (), and preferably less than 1 A, is removed. It will be understood by those skilled in the art that the π layer may be a blanket or patterned layer. Significantly, the "removable material / layer π is selected from the group consisting of: post-etch residues, low dielectrics, high-Κ dielectrics, etch stop materials, metal stack materials, barrier layer materials , ferroelectrics, telluride, nitride, oxide, photoresist, bottom anti-reflective coating (BARC), sacrificial anti-reflective coating (SARC), accumulation of poly-character, miscellaneous materials, miscellaneous areas (not included) a combination of a micro-organic crystal) and 132222.doc 13 200918664. As defined herein, "low-dielectric material" corresponds to any material used as a "electric material" in a layered microelectronic device. The material has a dielectric constant of less than about 4.0. Preferably, the low-k dielectric material comprises a low polarity material, such as an oxidized stone, an organic polymer containing Shishi, a mixed organic/inorganic material containing Shishi, an organic tantalate glass (0SG), TE〇. s, fluorite silicate glass (FSG) and carbon doped oxide (CD 〇) glass. For the purposes of this specification, the low-k dielectric material further includes a tantalum nitride material. It should be understood that low-k dielectric materials can have varying densities and varying porosity. As defined herein, "metal stacking materials" and, metal" corresponds to: tantalum, chaotic bismuth, titanium nitride, titanium, nickel, cobalt, tungsten, and their tellurides; copper-containing layers; aluminum-containing layers; /Cu layer; alloy of bismuth; alloy of Cu; layer containing cobalt, such as CoWP and CoWBP; layer containing gold; Au/pt layer; cerium oxide; cerium oxide; zirconia; cerium oxide; ; its nitrogen-doped analog; hydrazine; silver; mineral; wrong; code; silver; MoTa; and its combination and salt on microelectronic devices. As defined herein, a "high-k dielectric" material corresponds to: yttrium oxide (eg, HfOO; yttrium oxide (eg, Zr 〇 2); bismuth oxy ruthenate; bismuth ruthenate; bismuth citrate; Titanium; aluminum oxide; an antimony-doped analog thereof (for example, LaAl〇3); aluminum niobate; a titanate (for example, η"5); an oxide and a nitride of niobium and tantalum (for example, HfSiON); An antimony-doped analog (for example, HFSiON (La)); barium titanate (BST); an oxide of ruthenium (for example, HfxAlyOz); titanic acid (SrTi03); barium titanate (BaTi〇, , u 3;, and combinations thereof 0 132222.doc -14- 200918664 As defined herein, the barrier layer material, 'corresponding to the technique used in the art, f Λ (such as copper interconnect) to make the metal (eg copper) any material that is miniaturized to the expansion of dielectric materials. Preferred barrier layer materials include yttrium-rich nitrides, W-oxynitrides, groups, titanium, tantalum, niobium, cranes and other refractory metals and Nitride and telluride. As used herein, "ferroelectric" includes, but is not limited to, barium titanate (BaLO3), lead titanate (pbTi〇3); lead barium titanate (ρζτ); acid Lead bismuth (PLZT), lead magnesium citrate (ρΜΝ); potassium citrate (KNbc^; sodium potassium citrate (KxNai.xNb〇3); potassium citrate (K(TaxNbi x) 〇3); lead citrate (PbNb206), barium titanate (Bi4Ti3〇i2); lead bismuth citrate (pbBi2Nb2〇9); lithium niobate (LiNb〇3); lithium nitrite (LiTa〇3); bismuth citrate; bismuth citrate Silk; group acid bismuth; barium titanate; and combinations and salts thereof. As defined herein, "etch stop layer" includes tantalum carbide (Sic), tantalum carbonitride (SiCN), tantalum carbon oxide (Sic), oxygen Bismuth nitride (Si〇N), copper, erbium (SiGe) 'SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs& combinations and salts. As defined herein, "oxide" includes other layers and pressure Electricity (such as (Pb, Sr) (Zr, Ti) 03), thermoelectric (such as (Pb, Ca) (Zr, Ti) 〇 3), superconductor (such as YBCO), electrodes (such as indium tin oxide), thermal barrier materials (such as Zr02, Ce02, Y2〇3, MgO, Al2〇3, and SiO2), optical coatings (such as TiO2, Ta205, Y2〇3, and Sc203) and conductive films (such as La(1.x)SrxGa(1-y) )My〇3, where M=Fe, Co, Ni, La(1-x)SrxMn03 and La(1_x)CaxMn03) Any oxide compound. As defined herein, "polymer-containing accumulation" corresponds to material deposited on the back and bevel of the substrate of the microelectronic device during manufacture 132222.doc -15-200918664, and included in the microelectronics Any material deposited on the device, including but not limited to low dielectric, high-Κ dielectric, surname termination material, metal stack material, barrier layer material, ferroelectric, lithium, nitride, oxidation Materials, photoresists, reflective coatings (BARC), sacrificial anti-reflective coatings (SARC), miscellaneous dopants, and combinations thereof. b. Regenerating a 'microelectronic device structure' as used herein, corresponding to substantially removing at least one material adjacent to the remaining layer without substantially damaging the layer to be retained, wherein the (etc.) Materials removed include (but are not limited to post-etch residues, etch stop layers, metals), accumulation of dielectric dimers, doped regions (excluding doped insects.... It is selected from the following groups: Microelectronic device substrate, worms, and worms: ^ Dependent material, barrier material, ferroelectric, material, nitride, Dielectric (low/弋一, ϋ人ϋ$丄 and / or 尚-Κ), doped areas and white groups. Regeneration can be entered in the field or inside. It should be understood that the material to be removed and the material to be treated are not the same substance. For example, the layer to be transferred with low-twist dielectric material and to be retained may be a microelectronic device whose board is used by the skilled person. The present invention is a combination of materials and methods for retaining a specific layer. #除特-材, as defined herein, " In addition to "or" substantially shifts by at least 9% by weight, more preferably at least 95% by weight, even more preferably two: in addition to %, even more preferably at least 98 weight less 97 weights and better than at least 99% by weight i32222.doc 16 200918664 杪丨常 柯 。. As used herein, 丨, i丄„/ω Λ shadow and unpassed quality sub-device structure corresponds to the lithographic coating (ARC) VIII: Substantial removal of photoresist material, The anti-reflective 曰5 has a polymer accumulation, a residue after etching, and at least a residue of the copper and the combination thereof, and an electric chain. The processing includes removing the microelectronics and/or The polymer containing the beveled edge can be added to the external field or the internal qi, *~^ valence system. After reprocessing, it can be recoated according to the light lithography technology known in the art. 16. The "and re-patterned microelectronic device junction: two = meaning" endpoint, corresponding to the removal of the composition no longer self-failed to effectively and productively remove the range of removable material. The end point can be. + eve different factors of 6 士, blush ~ remove... (not limited to) saturation (eg loading) remove And/or depletion of the removal composition - or a plurality of components. : As defined herein, "recycle" is defined as the transfer and reuse or reprocessing of the microelectronic layer after removal and reconstitution of the material. For example, a recirculating steam power + dream, retaining, relining electronic device that can be reintroduced into the floc & can be used as a control or test device, or can be added as defined herein, "Substantial elimination, pits refer to the relative = method. The reduction of potholes in the case usually observed in the composition. II = shift::: goodness; observed when using other removal compositions The (8) 1 〇 / 到 is preferably less than 5 / / and the best is less than 2%. The structure of the microelectronic device to be regenerated includes: a substrate selected from the group consisting of W, and a substrate, a bare stone, a polycrystalline stone, a cerium; a heart such as gallium nitride, a samarium, and a steel; ; n / IV group ^;, block 132222.doc 200918664 II / VI compounds, such as cdSe, CdS, ZnS, ZnSe and CdTe; carbonized stone sapphire; sapphire; carbon; doped glass; Undoped glass; diamond; GeAsSe glass; and combinations thereof, and any diameter or thickness conventionally used in the art. For example, the substrate diameters used in the art include 2 〇〇 mm, 300 mm, 4 忖, 6 leaves and the future 45 0 mm. The 3 mm substrate has a thickness of 75 μm and is proportional to the thickness of the remaining substrate relative to the 300 mm substrate.

成功再生之要求包括(但不限於)零或可忽略的前側,斜 邊及/或背面矽坑洞;少於25個0.25 μηι之粒子,少於5〇個 0.12 μιη之粒子,或少於1〇〇個〇 〇9 μηι之粒子,總厚度變化 (TTV)小於約5 μιη,表面金屬污染物少於每平方公分 1 10個原子,且/或再生基板之厚度(無任何其他保留層) 在初始基板厚度之5%内,較佳在2%内,且最佳在1%内。 如本文所定義,如使用此項技術中已知之厚度掃描或系列 點厚度量測所測定,"總厚度變化,,對應於微電子裝置晶圓 的最大與最小厚度之間的絕對差。 成功晶圓再加工之要求包括(但不限於)自裝置基板之最 外邊緣及背面實質移除光阻、含有聚合物之積累及/或電 鍍銅而不實質損壞待保留之層’此減少隨後加工期間之粒 子及金屬污染。 移除組合物 組合物可具體化為多種特定調配 如下文更完全描述 物。 在所有該專組合物中 其中組合物之特定組份係參考包 132222.doc •18· 200918664 括零下限之重量百分比範圍而論述,應理解該等組份可存 在或不存在於組合物之多種特定實施例中,且在存在該等 組份之狀況下,其可以採用該等組份之組合物總重量:低 至0.001重量%之濃度存在。 在第—態樣中,描述適用於自微電子裝置結構表面移除 以下各物中之一或多者以再生或再加工該微電子裝置基板 的移除組合物:蝕刻後殘餘物,低_κ介電質、高_κ介電 質、障壁層材料、鐵電體、氮化物、矽化物、氧化物、光 阻、含有聚合物之材料、ARC材料、摻雜區域及/或雜項 材料,及製造及使用該等組合物之方法。第一態樣之移除 組合物亦將有用地移除SiCN。第一態樣之組合物可包含、 由或基本上由蝕刻劑源組成,其中該蝕刻劑源較佳為氟化 物源,諸如氫氟酸(HF)。 在第一態樣之一實施例中,組合物可包含、由或基本上 由至 >、一種胺物質,至少一種I虫刻劑、視情況至少一種有 機溶劑、視情況至少一種額外酸物質,視情況至少一種螯 合劑及視情況之水組成;其係以組合物總重量計之以下範 圍存在: 組份 重量% 胺 約 0.1%¾ 約 70.0% 蝕刻劑 約 0.01% 至約 70.0% 玎選之有機溶劑 〇至約80.0% 可選之額外酸 0至約80% 町選之螯合劑 0至約10% 可選之水 0至約90% 般而言,如此項技術者無需過多努力可容易地測定, 132222.doc - 19- 200918664 螯"]及Π 了選之有機溶劑、可選之額外酸、可選之 蝥〇幻及可選之水相對 7、攸此之特定比例及量可合適的變 化以k供組合物對待移 設備。 多咖的所要移除作用及/或加工 當用去離子水20:1倍稀釋時,第—態樣之組合物具有約 1至、勺7更佳約2.5至約4_5 ’最佳約3至約35範圍内之 值。Requirements for successful regeneration include (but are not limited to) zero or negligible front side, hypotenuse and/or back crater; less than 25 particles of 0.25 μηι, less than 5 particles of 0.12 μηη, or less than 1 〇〇9 μηι particles, total thickness variation (TTV) less than about 5 μηη, surface metal contaminants less than 1 10 atoms per square centimeter, and / or thickness of the regenerated substrate (without any other retention layer) Within 5% of the initial substrate thickness, preferably within 2%, and most preferably within 1%. As defined herein, the "total thickness variation, as determined by the thickness scan or series of point thickness measurements known in the art, corresponds to the absolute difference between the maximum and minimum thickness of the microelectronic device wafer. Requirements for successful wafer rework include, but are not limited to, substantial removal of photoresist from the outermost edges and backside of the device substrate, accumulation of polymer and/or electroplating of copper without substantial damage to the layer to be retained. Particle and metal contamination during processing. Removal of Compositions Compositions can be embodied in a variety of specific formulations as described more fully below. In all such compositions, where a particular component of the composition is referenced to the range of weight percent of the lower limit of the reference package 132222.doc • 18· 200918664, it is understood that the components may or may not be present in the composition. In particular embodiments, and in the presence of such components, they may be present in a concentration of up to 0.001% by weight of the total composition of the components. In a first aspect, a removal composition suitable for removing one or more of the following from a surface of a microelectronic device structure to regenerate or rework the substrate of the microelectronic device is described: post-etch residue, low _ κ dielectric, high κ dielectric, barrier material, ferroelectric, nitride, germanide, oxide, photoresist, polymer-containing material, ARC material, doped region, and/or miscellaneous material, And methods of making and using the compositions. The first aspect of the removal composition will also usefully remove the SiCN. The first aspect of the composition may comprise, consist of, or consist essentially of an etchant source, wherein the etchant source is preferably a fluoride source such as hydrofluoric acid (HF). In one embodiment of the first aspect, the composition may comprise, consist of, or consist essentially of, an amine species, at least one insecticidal agent, optionally at least one organic solvent, optionally at least one additional acid species Optionally, at least one chelating agent and optionally water; present in the following ranges based on the total weight of the composition: Component % by weight amine about 0.1% 3⁄4 about 70.0% etchant from about 0.01% to about 70.0% Organic solvent 〇 to about 80.0% optional additional acid 0 to about 80% choline chelating agent 0 to about 10% optional water 0 to about 90% Generally speaking, such a technician can easily do without too much effort Ground measurement, 132222.doc - 19- 200918664 chelating "] and selected organic solvents, optional additional acid, optional illusion and optional water relative to 7, the specific ratio and amount of this can be A suitable change is for the composition to be moved to the device. The desired removal and/or processing of the coffee is 20:1 dilution with deionized water, the composition of the first aspect has about 1 to, the spoon 7 is preferably about 2.5 to about 4-5, and the optimum is about 3 to A value in the range of about 35.

1虫刻劑可包括(但不限於)氟化物、胺及/或氫氧化物鹽, 匕括以下各物中之至少一者:氟化氯(hf”二氟化氙 (^XeF2),氟化銨(nhj);氟化四烷基銨(NR4F);烷基氟化 氫(nrh3f);二氟化氫銨(NH5F2);氟化氫二烧基錢 (NR_2H2F),氟化氫二烷基銨(NR3HF);三(氟化氫)三烷基 銨(nuhf);無水氟化氫吡啶複合物;無水氟化氫三乙 胺複合物,胺氟化氫複合物,其中R彼此可相同或不同且 係選自由以下各物組成之群:直鏈或支鏈C1_C6烷基(例 如,曱基、乙基、丙基、丁基、戊基、己基)且其中胺包 括直鏈或支鏈C^-C2。烧基胺、經取代或未經取代之c6_c1Q 芳基胺、甘醇胺、烷醇胺及胺-N_氧化物,包括(但不限 於):°比啶;2-乙基吡啶;2-甲氧基吡啶及其衍生物,諸如 3-曱氧基吡啶;2-甲啶;吡啶衍生物;二甲基吡啶;0辰 啶;哌嗪;三乙胺;三乙醇胺;乙胺、甲胺、異丁基胺、 第三丁基胺、三丁基胺、二丙基胺、二甲基胺、二甘醇 胺;單乙醇胺;吡咯;異噁唑;1,2,4-***;聯吡啶;喷 啶;。比嗪;噠嗪;喹啉;異喹啉;吲哚;咪唑;N_甲基嗎 132222.doc -20- 200918664 啉-Ν-氧化物(ΝΜΜΟ);三甲胺_Ν•氧化物;三乙胺_Ν_氧化 物;吡啶-Ν-氧化物;Ν-乙基嗎啉_Ν_氧化物;基吡咯 啶-N-氧化物;N-乙基吡咯啶_N_氧化物;甲基咪唑;二 異丙基胺;二異丁基胺;苯胺;苯胺衍生物;及其組合。 或者,蝕刻劑可包含氫氧化物鹽,包括(但不限於)鹼性氫 氧化物、驗土金屬氫氧化物、四級胺氫氧化物及其組合。 較佳地,蝕刻劑包含氟化氫。 Ο Ο 胺物質可包括(但不限於)直鏈或支鏈Ci_C2C烷基胺、經 取代或未經取代之CVC]G芳基胺、甘醇胺、烷醇胺及胺_N_ 氧化物,包括(但不限於)吡啶;2_乙基吡啶;2_甲氧基吡 啶及其衍生物,諸如3_甲氧基吡啶;2_曱啶;吡啶衍生 物;二甲基°比咬;0底11 定;♦ °秦;三乙胺;三乙醇胺;乙 胺’甲胺’異丁基胺;第三丁基胺;三丁基胺;二丙基 胺;二甲基胺;二甘醇胺;單乙醇胺;吡咯;異噁唑; 1,2,4-***;聯吡啶;嘧啶;吡嗪;噠嗪;喹啉;異喹 啉,吲哚;咪唑;N-甲基嗎啉_N_氧化物(NMM〇);三曱 月女-N-氧化物,二乙胺氧化物;吡啶_N_氧化物;乙 基嗎琳-N-氧化物;N_甲基吼.定_N_氧化物;&乙基吼嘻 啶-N-氧化物;甲基咪唑;二異丙基胺;二異丁基胺; 苯胺,苯胺何生物;多元胺;及其組合。較佳地,胺物質 包含異噁唑、TAZ或其組合。 或者’胺物質可包含經組合之胺_氟化氫鹽。因此,本 發明之移除組合物可包括至少一種胺_氟化氫鹽,視情況 至少-種有機溶劑,視情況至少—種有機酸,兄至少 132222.doc -21 - 200918664 一種螯合劑及視情況之水。胺_氟化氫鹽為非揮發性的, 且因此避免了歸因於胺物質之蒸發的溶液ρΗ值變化。本文 預期之胺-氟化氫鹽包括(但不限於)上文列舉之胺中之任一 者與HF組合以形成胺-氟化氫鹽。較佳地,胺_氟化氫鹽物 質當使用日寺包含異鳴,坐:册及/或丽歸册。應瞭解胺: 氣化氫鹽的莫耳比可視反應條件及待移除之低_請電材料 性質而自約1:1至約2 0:1變化。1 insect engraving agent may include, but is not limited to, fluoride, amine and/or hydroxide salt, including at least one of the following: fluorinated chlorine (hf) bismuth difluoride (^XeF2), fluorine Ammonium (nhj); tetraalkylammonium fluoride (NR4F); alkyl hydrogen fluoride (nrh3f); ammonium hydrogen difluoride (NH5F2); hydrogen fluoride dicalcium (NR_2H2F), hydrogen fluoride dialkylammonium (NR3HF); Hydrogen fluoride) trialkylammonium (nuhf); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex, amine hydrogen fluoride complex, wherein R may be the same or different from each other and is selected from the group consisting of: linear or Branched C1_C6 alkyl (eg, decyl, ethyl, propyl, butyl, pentyl, hexyl) and wherein the amine includes a straight or branched C^-C2. alkyl amine, substituted or unsubstituted C6_c1Q arylamine, glycolamine, alkanolamine and amine-N-oxide, including but not limited to: pyridine; 2-ethylpyridine; 2-methoxypyridine and its derivatives, such as 3 - alkoxypyridine; 2-methylpyridine; pyridine derivative; lutidine; 0-nine; piperazine; triethylamine; triethanolamine; ethylamine, methylamine, isobutylamine , tert-butylamine, tributylamine, dipropylamine, dimethylamine, diglycolamine; monoethanolamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; Bisazine; pyridazine; quinoline; isoquinoline; anthracene; imidazole; N-methyl; 132222.doc -20- 200918664 porphyrin-indole-oxide (ΝΜΜΟ); trimethylamine Ν•oxide Triethylamine Ν 氧化物 氧化物 oxide; pyridine-Ν-oxide; Ν-ethyl morpholine _ Ν _ oxide; pyridine pyrrolidine-N-oxide; N-ethyl pyrrolidine _N_ oxide; Imidazole; diisopropylamine; diisobutylamine; aniline; aniline derivatives; and combinations thereof. Alternatively, the etchant may comprise a hydroxide salt including, but not limited to, an alkali hydroxide, soil testing Metal hydroxide, quaternary amine hydroxide, and combinations thereof. Preferably, the etchant comprises hydrogen fluoride. Ο Ο amine species may include, but are not limited to, linear or branched Ci_C2C alkylamines, substituted or unsubstituted Substituted CVC]G arylamine, glycolamine, alkanolamine and amine_N_oxide, including but not limited to pyridine; 2-ethylpyridine; 2-methoxypyridine and derivatives thereof, such as 3 _甲Pyridine; 2_acridine; pyridine derivative; dimethyl ° ratio bite; 0 bottom 11; ♦ ° Qin; triethylamine; triethanolamine; ethylamine 'methylamine' isobutylamine; Amine; tributylamine; dipropylamine; dimethylamine; diglycolamine; monoethanolamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; pyrimidine; pyrazine; Quinoline; isoquinoline, anthracene; imidazole; N-methylmorpholine_N_oxide (NMM〇); Sanyue female-N-oxide, diethylamine oxide; pyridine_N_oxidation Ethyl phenanthrene-N-oxide; N-methyl hydrazine. _N_oxide; & ethyl acridine-N-oxide; methylimidazole; diisopropylamine; Butylamine; aniline, aniline Ho; bioamine; and combinations thereof. Preferably, the amine material comprises isoxazole, TAZ or a combination thereof. Alternatively the amine species may comprise a combined amine-hydrogen fluoride salt. Thus, the removal composition of the present invention may comprise at least one amine-hydrogen fluoride salt, optionally at least one organic solvent, optionally at least one organic acid, brother at least 132222.doc -21 - 200918664 a chelating agent and optionally water. The amine-hydrogen fluoride salt is non-volatile and thus avoids changes in the pH value of the solution due to evaporation of the amine species. The amine-hydrogen fluoride salt contemplated herein includes, but is not limited to, any of the amines listed above in combination with HF to form an amine-hydrogen fluoride salt. Preferably, the amine-hydrogen fluoride material contains a singularity when used in a temple, sitting on a booklet and/or a book. It should be understood that the amine: gas molar hydrogen salt molar reaction conditions and the low to be removed _ please electrical material properties from about 1:1 to about 2 0:1 change.

第-態樣之組合物中可部分包括水,因為其能溶解氣化 物物質。較佳地,水為去離子的。 若存在’則有機溶劑用作溶劑,幫助參透及溶解有機殘 餘物’濕潤微電子裝置結構之表面以促進材料移除及/或 鈍化下層相鄰材料(例如,微電子裝置基板)。本文預期之 有機溶劑包括(但;f限於)醇类貞、越類、d比略㈣類、二醇 類、羧酸類、二醇醚類、胺類、酮類、醛類、烷烴類、烯 烴類、炔烴類及醯胺類,更佳為醇類、喊類、…酮 類一知類、羧酸類及二醇醚類,諸如甲醇、乙醇、異丙 醇、丁醇及較高碳數醇(包括二醇、三醇等 2,2,3,3,4,4’5,5-八氟·:!_戊醇、1H,1H,9H全氣小壬醇全 就庚酸、1H,1H,7H_十二敗小庚醇、全氟戊酸^ m,m肌8H-十二氟],8•辛二醇、2,2,3,3,4,4,5,5_八氧_ 1,6-己二醇、5H-全氟戊酸、七氟丁酸正丁酯、四氫呋喃 (THF)、N-甲基料咬酮(NMp)、環己基%μ酮、&辛 基吡咯啶酮、Ν-苯基吡咯啶酮、甲酸甲自旨、二甲基甲醯胺 (DMF)、二甲亞硬(DMSO)、四亞曱基石風(環丁破)、乙喊、 132222.doc -22- 200918664 苯氧基-2-丙醇(pph)、苯丙酮、乳酸乙酯、乙酸乙酯、笨 曱酸乙酯、乙腈、丙酮、乙二醇、丙二醇、二噁烷、丁内 酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、 兩親媒性物質(二乙二醇單曱基醚、三乙二醇單曱基醚、 二乙二醇單乙基醚、三乙二醇單乙基醚、乙二醇單丙基 醚、乙二醇單丁基醚、二乙二醇單丁基醚(亦即,丁基卡 必醇)、二乙二醇單丁基醚、乙二醇單己基醚、二乙二醇 單己基醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇曱基 喊、三丙二醇甲基喊、二丙二醇二甲基謎、二丙二醇乙基 醚、丙一醇正丙基醚、二丙二醇正丙基醚(DpGpE)、三丙 二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三 丙一醇正丁基喊、丙二醇苯基趟及其組合卜支鍵氣化或 非乳化醚鍵羧酸(CH3CH2)n〇(CH2)mC〇〇H ,其中n=丨至丨〇且 m-1至1〇)、非支鏈氟化或非氟化醚鍵羧酸 Ο (CH2)mC〇〇H,其中n=1至10且㈣至⑼、支鏈“匕或"非 氣化非醚鍵羧酸(CH3(CH2)nC〇〇H,其中11=1至1〇卜非支 鏈說化或非氟化非輯羧酸(CH3(CH2)nC〇〇H,其中㈣至 叫、二叛H酸及其組合。此外’溶劑可包含其他 兩親媒性物質,亦即含右I R w 1 P 3有與界面活性劑類似之親水性及疏 水性部分的物質。—jrt i坊丄 、 、 瓜了糟由包括由烴或碳氟化合物基團 組成之分子基團而賦予两处 ㈣切水性特性且—般可藉由包括離子 或未π電極性官能基而賦 J珥丁親水性特性。較佳地, 劑包含環丁礪、丁基卡必醇、二 / 物。 一丙一和丙基醚或其混合 I32222.doc -23- 200918664 可選之額外酸幫助將低_Κ介電材料中交聯聚合物鍵*** 及'Θ/谷額外®^•可為有機及/或無機的且包括(但不限於)棚 酸、草酸、丁二酸、檸檬酸、乳酸、乙酸、三氟乙酸、四 氟硼酸、氫氟酸、氫氣酸、曱酸、反丁烯二酸、丙烯酸、 丙二酸、順丁烯二酸、蘋果酸、L_酒石酸、甲基磺酸、三 氟甲烷磺酸、碘酸、巯基乙酸、硫代乙酸、乙醇酸、硫 酸、确酸、丙块酸、丙酮酸、乙醯乙酸及其組合。 可添加螯合劑以在晶圓再生期間減少或消除裝置表面之 金屬/亏染物質。本文預期之螯合劑包括(但不限於):β_二 酮酸酯化合物,諸如乙醯基丙酮酸酯、;!,;!_三說_2,4_戊 一酮及1,1,1,5,5,5-六氟-2,4-戊二酮;缓酸酯,諸如曱酸酯 及乙酸S旨及其他長鍵缓酸g旨;及酿胺(及胺),諸如雙(三曱 基矽烷基醯胺)四聚體。額外螯合劑包括胺及胺基酸(亦 即’甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯 胺酸、天冬胺酸、麵醯胺酸、纈胺酸及離胺酸)、擰檬 酸、乙酸、順丁烯二酸、草酸、丙二酸、丁二酸、膦酸、 膦酸衍生物,諸如羥基亞乙基二膦酸(HEDP)、1 -羥基乙 烷-1,1·二膦酸、氮基-參(亞甲基膦酸)、氮基三乙酸、亞胺 二乙酸、依替膦酸(etidronic acid)、乙二胺、乙二胺四乙 酸(EDTA)及(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、 四乙二醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-硫代硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-硫代硫 醇三銨鹽溶液、二乙基二硫代胺基曱酸鈉、具有一個烷基 (R2=己基、辛基、癸基或十二烷基)及一個募醚 132222.doc -24- 200918664 (R (CH2CH2〇)2 ’其中R|=乙基或丁基)的經二取代之二硫 代胺基甲酸醋(R>(CH2CH2〇)2NR2CS2Na)、硫酸錄、單乙醇 胺(MEA)、Dequest 2〇〇〇、叫咖 2〇ι〇、叫咖 2嶋、 二伸乙基三胺五乙酸、丙二胺四乙酸、2_羥基吡啶卜氧化 乙胺一丁一酸、二磷酸五鈉及其組合。不同於可能 需要f驗組合形成能整合之去質子化化合物的非氟化β-二 酮,氟化β-二嗣整合劑可在不存在驗之狀況下使用。可在 η 將組合物引人裳置晶圓之前或在裝置晶圓處(亦即原幻由 製造商將螯合劑引入組合物。進一步預期除整合劑外,可 向組合物中添加其他組份以稀釋、維持及/或增加組合物 中其他組份之濃度。 該等組合物可視情況包括額外組份,包括活性以及非活 :生成份’例如界面活性劑、流變劑、穩定劑、鈍化劑、分 散劑、ΡΗ穩定劑、氧化劑等。舉例而言,可向本發明第一 除組合物中添加約G増量%至約Μ重量%界面 :.預期之界面活性劑包括非離子、陰離子、 (基於四級録陽離早、月/ ▲ 離子)及/或兩性離子界面活性劑。舉例而 ^:適非離子界面活性劑可包括氣化絲界面活性劑、 面活性劑、聚乙二醇、聚丙二醇、聚乙二醇 ~ 酸鹽、十二院基苯俩或 輸旨聚合物、二壬基苯基聚氧化乙 2 聚石夕氧聚合物、块屬二酿m⑯ I石夕乳或經改質 改質貌基錢趨及屬二醇、院基錄或經 至少—去说基本紛聚縮水甘油鱗,以及包含前述中 之組合。在較佳實施例中,非離子界面活性劑可 132222.doc -25- 200918664 為乙氧基化氟界面活性劑,諸如ZONYL® FSO-100氟界面 活性劑(DuPont Canada Inc., Mississauga, Ontario, Canada)。本發明組合物中預期之陰離子界面活性劑包括 (但不限於)含氟界面活性劑,諸如ZONYL® UR及ZONYL® FS-62(DuPont Canada Inc., Mississauga, Ontario, Canada) ; 基硫酸鈉、烷基硫酸銨、烷基(C1G-C18)羧酸銨鹽、磺基丁 二酸鈉及其酯,例如磺基丁二酸二辛基鈉、烷基(C1Q-CI8) 石黃酸納鹽及二陰離子續酸鹽界面活性劑DowFax(The Dow Chemical Company,Midland, Mich.,USA)。預期之陽離子 界面活性劑包括烷基銨鹽,諸如溴化十六烷基甲基銨 (CTAB)及硫酸氫十六烧基三曱基敍。合適兩性離子界面活 性劑包括羧酸銨、硫酸銨、胺氧化物、N-十二烷基-Ν,Ν-二曱基甜菜驗、甜菜驗、績基甜菜驗、硫酸烧基敍基丙酯 及其類似物。或者,界面活性劑可包括水溶性聚合物,包 括(但不限於)聚乙二醇(PEG)、聚氧化乙烯(ΡΕΟ)、聚乙烯 吡咯啶酮(PVP)、陽離子聚合物、非離子聚合物、陰離子 聚合物、羥基乙基纖維素(HEC)、丙烯醯胺聚合物、聚(丙 烯酸)、羧基曱基纖維素(CMC)、羧基甲基纖維素鈉(Na CMC)、羥基丙基曱基纖維素、聚乙烯吡咯啶酮K30、 BIOCARE™聚合物、DOWTM 乳膠粉末(DLP)、ETHOCEL™ 乙基纖維素聚合物、KYTAMER™ PC聚合物、METHOCEL™ 纖維素醚、POLYOX™水溶性樹脂、SoftCAT™聚合物、 UCARE™聚合物、UCON™流體及其組合。水溶性聚合物 可為短鏈或長鏈聚合物且可與本發明之非離子、陰離子、 132222.doc -26- 200918664 陽離子及/或兩性離子界面活性劑組合。當本發明組合物 包括界面活性劑時,較佳添加以組合物總重量計0至5重量 %範圍内之消泡劑。預期之消泡劑包括(但不限於)脂肪酸 類、醇類(單醇或多元醇)及胺類,諸如辛酸甘油二酯、卵 磷脂、碳酸鎂、聚乙烯均聚物及氧化均聚物M3400、基於 二曱基聚矽氧烷、基於聚矽氧、AGITAN™及脂肪酸聚醚 型,諸如LUMITEN™、油類及其組合。 移除組合物之第一態樣之特定實施例可為濃縮形式且包 括以下各物,其中組份可以組合物之總重量計之以下範圍 存在: 組份 重量% 較佳之重量% 胺 約1 %至約30.0% 約5%至約20.0% 氫氟酸 約5%至約60.0% 約15%至約30.0% 有機溶劑 約5%至約98% 約25%至約70% 額外酸 約5%至約35% 約10%至約30% 水 約0.01 %至約50% 約0.01 %至約50% 或 組份 重量% 較佳之重量% 胺-氟化氫鹽 約1%至約40.0% 約5%至約30.0% 氫氟酸 約0.01 %至約5.0% 約1 %至約32% 有機溶劑 約40%至約90% 約50%至約85% 額外酸 約1 %至約20% 約5%至約20% 水 約0.01 %至約50% 約0.01 %至約50%Water may be partially included in the composition of the first aspect because it is capable of dissolving the gasification material. Preferably, the water is deionized. If present, the organic solvent acts as a solvent to aid in the penetration and dissolution of the organic residue to wet the surface of the microelectronic device structure to facilitate material removal and/or passivation of the underlying adjacent material (e.g., microelectronic device substrate). The organic solvents contemplated herein include (but; f are limited to) alcohols, bismuth, d-total (tetra), glycols, carboxylic acids, glycol ethers, amines, ketones, aldehydes, alkanes, alkenes Classes, alkynes and decylamines, more preferably alcohols, sins, ketones, carboxylic acids and glycol ethers such as methanol, ethanol, isopropanol, butanol and higher carbon numbers Alcohol (including diol, triol, etc. 2,2,3,3,4,4'5,5-octafluoro-::-pentanol, 1H, 1H, 9H all-gas sterols are all heptanoic acid, 1H ,1H,7H_12-density heptanol, perfluoropentanoic acid ^ m,m muscle 8H-dodecyl],8-octanediol, 2,2,3,3,4,4,5,5_ Octa_1,6-hexanediol, 5H-perfluoropentanoic acid, n-butyl heptafluorobutyrate, tetrahydrofuran (THF), N-methyl ketone (NMp), cyclohexyl% ketone, & Octyl pyrrolidone, hydrazine-phenyl pyrrolidone, formic acid methyl, dimethylformamide (DMF), dimethyl hard (DMSO), tetradecyl sulphate (cyclobutanol), , 132222.doc -22- 200918664 phenoxy-2-propanol (pph), propiophenone, ethyl lactate, ethyl acetate, ethyl citrate, acetonitrile, acetone, ethylene , propylene glycol, dioxane, butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, amphiphilic substances (diethylene glycol monodecyl ether, triethylene glycol monoterpene) Ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (ie, Ding Kikabi alcohol), diethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol sulfhydryl shunt, tripropylene glycol Methyl shunt, dipropylene glycol dimethyl mystery, dipropylene glycol ethyl ether, propanol n-propyl ether, dipropylene glycol n-propyl ether (DpGpE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol Butyl ether, tripropanol n-butyl shunt, propylene glycol phenyl hydrazine and combinations thereof, gasification or non-emulsified ether bond carboxylic acid (CH3CH2) n〇(CH2)mC〇〇H, wherein n=丨 to 丨〇 M-1 to 1〇), unbranched fluorinated or non-fluorinated ether linkage carboxylic acid hydrazine (CH2)mC〇〇H, where n=1 to 10 and (4) to (9), branched “匕 or " Non-chemical a bond carboxylic acid (CH3(CH2)nC〇〇H, wherein 11=1 to 1 〇 unbranched or non-fluorinated non-carboxylic acid (CH3(CH2)nC〇〇H, wherein (4) to call, two The H-acid and its combination. In addition, the solvent may contain other amphiphilic substances, that is, substances containing a hydrophilic and hydrophobic portion similar to the surfactant of the right IR w 1 P 3 . To give two (4) water-cutting properties by a molecular group consisting of a hydrocarbon or fluorocarbon group and generally to impart hydrophilic properties by including an ionic or non-π-electrode functional group. . Preferably, the agent comprises cyclobutyl hydrazine, butyl carbitol, and a second substance. Monopropyl and propyl ether or a mixture thereof I32222.doc -23- 200918664 Optional additional acid helps to split the cross-linked polymer bonds in low-Κ dielectric materials and 'Θ/谷 extra®^• can be organic and / or inorganic and include (but not limited to) shed acid, oxalic acid, succinic acid, citric acid, lactic acid, acetic acid, trifluoroacetic acid, tetrafluoroboric acid, hydrofluoric acid, hydrogen acid, citric acid, fumaric acid , acrylic acid, malonic acid, maleic acid, malic acid, L_tartaric acid, methanesulfonic acid, trifluoromethanesulfonic acid, iodic acid, mercaptoacetic acid, thioacetic acid, glycolic acid, sulfuric acid, acid, C Block acid, pyruvic acid, acetamidine acetic acid, and combinations thereof. A chelating agent can be added to reduce or eliminate metal/loss material on the surface of the device during wafer regeneration. Chelating agents contemplated herein include, but are not limited to, β-diketonate compounds, such as acetylpyruvate, ;!,;!_三三_2,4-pentanone, and 1,1,1 , 5,5,5-hexafluoro-2,4-pentanedione; a buffer acid ester such as phthalate and acetic acid S and other long bonds; and a chelating amine (and amine) such as bis ( Triterpene alkyl decylamine) tetramer. Additional chelating agents include amines and amino acids (ie, 'glycine, serine, valine, leucine, alanine, aspartic acid, aspartic acid, noiline, guanamine Acid and lysine), citric acid, acetic acid, maleic acid, oxalic acid, malonic acid, succinic acid, phosphonic acid, phosphonic acid derivatives, such as hydroxyethylidene diphosphonic acid (HEDP), 1 -Hydroxyethane-1,1·diphosphonic acid, nitrogen-parax (methylene phosphonic acid), nitrogen triacetic acid, imine diacetic acid, etidronic acid, ethylenediamine, ethylene Amine tetraacetic acid (EDTA) and (1,2-cyclohexyldiazepine)tetraacetic acid (CDTA), uric acid, tetraethylene glycol dimethyl ether, pentamethyldiethylidene monoamine (PMDETA), 1, 3,5-triazine-2,4,6-thiothiol trisodium salt solution, 1,3,5-triazine-2,4,6-thiothiol triammonium salt solution, diethyldi Sodium thioamino phthalate having one alkyl group (R2 = hexyl, octyl, decyl or dodecyl) and one condensate 132222.doc -24- 200918664 (R (CH2CH2〇)2 ' where R| Disubstituted dithiocarbamate formic acid (R>(CH2CH2〇)2NR2CS2Na) Sulfuric acid record, monoethanolamine (MEA), Dequest 2〇〇〇, called coffee 2〇ι〇, called coffee 2嶋, di-extended ethyltriamine pentaacetic acid, propylenediaminetetraacetic acid, 2-hydroxypyridylethylamine oxide Monobutyric acid, pentasodium diphosphate, and combinations thereof. Unlike non-fluorinated β-diketones which may be combined to form a deprotonated compound that can be integrated, the fluorinated β-biguanide integrator can be used in the absence of an assay. The chelating agent can be introduced into the composition before the composition is introduced into the wafer at η or at the device wafer (ie, the manufacturer may further introduce the chelating agent into the composition. It is further contemplated that other components may be added to the composition in addition to the integrator). To dilute, maintain, and/or increase the concentration of other components in the composition. The compositions may include additional components, including active and non-active ingredients: such as surfactants, rheological agents, stabilizers, passivation, as appropriate. An agent, a dispersant, a hydrazine stabilizer, an oxidizing agent, etc. For example, an amount of about 5% by weight to about 5% by weight of the interface may be added to the first defrosting composition of the present invention: the expected surfactant includes nonionic, anionic, (based on four-stage cations away from early, monthly / ▲ ions) and / or zwitterionic surfactants. For example: suitable non-ionic surfactants may include gasified silk surfactants, surfactants, polyethylene glycol , polypropylene glycol, polyethylene glycol acid salt, 12-yard benzene or a polymer, dimercaptophenyl polyoxyethylene 2 polyoxanol polymer, block genus m16 I stone milk or After upgrading to quality, the basics tend to belong to diols. The basic record or at least - to say that the basic polyglycidil scales, and the combination of the foregoing. In a preferred embodiment, the nonionic surfactant can be 132222.doc -25- 200918664 for the ethoxylated fluorine interface activity Agents such as ZONYL® FSO-100 fluorosurfactant (DuPont Canada Inc., Mississauga, Ontario, Canada). The anionic surfactants contemplated in the compositions of the present invention include, but are not limited to, fluorosurfactants such as ZONYL ® UR and ZONYL® FS-62 (DuPont Canada Inc., Mississauga, Ontario, Canada); sodium sulphate, ammonium alkyl sulfate, alkyl (C1G-C18) carboxylate, sodium sulfosuccinate and Esters such as sodium dioctyl sulfosuccinate, alkyl (C1Q-CI8) naphthenate and dianionate surfactant DowFax (The Dow Chemical Company, Midland, Mich., USA). The cationic surfactants include alkylammonium salts such as cetylmethylammonium bromide (CTAB) and hexadecyl hydrogensulfate. The suitable zwitterionic surfactants include ammonium carboxylate, ammonium sulfate, Amine oxide, N-dodecyl-indole, Ν-dimercapto sweet Test, beet test, beet test, sulphate sulphate and the like. Alternatively, the surfactant may include water soluble polymers including, but not limited to, polyethylene glycol (PEG), polyoxidation Ethylene (poly), polyvinylpyrrolidone (PVP), cationic polymer, nonionic polymer, anionic polymer, hydroxyethyl cellulose (HEC), acrylamide polymer, poly(acrylic acid), carboxy fluorenyl Cellulose (CMC), sodium carboxymethylcellulose (Na CMC), hydroxypropyl decyl cellulose, polyvinyl pyrrolidone K30, BIOCARETM polymer, DOWTM latex powder (DLP), ETHOCELTM ethyl cellulose Polymer, KYTAMERTM PC Polymer, METHOCELTM Cellulose Ether, POLYOXTM Water Soluble Resin, SoftCATTM Polymer, UCARETM Polymer, UCONTM Fluid, and combinations thereof. The water soluble polymer can be a short chain or long chain polymer and can be combined with the nonionic, anionic, 132222.doc -26-200918664 cationic and/or zwitterionic surfactants of the present invention. When the composition of the present invention comprises a surfactant, it is preferred to add an antifoaming agent in the range of 0 to 5% by weight based on the total weight of the composition. Defoamers contemplated include, but are not limited to, fatty acids, alcohols (monools or polyols), and amines such as caprylic acid diglyceride, lecithin, magnesium carbonate, polyethylene homopolymer, and oxidative homopolymer M3400. Based on dimercapto polyoxyalkylene, polyoxoxime, AGITANTM and fatty acid polyether types, such as LUMITENTM, oils and combinations thereof. Particular embodiments of the first aspect of removing the composition can be in concentrated form and include the following, wherein the components can be present in the following ranges based on the total weight of the composition: Component % by weight Preferred % by weight Approximately 1 % by weight of the amine Up to about 30.0% from about 5% to about 20.0% hydrofluoric acid from about 5% to about 60.0% from about 15% to about 30.0% organic solvent from about 5% to about 98% from about 25% to about 70% additional acid from about 5% to About 35% from about 10% to about 30% water from about 0.01% to about 50% from about 0.01% to about 50% or component% by weight. Preferred weight % amine to hydrogen fluoride from about 1% to about 40.0% from about 5% to about 30.0% hydrofluoric acid from about 0.01% to about 5.0% from about 1% to about 32% organic solvent from about 40% to about 90% from about 50% to about 85% additional acid from about 1% to about 20% from about 5% to about 20% % water from about 0.01% to about 50% from about 0.01% to about 50%

或 組份 重量% 較佳之重量°/〇 胺-氟化氫鹽 約1%至約40.0% 約30%至約35.0% 氫氟酸 約0.01 %至約5.0% 約1 %至約2% 有機溶劑 約45%至約99% 約55%至約70% 水 約0.01%至約25% 約0.01%至約25% 或 132222.doc •27- 200918664 組份 重量% 較佳之重量% 胺 約1 %至約60% 約20%至約40% 氫氟酸 約40%至約99% 約35%至約45% 水 約0.01 %至約50% 約0.01 %至約50% 或 組份 重量% 較佳之重量% 胺 約1 %至約30.0% 約5%至約25% 氫氟酸 約5%至約60% 約15%至約50% 有機溶劑 約1 %至約80% 約30%至約75% 水 約0.01 %至約80% 約0.01%至約70% 或 組份 重量% 較佳之重量% 胺 約0.1至約50% 約5%至約35% 氫氟酸 約10%至約75% 約15%至約70% 水 約0.01%至約90% 約0.01 %至約90%Or component weight % preferred weight ° / guanamine - hydrogen fluoride salt from about 1% to about 40.0% from about 30% to about 35.0% hydrofluoric acid from about 0.01% to about 5.0% from about 1% to about 2% organic solvent about 45 % to about 99% about 55% to about 70% water about 0.01% to about 25% about 0.01% to about 25% or 132222.doc • 27- 200918664 component weight% better weight % amine about 1% to about 60 % from about 20% to about 40% hydrofluoric acid from about 40% to about 99% from about 35% to about 45% water from about 0.01% to about 50% from about 0.01% to about 50% or component weight% preferred weight% amine From about 1% to about 30.0% from about 5% to about 25% hydrofluoric acid from about 5% to about 60% from about 15% to about 50% organic solvent from about 1% to about 80% from about 30% to about 75% water about 0.01 % to about 80% from about 0.01% to about 70% or component weight%. Preferred weight % amine from about 0.1 to about 50% from about 5% to about 35% hydrofluoric acid from about 10% to about 75% about 15% to about 70% water from about 0.01% to about 90% from about 0.01% to about 90%

且20:1倍稀釋於去離子水中之移除組合物的pH值係在約 2.5至約4.5範圍内。較佳地,第一態樣之移除組合物含有 少於30重量%,較佳少於10重量%,更佳少於2重量%,甚 至更佳少於1重量%四氫糠醇且最佳無四氫糠醇。在廣泛 實踐中,第一態樣之移除組合物可包含,由或基本上由前 述實施例中之任一者組成。 在第一態樣之一實施例中,移除組合物係用於再生微電 子裝置結構。換言之,可自微電子裝置結構移除一可移除 層或一個以上可移除層。 在第一態樣之另一實施例中,移除組合物可用於再加工 微電子裝置結構,藉此移除結構背面及/或斜邊上之含有 聚合物之積累。自結構背面及/或斜邊上移除含有聚合物 之積累之方法可能(並非必要)要求保護結構之前側免於暴 露於組合物。該方法可包括將該結構安置於使用惰性氣體 132222.doc -28- 200918664 及/或去離子水㈣保護㈣㈣的單個_工 塗声雙〜“可猎由在術沈積厚光阻層或其他保護性 =合物來保護前側1言之,若結構前側包括在清潔 :面及或斜邊時不應暴露於第一態樣之移除組合物的圖宰 =/或毯覆材料,前側應經保護。在另一實施例中,前 :及背面/斜邊皆暴露於第—態樣之移除組合物以同時自 别側(例如,低-Κ介電材料等)及背面/斜邊(例如,含有聚 〇物之積累及含銅材料)移除材料。 另外,第-態樣之移除組合物的前述實施例可進一步包 括殘餘材料’纟中《殘餘材料包括使用該組合物移除的至 少-種材料。較佳地,該低_κ介電質材料包含溶解於移除 組合物中之含碎化合物。在—實施例中,移除組合物包括 至;一種胺物質、氫氟酸、水、材料殘餘物、視情況之至 少一種有機溶劑、視情況之至少一種螯合劑及視情況之至 少一種額外酸物質。在另一實施例中,移除組合物包括至 少一種胺-氟化氫鹽物質、額外氫氟酸、材料殘餘物、 水、視情況之至少一種有機溶劑、視情況之至少—種螯合 劑及視情況之至少一種額外酸物質。即使其中含有殘餘物 材料’但本發明第一態樣之移除組合物仍可用於延續/再 循環用途。 第一態樣之實施例可調配於以下調配物Α-ΒΒ中,其中 所有百分比係基於調配物總重量以重量計。 調配物Α:四氟硼酸4.7重量% •,三乙醇胺:HF 11.7重量 % ; HF 1.7重量。/。;乙二醇39.6重量% ;環丁砜1〇 〇重量 132222.doc •29· 200918664 % ; 丁基卡必醇15.0重量% ;水17.3重量% 調配物Β :四氟硼酸4.7重量% ;吡啶:HF 1 6.0重量% ; HF 1.7重量% ;乙二醇39.6重量% ;環丁砜10.0重量% ; 丁基卡 必醇1 5.0重量% ;水1 3.0重量And the pH of the 20:1 dilution of the removal composition diluted in deionized water is in the range of from about 2.5 to about 4.5. Preferably, the first aspect of the removal composition contains less than 30% by weight, preferably less than 10% by weight, more preferably less than 2% by weight, even more preferably less than 1% by weight of tetrahydrofurfuryl alcohol and is most preferably No tetrahydrofurfuryl alcohol. In a broad practice, the first aspect of the removal composition can comprise, consist of, or consist essentially of any of the preceding embodiments. In one embodiment of the first aspect, the removal composition is used to regenerate the microelectronic device structure. In other words, a removable layer or more than one removable layer can be removed from the microelectronic device structure. In another embodiment of the first aspect, the removal composition can be used to rework the microelectronic device structure, thereby removing the buildup of polymer containing on the back and/or bevel of the structure. Removal of the polymer-containing buildup from the back and/or beveled edges of the structure may (not necessarily) require that the front side of the structure be protected from exposure to the composition. The method can include disposing the structure in a single _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ = the compound to protect the front side, if the front side of the structure is included in the cleaning: face and or the beveled edge should not be exposed to the first aspect of the removal composition of the figure = / or blanket material, the front side should be Protection. In another embodiment, the front: and back/beveled edges are exposed to the first aspect of the removal composition at the same time from the other side (eg, low-Κ dielectric material, etc.) and back/beveled ( For example, the accumulation of the polycene and the copper-containing material) removal material. Additionally, the foregoing embodiments of the first aspect of the removal composition may further comprise a residual material 'the residual material includes removal using the composition At least one material. Preferably, the low-k dielectric material comprises a particulate compound dissolved in the removal composition. In the embodiment, the removal composition comprises to; an amine species, hydrofluoric Acid, water, material residue, optionally at least one organic solvent And optionally, at least one chelating agent and optionally at least one additional acid species. In another embodiment, the removal composition comprises at least one amine-hydrogen fluoride species, additional hydrofluoric acid, material residues, water, At least one organic solvent, optionally at least one chelating agent and optionally at least one additional acid substance. Even if the residue material is contained therein, the first aspect of the present invention can be used for continuation/re-use Circulating Use The first aspect of the examples can be formulated in the following formulations Α-ΒΒ, wherein all percentages are by weight based on the total weight of the formulation. Formulation Α: tetrafluoroboric acid 4.7 wt% •, triethanolamine: HF 11.7 wt%; HF 1.7 wt%; ethylene glycol 39.6 wt%; sulfolane 1 〇〇 weight 132222.doc • 29· 200918664 %; butyl carbitol 15.0 wt%; water 17.3 wt% formulation Β: four Fluoroboric acid 4.7 wt%; pyridine: HF 1 6.0 wt%; HF 1.7 wt%; ethylene glycol 39.6 wt%; sulfolane 10.0 wt%; butyl carbitol 1 5.0 wt%; water 1 3.0 wt.

調配物C :四氟硼酸5.9重量% :吡啶:HF 8 ·0重量% ; HF - 1.7重量% ;乙二醇39.6重量% ;環丁砜10.0重量% ; 丁基卡 必醇19.0重量%;水15.8重量%Formulation C: tetrafluoroboric acid 5.9% by weight: pyridine: HF 8 · 0% by weight; HF - 1.7 % by weight; ethylene glycol 39.6% by weight; sulfolane 10.0% by weight; butyl carbitol 19.0% by weight; water 15.8 weight %

調配物D :乙酸17.0重量°/。;吡啶:HF 27.0重量% ; HF 1.2 1 ;; 重量% ;乙二醇27.6重量% ;環丁颯1 0.0重量°/〇 ; DMSO 16.0重量%;水1.2重量% 調配物£:吡啶:1^32.0重量°/。;1^1.3重量%;乙二醇 32.4重量% ;環丁砜13.0重量% ; DMSO 20.0重量% :水1.3 重量% 調配物F :吡啶:HF 32.0重量% ;丙二醇35.0重量% :環 丁砜 13.0重量 % ; DMSO 20.0重量 % 調配物G :吡啶:HF 3 1.1重量% ; HF 1.4重量% ;丙二醇Formulation D: acetic acid 17.0 weight ° /. ; pyridine: HF 27.0% by weight; HF 1.2 1 ;; wt%; ethylene glycol 27.6% by weight; cyclobutyl hydrazine 1 0.0 weight ° / hydrazine; DMSO 16.0% by weight; water 1.2% by weight Formulation £: pyridine: 1^ 32.0 weight ° /. 1^1.3% by weight; ethylene glycol 32.4% by weight; sulfolane 13.0% by weight; DMSO 20.0% by weight: water 1.3% by weight Formulation F: pyridine: HF 32.0% by weight; propylene glycol 35.0% by weight: sulfolane 13.0% by weight; DMSO 20.0% by weight Formulation G: pyridine: HF 3 1.1% by weight; HF 1.4% by weight; propylene glycol

Cj 34,1重量% ;環丁颯12.6重量% ; DMSO 19.4重量% :水1.4 重量% 調配物Η :吡啶:HF 3 2.0重量% ; HF 1.7重量% ;乙二醇 - 39.6重量% ;環丁砜10.0重量% ; DMSO 15,0重量% ;水1.7 重量% 調配物1:乙酸13.0重量%;異噁唑7.0重量%;1^16.2重 量% ;乙二醇22.1重量% ;環丁砜10.0重量% ; DMSO 15.0 重量% ;水16.7重量% 132222.doc -30- 200918664 調配物J :乙酸13.0重量% ; 1,2,4-***7.0重量% ; HF 16.2 重量% ;乙二醇22.1重量% ;環丁砜10.0重量% ; DMSO 15.0重量% ;水16.7重量% 調配物K :乙酸13.0重量% ;異噁唑7.0重量% ; HF 16.3重 量% ;乙二醇24.0重量% ;環丁砜15.0重量% ;水24.7重量 • % 調配物L :乙酸13.0重量% ;異噁唑7.0重量% ; HF 16.3重 量% ;乙二醇24.0重量% ;環丁砜10.0重量% ; NMP 13.0重 量% ;水16.7重量% 調配物Μ :乙酸1 3.0重量% ;異噁唑7.0重量% ; HF 1 6.3重 量% ;乙二醇24.0重量% ;環丁颯10·0重量% ;曱基卡必醇 13.0重量% :水16.7重量% 調配物Ν :乙酸13.0重量% ;異噁唑7.0重量% ; HF 16,3重 量% ;乙二醇24.0重量% ;環丁颯1 0.0重量% ;二丙二醇曱 基醚13.0重量%;水16.7重量% 調配物0:乙酸15.0重量%;異噁唑9.0重量%;1^17.2重 〇 " 量%;乙二醇25.9重量°/〇;環丁砜15.0重量%;水17.9重量 % - 調配物Ρ ··異噁唑10.3重量% ; HF 20.4重量。/〇 ;乙二醇30.7 重量% ;環丁颯1 7.2重量% :水2 1.4重量% 調配物Q :乙酸21.1重量% ;異噁唑12.0重量% ; HF 23.0重 量% ;環丁砜20.0重量% :水23.9重量% 調配物11:乙酸18.0重量%;異噁唑10.2重量°/。;1^20.2重 量% ;環丁砜30.4重量% ;水2 1.2重量% 132222.doc -31 - 200918664 調配物8:乙酸26.4重量%;異噁唑15.0重量%;1^ 28 7重 量% ;水29.9重量% 調配物1':異噁唑15.2重量%;1^29.1重量%;環丁碗254 重量% ;水30.3重量% 調配物II :異噁唑20.4重量% ; HF 39.0重量% ;水糾6重量 % 調配物¥:2-乙基吡啶20.4重量%;^^39.〇重量%;水4〇6 重量%Cj 34, 1% by weight; cyclobutanide 12.6% by weight; DMSO 19.4% by weight: water 1.4% by weight Formulation Η: pyridine: HF 3 2.0% by weight; HF 1.7 % by weight; ethylene glycol - 39.6 % by weight; sulfolane 10.0 % by weight; DMSO 15, 0% by weight; water 1.7 % by weight Formulation 1: acetic acid 13.0% by weight; isoxazole 7.0% by weight; 1 ^ 16.2% by weight; ethylene glycol 22.1% by weight; sulfolane 10.0% by weight; DMSO 15.0 % by weight; water 16.7 wt% 132222.doc -30- 200918664 Formulation J: acetic acid 13.0% by weight; 1,2,4-triazole 7.0% by weight; HF 16.2% by weight; ethylene glycol 22.1% by weight; sulfolane 10.0 weight %; DMSO 15.0% by weight; water 16.7 % by weight Formulation K: acetic acid 13.0% by weight; isoxazole 7.0% by weight; HF 16.3% by weight; ethylene glycol 24.0% by weight; sulfolane 15.0% by weight; water 24.7 weight • % L: acetic acid 13.0% by weight; isoxazole 7.0% by weight; HF 16.3% by weight; ethylene glycol 24.0% by weight; sulfolane 10.0% by weight; NMP 13.0% by weight; water 16.7% by weight Formulation Μ: acetic acid 1 3.0% by weight ; isoxazole 7.0% by weight; HF 1 6.3 % by weight; ethylene glycol 24.0% by weight; 0% by weight; decyl carbitol 13.0% by weight: 16.7% by weight of water Formulation Ν: acetic acid 13.0% by weight; isoxazole 7.0% by weight; HF 16, 3% by weight; ethylene glycol 24.0% by weight;飒1 0.0% by weight; dipropylene glycol decyl ether 13.0% by weight; water 16.7 % by weight Formulation 0: acetic acid 15.0% by weight; isoxazole 9.0% by weight; 1^17.2 weight 〇 " % by weight; ethylene glycol 25.9 weight °/〇; sulfolane 15.0% by weight; water 17.9% by weight - formulation Ρ ··isoxazole 10.3% by weight; HF 20.4 by weight. /〇; ethylene glycol 30.7 wt%; cyclobutyl hydrazine 1 7.2 wt%: water 2 1.4 wt% Formulation Q: acetic acid 21.1 wt%; isoxazole 12.0 wt%; HF 23.0 wt%; sulfolane 20.0 wt%: water 23.9 wt% Formulation 11: acetic acid 18.0% by weight; isoxazole 10.2 weight ° /. ;1^20.2% by weight; sulfolane 30.4% by weight; water 2 1.2% by weight 132222.doc -31 - 200918664 Formulation 8: acetic acid 26.4% by weight; isoxazole 15.0% by weight; 1^287% by weight; water 29.9 weight % Formulation 1 ': Isoxazole 15.2% by weight; 1 ^ 29.1% by weight; Circulating Bowl 254% by weight; Water 30.3 % by weight Formulation II: Isoxazole 20.4% by weight; HF 39.0% by weight; % Formulation ¥: 2-ethylpyridine 20.4% by weight; ^^39.〇% by weight; water 4〇6% by weight

調配物W: 2-曱氧基吼咬2().4重量%; HF 39.〇重量%;水 4 0 · 6重量% 調配物X :哌啶20.4重量% ; HF 39.0重量% · 士“ θ 0/ 王/υ ,不4〇,6重量0/〇 調配物 Y : ΝΜΜΟ 8.0重量% ; HF 17.6重 #Q/n,户 Λ $ 里 /0 ’ % 丁砜 15.0 重量% ; 丁基卡必醇33.0重量% ;水26\4重量% 調配物Ζ : 2_甲氧基吡啶7.〇重量% ; HF 15 7舌旦 15·7重量0/〇 ;環丁 石風6 1.0重量°/〇 ;水1 6·3重量% 調配物AA : ΝΜΜΟ 7.0重量% ; HF 量% 15.7重量°/° ;水77.3重 環丁颯 調配物BB : ΝΜΜΟ 7_0重量% ; HF 15.7重量% 10.0重量% ;水67.3重量。/〇 較佳地’組份之重量百分比比率之範 祀国馮·蝕刻劑(例 如HF及/或胺·· HF)相對於胺約〇.1:1至約 土、、HIM,較佳約 1:1 至約5:1,且最佳約2:1至約3 :1。 面移除 合物: 在第二態樣中,描述適用於自微電子裝置結相 至少一種述自由以下各物組成之群之材料的移畴 132222.doc -32- 200918664 蝕刻後殘餘物、低-K介電質、高_κ介雷拼 罨貝、障壁層材料、 鐵電體、氮化物、矽化物、氧化物、共 切先阻、含有聚合物之 材料、ARC材料、摻雜區域、雜項材料及其組合。第二雜 樣之移除組合物亦有用地移除AI及SiCN。較佳地,第一熊 樣之組合物實質上無胺物質。藉由減少所存在胺之量^ 除組合物之總成本降低且使許多供應鏈問題最小化。此 外,已知胺與HF放熱地反應,此可潛在導致諸如粒子產生 之製造問題。如本文所定義,"實質上無"對應於以組合物 總重量計小於約!重量%,更佳小於〇 5重量%,且最佳小 於0.1重量%的該組合物。 第二態樣之移除組合物可包括至少_種蝕刻劑源(例如 氟化物質,諸如氫氟酸)及至少一種有機溶劑。更特定言 之,第二態樣之組合物可包含、由或基本上由至少一種餘 刻劑(例如HF)、至少一種有機溶劑、視情況之水、視情況 至少一種有機酸及視情況至少一種螯合劑組成;其係以組 合物總重量計之以下範圍存在:Formulation W: 2-decyloxybite 2 (). 4% by weight; HF 39. 〇 weight%; water 4 0 · 6% by weight Formulation X: piperidine 20.4% by weight; HF 39.0% by weight · 士" θ 0 / 王 /υ , not 4〇, 6 weight 0 / 〇 formulation Y: ΝΜΜΟ 8.0% by weight; HF 17.6 weight #Q / n, household Λ $ 里 /0 ' % butyl sulfone 15.0% by weight; butyl card 33.0% by weight of alcohol; 26% by weight of water 调: 2_methoxypyridine 7. 〇 weight%; HF 15 7 tongue 15·7 weight 0/〇; ring pentet wind 6 1.0 weight ° / 1; water 6.3 wt% formulation AA: ΝΜΜΟ 7.0 wt%; HF amount % 15.7 wt ° / °; water 77.3 heavy cyclobutyl hydrazine formulation BB: ΝΜΜΟ 7_0 wt%; HF 15.7 wt% 10.0 wt%; Water 67.3 wt. / 〇 preferably the 'weight ratio of the component 祀 祀 von etchant (such as HF and / or amine · HF) relative to the amine about 1:1. to about soil, HIM Preferably, it is from about 1:1 to about 5:1, and most preferably from about 2:1 to about 3:1. Surface removal composition: In the second aspect, the description is applicable to at least one phase of the phase from the microelectronic device. The shifting of the material of the group consisting of the following components 132222.doc -32- 200918664 Post-etch residue, low-k dielectric, high-kapple-packaged mussels, barrier layer materials, ferroelectrics, nitrides, tellurides, oxides, co-cutting, polymer-containing materials, ARC material, doped regions, miscellaneous materials, and combinations thereof. The second sample removal composition also usefully removes AI and SiCN. Preferably, the first bear-like composition is substantially free of amine species. Reducing the amount of amine present ^ reduces the overall cost of the composition and minimizes many supply chain problems. Furthermore, amines are known to react exothermically with HF, which can potentially cause manufacturing problems such as particle generation. As defined herein, "substantially " corresponds to less than about 6% by weight, more preferably less than 5% by weight, and most preferably less than 0.1% by weight based on the total weight of the composition. Second aspect of the removal composition At least one etchant source (eg, a fluorinated species such as hydrofluoric acid) and at least one organic solvent may be included. More specifically, the second aspect of the composition may comprise, consist of, or consist essentially of at least one residual agent (eg HF), at least one organic solvent The agent, optionally water, optionally consists of at least one organic acid and optionally at least one chelating agent; it is present in the following ranges based on the total weight of the composition:

可選之有機酸 可選之螯合劑 水 〇至約80.0%Optional organic acid Optional chelating agent Water 〇 to approximately 80.0%

一般而言,如此項技術者無需過多努力可容易地測定, 蝕刻劑源、有機溶劑、可選之水、可選之有機酸及可選之 螯合劑相對於彼此之特定比例及量可合適地變化以提供對 132222.doc •33- 200918664 選自由以下各物組成之群之 心何#的組合物的所要移除作用 及/或加工設備:蝕刻後殘铃 无绞餘物、低-K介電質、高-Κ介電 貝、障壁層材料、鐵電體、焉 氣化物、矽化物、氧化物、光 阻、含有聚合物之材料、ARru Α K_C材料、摻雜區域、雜項材料 及其組合。 較佳地,第二態樣自;Λ Λ ’ 、、且s物總重量計至少1 0重量% HF。當不欲移除銅堆疊材料 〇In general, such a technique can be readily determined without undue effort, and the specific ratio and amount of the etchant source, the organic solvent, the optional water, the optional organic acid, and the optional chelating agent relative to each other may suitably be The change is to provide the desired removal and/or processing equipment for the composition of the group of the following components: 132222.doc •33- 200918664: After the etching, there is no wringing residue, low-K Electrolytic, high-Κ dielectric shell, barrier layer material, ferroelectric, germanium, germanide, oxide, photoresist, polymer-containing material, ARru Α K_C material, doped region, miscellaneous material and combination. Preferably, the second aspect is from Λ Λ ', and the total weight of the s is at least 10% by weight HF. When you do not want to remove the copper stack material 〇

Cj 、第一態樣之移除組合物益 氧化劑及/或含碳酸鹽之物f。 人u 初筲此外,第二態樣之移除組 δ物中存在之水的量以組合 物總重罝計較佳在1 0重量%至 8〇重量%,更佳1〇重量% , 王、、0 75重置%之範圍内。 當以去離子水20:1倍稀釋時,笛_ 弟一悲樣之組合物具有約 1至約7 ’更佳約2.5至約4 5,曰从认a .取佳約2.8至約3.5範圍内之 pH值。 物劑'有機溶劑、可選之螯合劑及可選之有機酸 Γ 文中先前介紹。較佳地,水為去離子的。 该等組合物可視情況包括額 領外組伤,包括活性以及非活 入成份,例如界面活性劑、流變劑1定劑、鈍化劑、螯 :广分散劑、ρΗ穩定劑、氧化劑等。舉例而言,如上文 第一態樣中所述,可向第-能 ΠΛ1去曰 门第一態樣之移除組合物中添加約 •01重Ϊ %至約10重量%界面 、、羊以十,士 田組合物包括界面 活性劑時’較佳添加以組 之-劑。在第一態樣中描至5重量%_ 二二=樣之實施例可以濃縮形式存在且包括以 口物〜重量叶在以下範圍中存在的以下組份: 132222.doc -34- 200918664Cj, the first aspect of the removal composition benefits oxidant and / or carbonate-containing material f. In addition, the amount of water present in the second aspect of the removal group δ is preferably from 10% by weight to 8% by weight, more preferably 1% by weight, based on the total weight of the composition, Wang, , 0 75 reset % range. When diluted 20:1 with deionized water, the composition of the flute is from about 1 to about 7', more preferably from about 2.5 to about 4, and from about 2.8 to about 3.5. The pH inside. The agent 'organic solvent, optional chelating agent and optional organic acid Γ were previously described in the text. Preferably, the water is deionized. Such compositions may include, for example, extra-collar group injuries, including active and non-active ingredients such as surfactants, rheological agents, passivators, chelating agents, broad dispersing agents, ρ Η stabilizers, oxidizing agents, and the like. For example, as described in the first aspect above, about 0.1% by weight to about 10% by weight of the interface can be added to the first composition of the first-energy-killing step 1 10. When the Shitian composition includes a surfactant, it is preferably added as a group. The embodiment described in the first aspect to 5% by weight _ XX = can be present in a concentrated form and includes the following components present in the following ranges from the mouth to the weight: 132222.doc -34- 200918664

且20:1倍稀釋於去離子水中之第二態樣之移除組合物的 P值係在、.勺2.5至約4.5 圍内。視情況而言,可添加約 0.0 1重;S: %至約丨〇重量%之界面活性劑。And the P value of the second embodiment of the 20:1 dilution of the removal composition in the deionized water is in the range of 2.5 to about 4.5. Optionally, about 0.0 1 weight; S: % to about 5% by weight of surfactant can be added.

在第二態樣之一實施例中,移除組合物係用於再生微電 子裝置結構。拖+ + — , „. ^ 、5之,可自微電子裝置結構移除一可移除 層或一個以上可移除層。 在第二態樣之另—實施例中,第二態樣之移除組合物可 用於再加工微電子裝置結構,藉此移除結構背面及/或斜 含有聚合物之積累。自結構之背面及/或斜邊移除 3有1合物之積累的方法可能(並非必要)要求 繼於暴露於組合物。該方法可包括將該結構置於使用 惰性軋體(例如氮氣)及/或去 _ 工”。 聚合物來保護前側。換…若結構之前側 。括在/月4 #面及/或斜邊時不應暴露於第二 組合物的圖案化及/或毯覆 ’移除 营,…, 則前側應經保護。在另 4㈣t 及斜邊均暴露 組合物一時自前側(例如士介電材料等=面Γ 邊(例如,含聚合物 月面斜 、口物之積累及含銅之材料)移除材料。 此外,第二態樣之移 σ物可進一步包括材料殘餘 132222.doc >35. 200918664 物,其中該材料殘餘物包括至少一種使用該組合物移除之 材料。較佳地’材料溶解於移除組合物中且移除組合物仍 可用於其所欲用途。 第一態樣之移除組合物可調配於以下調配物CC_HH中, 其中所有百分比係基於調配物總重量以重量計。 調配物CC: HF 2(M重量τ基卡必醇57 5重量%;環丁 颯1.5重量% ;水20,9重量〇/〇In one embodiment of the second aspect, the removal composition is used to regenerate the microelectronic device structure. Drag + + — , „. ^ , 5, to remove a removable layer or more than one removable layer from the microelectronic device structure. In another embodiment of the second aspect, the second aspect The removal composition can be used to rework the structure of the microelectronic device, thereby removing the buildup of the backside of the structure and/or the inclusion of the polymer. The method of removing the accumulation of the 3 compound from the back side and/or the bevel of the structure may It is not necessary to continue exposure to the composition. The method may include placing the structure in an inert rolling mill (e.g., nitrogen) and/or going to work. The polymer protects the front side. Change... if the front side of the structure. The patterning and/or blanket removal of the second composition should not be exposed to the /#4 face and/or beveled edges. The front side should be protected. The material is removed from the front side (for example, a dielectric material, etc. (for example, a polymer-containing slant, a build-up of the mouth, and a copper-containing material) when the other 4 (4) t and the bevel are exposed to the composition. The second aspect of the shifting σ may further comprise a material residue 132222.doc > 35. 200918664, wherein the material residue comprises at least one material removed using the composition. Preferably, the material is dissolved in the removal combination. And the removal composition can still be used for its intended use. The first aspect of the removal composition can be formulated in the following formulation CC_HH, wherein all percentages are by weight based on the total weight of the formulation. HF 2 (M weight τ carbitol 57 55% by weight; cyclobutyl hydrazine 1.5% by weight; water 20, 9 weight 〇 / 〇

調配物DD: HF 37.4重量丁基卡必醇21.7重量%;環丁 磯2.2重量% ;水3 8.7重量% 調配物EE: HF 20」重量丁基卡必醇217重量環丁 石風2 · 2重量% ;水5 6.0重量〇/〇 調配物FF:HF⑽料、1〇 8%丁基卡必醇、2 2%環丁石風 及76.96%水 調配物GG: HF 2(M重量丁基卡必醇1〇 8重量環丁 石風2.2重量%;水66.9重量% 調配物HH: HF 20」重量%; 丁醇1〇8重量%;環丁石狀2 重量% ;水66.9重量% 最佳地’第二態樣係關於如下移除組合物,其包括氟化 氫、二乙二醇單丁基醚、環丁砜及水。組份之重量百分比 比率之範圍為:溶劑相對於蝕刻劑(例如HF)為約〇1:丨至約 10:1,較佳約0.5:1至約5:1,且最佳約1:1至約3:1。 在第二態樣之特定較佳實施例中,移除組合物可包含、 由或基本上由水、環丁硬、:乙H㈣及I化氣組 成,其中水之量係以組合物總重量計1〇重量%至約75重量 132222.doc •36- 200918664 %範圍内。較佳地,組合物實質上無胺。 在第三態樣中,移除組合物包括蝕刻劑源 源、,諸如氯氣酸)、至少—種有機溶劑、至少一種= 及視情況之水。較佳地’組合物實質上無胺。此組成實施 例尤其適用於移除低_κ介電材料、蝕刻終止層、金屬、氮 化物、石夕化物、氧化物、光阻、含有聚合物之材料、arc 材料及/或金屬膜堆疊而不損壞下層裝置基板且不在該基 板表面上再沈積或沈澱銅鹽或其他污染物。本文預期之氧 化劑包括(但不限於)過氧化氫(出〇2)、FeCl3(水合及未水合 兩者)、過碘酸(HsI〇6)、過硫酸氫鉀(2KHS〇5.KHS〇4.K2S〇4)、 銨多原子鹽(例如,過氧單硫酸銨、亞氯酸銨(NH4C1〇2)、 氯酸銨(NH4Cl〇3)、碘酸銨(Nh4I〇3)、過硼酸銨(NH4b〇3)、 咼氣酸銨(NH4Cl〇4)、過碘酸銨(NH4I〇3)、過硫酸銨 ((nh4)2s2o8)、次氯酸銨(NH4C10))、鈉多原子鹽(例如過 硫酸鈉(Na2S2〇8)、次氯酸鈉(NaCi〇))、鉀多原子鹽(例 如’峨酸鉀(KIO3)、高猛酸卸(ΚΜη04)、過硫酸鉀、硝酸 (ηνο3)、過硫酸鉀(k2S208)、次氣酸卸(KC10))、四甲基錢 多原子鹽(例如,亞氣酸四曱基銨((N(CH3)4)C102)、氯酸 四甲基銨((N(CH3)4)Cl〇3)、碘酸四甲基銨((n(CH3)4)I03)、 過删酸四甲基銨((N(CH3)4)B〇3)、高氯酸四甲基銨 ((N(CH3)4)Cl〇4)、過碘酸四甲基銨((n(CH3)4)I04)、過硫酸 四曱基銨((N(CH3)4)S2〇8))、四丁基銨多原子鹽(例如,過 氧單硫酸四丁基銨)、過氧化單硫酸、硝酸鐵(Fe(N03)3)、 過氧化氫脲((co(nh2)2)h2o2)、過氧乙酸(CH3(CO)OOH)及 132222.doc 37· 200918664 其組合。可在將組合物引入裝置晶圓之前或在裝置晶圓處 (亦即原位)由製造商將氧化劑引入組合物。 在第三態樣之廣泛實踐中,移除組合物可包含、由或基 本上由至少一種钱刻劑源(例如氫說酸)、至少一種有機溶 劑、至少一種氧化劑及視情況之水組成。一般而言,如此 項技術者無需過多努力可容易地測定,蝕刻劑源、有機溶 劑、氧化劑及可選之水相對於彼此之特定比例及量可合適 地變化以提供對選自由以下各物組成之群之材料的組合物 的所要移除作用及/或加工設備:低-κ介電材料、|虫刻終止 層、金屬堆疊材料、金屬、氮化物、發化物、氧化物、光 阻、含有聚合物之材料、ARC材料及其組合。 較佳#刻劑及有機溶劑係在上文中先前介紹。較佳地, 水為去離子的。 較佳地,第三態樣之移除組合物可以濃縮形式存在且可 包含、由或基本上由以下組份組成,其中組份係以組合物 之總重量計之以下範圍存在: 組份 重量°/〇 較佳之重量°/〇 氫氟酸 約10%至約60% 約15%至約50% 有機溶劑 約10%至約80% 約20%至約75% 水 約0.01 %至約80% 約0.01 %至約80% 氧化劑 約0.1 %至約15% 約1 %至約11% 且20:1倍稀釋於去離子水中之第三態樣之移除組合物的 pH值係在約2.5至約4.5範圍内。 該等組合物可視情況包括額外組份,包括活性以及非活 性成份,例如界面活性劑、流變劑、穩定劑、鈍化劑、螯 132222.doc -38- 200918664 合劑、分散劑、PH穩定劑等。舉 平而目,如上文篦能揭 中所述,可向第:T能婵夕於A 弟態樣 5约10㈠ 除組合物中添加約《別重量% 至、、勺重里〇/〇界面活性劑。當本發明έ且人铷h & π* ^ . +赞月組合物包括界面活性 脊J夺父佳添加以組合物總重量叶〇至 <;舌θ。+ 置。r υ至5重里〇/〇範圍内之消 泡劑。在第一態樣中描述消泡劑。 此外,第三態樣之移除組合物 逐步包括選自由以下 各物組成之群之材料殘餘物. a八显 殘餘物.低-K介電材料、蝕刻終止Formulation DD: HF 37.4 wt butyl carbitol 21.7 wt%; cyclobutanine 2.2 wt%; water 3 8.7 wt% Formulation EE: HF 20" wt butyl carbitol 217 weight cyclodextope wind 2 · 2 % by weight; water 5 6.0 weight 〇 / 〇 formulation FF: HF (10) material, 1 8% butyl carbitol, 2 2% ring butyl stone and 76.96% water formulation GG: HF 2 (M weight butyl card 1 〇 8 weight of cyclobutane wind 2.2% by weight; water 66.9 wt% formulation HH: HF 20% by weight; butanol 1 〇 8 wt%; cyclobutene 2 wt%; water 66.9 wt% best The second aspect relates to a removal composition comprising hydrogen fluoride, diethylene glycol monobutyl ether, sulfolane and water. The weight percent ratio of the components ranges from solvent to etchant (eg HF). From about 1:10 to about 10:1, preferably from about 0.5:1 to about 5:1, and most preferably from about 1:1 to about 3:1. In a particularly preferred embodiment of the second aspect, The removal composition may comprise, consist of, or consist essentially of water, cyclobutyl, ethyl H(tetra), and I gas, wherein the amount of water is from 1% by weight to about 75 weights of 132222.doc, based on the total weight of the composition. 36- 200918664 % range Preferably, the composition is substantially free of amine. In the third aspect, the removal composition comprises an etchant source, such as chlorine acid, at least one organic solvent, at least one = and optionally water. Preferably the composition is substantially free of amines. This composition embodiment is particularly suitable for removing low-k dielectric materials, etch stop layers, metals, nitrides, lithologies, oxides, photoresists, polymer-containing materials, arc materials, and/or metal film stacks. The underlying device substrate is not damaged and copper salts or other contaminants are not deposited or precipitated on the surface of the substrate. The oxidants contemplated herein include, but are not limited to, hydrogen peroxide (exit 2), FeCl3 (both hydrated and unhydrated), periodic acid (HsI〇6), potassium hydrogen persulfate (2KHS〇5.KHS〇4) .K2S〇4), ammonium polyatomic salt (for example, ammonium peroxymonosulfate, ammonium chlorite (NH4C1〇2), ammonium chlorate (NH4Cl〇3), ammonium iodate (Nh4I〇3), ammonium perborate (NH4b〇3), ammonium niobate (NH4Cl〇4), ammonium periodate (NH4I〇3), ammonium persulfate ((nh4)2s2o8), ammonium hypochlorite (NH4C10), sodium polyatomic salt ( For example, sodium persulfate (Na2S2〇8), sodium hypochlorite (NaCi〇), potassium polyatomic salt (such as 'potassium citrate (KIO3), high-acid acid unloading (ΚΜη04), potassium persulfate, nitric acid (ηνο3), persulfate Potassium (k2S208), sub-gas acid unloading (KC10), tetramethyl-methanol polyatomic salt (for example, tetradecyl ammonium sulfite ((N(CH3)4)C102), tetramethylammonium chlorate (( N(CH3)4)Cl〇3), tetramethylammonium iodate ((n(CH3)4)I03), tetramethylammonium hydride ((N(CH3)4)B〇3), high chlorine Tetramethylammonium acid ((N(CH3)4)Cl〇4), tetramethylammonium periodate ((n(CH3)4)I04), tetradecylammonium persulfate ((N(CH3)4)) S2 〇8)), tetrabutylammonium polyatomic salt (for example, tetrabutylammonium peroxymonosulfate), peroxymonosulfate, ferric nitrate (Fe(N03)3), urea hydrogen peroxide ((co(nh2)) 2) h2o2), peracetic acid (CH3(CO)OOH) and 132222.doc 37· 200918664 combinations thereof. The oxidant can be introduced into the composition by the manufacturer prior to introduction of the composition into the device wafer or at the device wafer (i.e., in situ). In a broad practice of the third aspect, the removal composition can comprise, consist of, or consist essentially of at least one source of money engraving (e.g., hydrogen acid), at least one organic solvent, at least one oxidizing agent, and optionally water. In general, such a technique can be readily determined without undue effort, and the specific ratio and amount of etchant source, organic solvent, oxidant, and optionally water relative to each other can be suitably varied to provide a pair selected from the following: The desired removal and/or processing equipment for the composition of the material of the group: low-κ dielectric material, | insect termination layer, metal stack material, metal, nitride, hair, oxide, photoresist, containing Polymer materials, ARC materials, and combinations thereof. Preferably, the engraving agent and the organic solvent are previously described above. Preferably, the water is deionized. Preferably, the third aspect of the removal composition can be present in a concentrated form and can comprise, consist of, or consist essentially of the following components, wherein the components are present in the following ranges based on the total weight of the composition: Component Weight °/〇 preferably weight °/〇 hydrofluoric acid from about 10% to about 60% from about 15% to about 50% organic solvent from about 10% to about 80% from about 20% to about 75% water from about 0.01% to about 80% From about 0.01% to about 80% oxidizing agent from about 0.1% to about 15% from about 1% to about 11% and 20:1 times the pH of the third aspect of the removal composition in the deionized water is about 2.5 to Within a range of 4.5. Such compositions may include additional components, including active and inactive ingredients, such as surfactants, rheological agents, stabilizers, passivating agents, chelants 132222.doc-38-200918664, dispersants, pH stabilizers, etc., as appropriate. . To sum up, as described above, you can add about "% by weight to ~, 勺重里〇/〇 interface activity to the composition of the composition of the T:10. Agent. When the present invention is used, the human 铷h & π* ^ . + zan monthly composition includes an interfacial active ridge, and the total weight of the composition is added to the lt; + set. r υ to the defoamer in the range of 5 〇 〇 / 〇. The antifoaming agent is described in the first aspect. Further, the third aspect of the removal composition gradually includes a material residue selected from the group consisting of: a display residue, a low-k dielectric material, and an etch stop.

層、金屬堆疊材料、金屬、石夕化物、氮化物、氧化物、光 阻及其組合。較佳地,將材料殘餘物溶解於移除組合物中 且移除組合物仍可用於延續用途。 第三態樣之移除組合物可調配於以τ調配物η_κκ中, 其中所有百分比係基於調配物總重量以重量計。 調配物II:HF 18·3重量%; 丁基卡必醇52.3重量%;環丁 颯1.3重量%;水19重量%; h2〇29」重量% 調配物JJ:HF 20.1重吾。丁笪上 > i置里/。,丁基卡必醇21·7重量% ;環丁砜 2·2重量% ; Η202 1重量% :水55 〇重量% 調配物KK: HF 20.1重4%; 丁基卡必醇21 7重量。,。;環丁 颯2.2重量% ; ΗΝ〇3 〇.97重量% ;水55.3重量0/〇 在第三態樣之一實施例中,移除組合物係用於再生微電 子裝置結構。換言之,可自微電子裝置結構移除一可移除 層或一個以上可移除層。 在第三態樣之另一實施例中,第三態樣之移除組合物可 用於再加工微電子裝置結構,藉此移除結構背面及/或斜 邊上之含有聚合物之積累。自結構之背面及/或斜邊移除 132222.doc •39- 200918664 含有聚合物之積累的方法可能「廿非 了犯(並非必要)要求保護結構之Layers, metal stack materials, metals, alexandrites, nitrides, oxides, photoresists, and combinations thereof. Preferably, the material residue is dissolved in the removal composition and the removal composition is still useful for continued use. The third aspect of the removal composition can be formulated in a τ formulation η_κκ, wherein all percentages are by weight based on the total weight of the formulation. Formulation II: HF 18·3 wt%; butyl carbitol 52.3 wt%; cyclobutane 1.3 wt%; water 19 wt%; h2 〇 29 wt% Formulation JJ: HF 20.1 Gravi. Ding Wei on > i set in /. , butyl carbitol 21·7 wt%; sulfolane 2·2 wt%; Η202 1 wt%: water 55 〇 wt% Formulation KK: HF 20.1 weighs 4%; butyl carbitol 21 7 wt. ,. Cyclohexane 飒 2.2% by weight; ΗΝ〇3 〇.97% by weight; water 55.3 重量0/〇 In one embodiment of the third aspect, the removal composition is used to regenerate the microelectronic device structure. In other words, a removable layer or more than one removable layer can be removed from the microelectronic device structure. In another embodiment of the third aspect, the third aspect of the removal composition can be used to rework the microelectronic device structure, thereby removing the buildup of polymer containing on the back and/or bevel of the structure. Removal from the back and/or beveled edges of the structure 132222.doc •39- 200918664 The method of accumulating polymers may be “not necessary (not necessary) to require a protective structure

If體⑴^組合物°該方法可包括將該結構置於使用 &性耽體(例如氮氣)及/或去離子水嗔霧保護晶圓前側的單 一晶圓工具中。或者’可藉由在前側上沈積厚光阻層或1 他保護性塗層聚合物來保護前 ^ ^ ± , 俠σ之,若結構之前側 匕括在 > 月潔月面及/或斜邊時不應暴露於第三態樣之移除 組合物的圖案化及/或箱薄# μ , 覆材枓,則前側應經保護。在另If Body (1) composition The method may include placing the structure in a single wafer tool that protects the front side of the wafer using a <Desc/Clms Page;> Or 'can be protected by depositing a thick photoresist layer on the front side or 1 protective coating polymer to protect the front ^ ^ ±, σ σ, if the front side of the structure is included in the > Moon Cleansing and/or Oblique The side should not be exposed to the patterning and/or box thinning of the third aspect of the removal composition #μ, and the front side should be protected. In another

一實施例中,前側及背面/斜邊均暴露於第三態樣之移除 組合物中以同時自前側(例如,低Κ介電材料等)及背面/斜 邊(例如3聚合物之積累及含銅之材料)移除材料。。 在第四態樣中,移除組合物包括至少—㈣刻劑源(例 如氟化物源,諸如氫氟酸)、至少—種有機溶劑、至少一 種氧化劑、至少-種銅螯合劑及視情況之水。較佳地,第 四心樣H σ物實質上無胺。此組成實施例尤其適用於移 除1虫刻後殘餘物、低·κ介電材料、高-Κ介電材料、金屬及 金屬膜堆疊、氮化物、石夕化物、氧化物、障壁層材料、鐵 電體、光阻、ARC材料、含有聚合物之積累、摻雜區及/ 或雜項材料而不損壞下層裝置基板且不在該基板表面上再 沈積或沈澱銅鹽或其他污染物。第四態樣之移除組合物亦 適用於移除SiCN。 在第四態樣之廣泛實踐中,移除組合物可包含、由或基 本上由至少一種蝕刻劑(例如HF)、至少一種有機溶劑、至 > 一種氧化劑、至少—種螯合劑及視情況之水組成。一般 而吕’如此項技術者無需過多努力可容易地測定,蝕刻劑 132222.doc -40· 200918664 源、有機溶劑、氧化劑、螯合劑及可選之水相對於彼此之 特定比例及量可合適地變化以提供對選自由以下各物組成 之群之材料的組合物的所要移除作用及/或加工設備:蝕 刻後殘餘物、低-K介電材料、高-K介電材料、金屬及金屬 膜堆疊、氮化物、矽化物、氧化物、障壁層材料、鐵電 體、光阻、ARC材料、含有聚合物之積累、摻雜區域及/ 或雜項材料。 較佳有機溶劑、螯合劑及氧化劑係在上文中先前介紹。 較佳地,水為去離子的。 較佳地,第四態樣之實施例係以濃縮形式存在且可包 含、由或基本上由以下組份組成,其中組份係以組合物之 總重量計之以下範圍存在: 組份 重量% 較佳之重量% 氫氟酸 約5%至約55% 約10%至約45% 有機溶劑 約5%至約70% 約10%至約60% 水 0至約90% 約 0.01% 至 90% 氧化劑 約0.1 %至約15% 約1 %至約10% 螯合劑 約0.01 %至約5% 約0.1 %至約2% 且20:1倍稀釋於去離子水中之第四態樣之移除組合物的 pH值係在約2.5至約4.5範圍内。 該等組合物可視情況包括額外組份,包括活性以及非活 性成份,例如界面活性劑、流變劑、穩定劑、鈍化劑、分 散劑、pH穩定劑等。舉例而言,如上文第一態樣中所述, 可向第四態樣之移除組合物中添加約0.01重量%至約10重 量%界面活性劑。當本發明組合物包括界面活性劑時,較 132222.doc • 41 - 200918664 佳添加以組合物總重量 第〜” “、置里”至5重置%範圍内之消泡劑。在 〜、樣中描述消泡劑。 第四態樣之移除組合物可進—步包括選自由以下各物組 之群之材料殘餘物:蝕刻後殘餘物、低_κ介電材料、古 Κ介電材料、金屬及金屬 蜀联隹f 虱化物、矽化物、氣化 物、障壁層材料、鐵雷辦 载電體、先阻、ARC材料、含有聚合物 積累#雜區域、雜項材料及其組合。較佳地,將材料In one embodiment, both the front side and the back side/bevel are exposed to the third aspect of the removal composition to simultaneously accumulate from the front side (eg, low-lying dielectric material, etc.) and back/bevel (eg, 3 polymer). And copper-containing materials) remove material. . In a fourth aspect, the removal composition comprises at least a (four) engraving source (eg, a fluoride source such as hydrofluoric acid), at least one organic solvent, at least one oxidizing agent, at least one copper chelating agent, and optionally water. Preferably, the fourth cardioid H σ substance is substantially free of amine. This composition example is particularly suitable for removing a post-insert residue, a low-k dielectric material, a high-Κ dielectric material, a metal and metal film stack, a nitride, a lithiate, an oxide, a barrier layer material, Ferroelectric, photoresist, ARC materials, polymer-containing buildup, doped regions, and/or miscellaneous materials without damaging the underlying device substrate and not depositing or depositing copper salts or other contaminants on the surface of the substrate. The fourth aspect of the removal composition is also suitable for removing SiCN. In a broad practice of the fourth aspect, the removal composition can comprise, consist of, or consist essentially of at least one etchant (e.g., HF), at least one organic solvent, to > an oxidizing agent, at least one chelating agent, and optionally The composition of the water. In general, Lu's technology can be easily determined without undue effort. The specific ratio and amount of etchant 132222.doc -40· 200918664 source, organic solvent, oxidant, chelating agent and optional water relative to each other may be suitably Variations to provide the desired removal and/or processing equipment for a composition selected from the group consisting of: post-etch residues, low-k dielectric materials, high-k dielectric materials, metals and metals Film stacks, nitrides, tellurides, oxides, barrier layer materials, ferroelectrics, photoresists, ARC materials, polymer-containing buildup, doped regions, and/or miscellaneous materials. Preferred organic solvents, chelating agents and oxidizing agents are previously described above. Preferably, the water is deionized. Preferably, the fourth embodiment is present in a concentrated form and may comprise, consist of, or consist essentially of the following components, wherein the components are present in the following ranges based on the total weight of the composition: Component % by weight Preferred weight % hydrofluoric acid from about 5% to about 55% from about 10% to about 45% organic solvent from about 5% to about 70% from about 10% to about 60% water from 0 to about 90% from about 0.01% to 90% oxidizing agent From about 0.1% to about 15% from about 1% to about 10% of the chelating agent from about 0.01% to about 5% from about 0.1% to about 2% and 20:1 times the fourth aspect of the removal composition diluted in deionized water The pH is in the range of from about 2.5 to about 4.5. Such compositions may optionally include additional components, including active as well as inactive ingredients such as surfactants, rheological agents, stabilizers, passivating agents, dispersing agents, pH stabilizers and the like. For example, from about 0.01% to about 10% by weight of the surfactant can be added to the fourth aspect of the removal composition as described in the first aspect above. When the composition of the present invention comprises a surfactant, it is preferably added to the antifoaming agent in the range of ~"", "set" to 5% by weight of the total weight of the composition compared with 132222.doc • 41 - 200918664. The defoaming agent is described in the following. The fourth aspect of the removing composition may further comprise a material residue selected from the group consisting of: post-etching residue, low-k dielectric material, ancient tantalum dielectric material , metal and metal 隹 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱 虱Ground

殘餘物溶解於移除組合物中且移除組合物仍可用於延續用 途0 此實施例可調配於以下調配*LL_QQ中,其中所有百分 比係基於調配物總重量以重量計。 調配物LL:HF 2CM重量%; 丁基卡必醇217重量%;環丁 颯 2.2重量% ; H202 1 重量% ; CDTA 0.15 重量% ;水54.85 重量% 調配物MM : HF 20.1重量% ; 丁基卡必醇21 7重量% ;環 丁石風2.2重直% ’ H202 1重量。/。; edta 0.15重量% ;水 54.85重量% 調配物NN : HF 20.1重量% ; 丁基卡必醇21 7重量% ;環丁 砜2,2重量% ; H2〇2 1重量% ; MEA 0.15重量% ;水54.85重 量% 調配物00:HF10.04重量%;丁基卡必醇108重量%;環 丁石風2.2重量°/。,H2O2 1重量。/。; CDTA 0.15重量% ;水 75.81重量% 調配物PP : HF 1〇·〇4重量。/。; 丁基卡必醇1〇 8重量。/。;環 132222.doc -42- 200918664 丁石風2.2重置%,|^2〇1舌县。/· 1 重里 /。,acac 2 重!。/〇 ;水 73 96 重 量% 調配物QQ:hf 10.04重量%; 丁基卡必醇ι〇δ重量%;環 丁砜2.2重量。/❶;Η2〇2 5重量%; CDTA 〇15重量水 71.81重量% 調配物RR : HF 20!番旦〇/· 丁^:上 重里。/。,丁基卡必醇21.7重量% ;環丁 石風 2.2 重量 〇/〇 ; η2〇2 5 重量 % ; CDTA 0 1 S 舌 ® 里里 /。,LUiA 0.15重量% ;水5〇 85 重量%The residue is dissolved in the removal composition and the removal of the composition is still useful for continued use. This example can be formulated in the following formulation *LL_QQ, where all percentages are by weight based on the total weight of the formulation. Formulation LL: HF 2CM wt%; butyl carbitol 217 wt%; cyclobutane 2.2 wt%; H202 1 wt%; CDTA 0.15 wt%; water 54.85 wt% formulation MM: HF 20.1 wt%; Carbitol 21 7 wt%; cyclopentite wind 2.2 wt% % H202 1 wt. /. Edta 0.15 wt%; water 54.85% by weight formulation NN: HF 20.1 wt%; butyl carbitol 21 7 wt%; sulfolane 2, 2 wt%; H2〇2 1 wt%; MEA 0.15 wt%; water 54.85 % by weight Formulation 00: HF 10.04% by weight; butyl carbitol 108% by weight; cyclobutene wind 2.2% by weight. , H2O2 1 weight. /. CDTA 0.15 wt%; water 75.81 wt% Formulation PP: HF 1〇·〇4 by weight. /. ; butyl carbitol 1 〇 8 weight. /. ; Ring 132222.doc -42- 200918664 Ding Shifeng 2.2 reset %, | ^ 2 〇 1 tongue county. /· 1 重里 /. , acac 2 heavy! . /〇; water 73 96 wt% formulation QQ: hf 10.04 wt%; butyl carbitol ι〇 δ wt%; sulfolane 2.2 wt. /❶;Η2〇2 5 wt%; CDTA 〇15 weight water 71.81% by weight Formulation RR: HF 20! 番旦〇/·丁^:上重里. /. , butyl carbitol 21.7 wt%; cyclohexane wind 2.2 weight 〇 / 〇; η2 〇 2 5 wt%; CDTA 0 1 S tongue ® 里里 /. , LUiA 0.15 wt%; water 5〇 85 wt%

較佳地’組份之重量百分比比率之範圍為:敍刻劑(例 如HF)相料氧化劑為約〇1:1至約1〇:1,較佳約ο”至約 5:1 ’且最佳約1:1至約5:1 ;溶劑相對於氧化劑為約ο."至 約10:1’較佳約1:1至約5:1,且最佳約2:1至約5:1;整合劑 相對於氧化劑為約〇 〇〇1:1至約〇」,較佳約至 0.05:1。 可在將組合物引入裝置晶圓之前或在裝置晶圓處(亦即 原位)由製造商將整合劑及/或氧化劑引入第四態樣之组合 物。進-步預期除餐合劑及/或氧化劑外,可向組合物; 添加其他組份以稀釋、維持及/或增加組合物中其二且广 之濃度。 ' 刀 此項技術中已知,在存在金屬污染物(包括銅)之狀況 下HF引起微電子裝置基板(包括矽)之坑洞。為實質上消 :此有告坑洞作用’可向第四態樣之移除組合物中添加鹽 酸:使再生處理期間微電子裝置基板之坑洞最小化。舉例 而=可向第四態樣之移除組合物中添加以組合物總重量 I32222.doc -43 - 200918664 a十約0.01重量%至約5重量%濃肥,較佳約〇」重量%至約4 C1且更佳約0·5重量%至約3重量。/。濃HC1。當 使用未經濃縮之HC1溶液作為替代時,熟習此項技術者: 能夠計算新重量百分卜卜 ___ _ 百刀比。換吕之,組份之重量百分比比率 ^耗圍為:氧化劑相對於濃HC1為約〇.1:1至約1G:1,較佳 ’勺1 故1至約7:1,且最佳約1:1至約5:1 ’·姓刻劑(例如HF)相對 於濃HC1為約〇 ι·ι牵的, •.至、力25:1 ’較佳約1:1至約20:1,且最佳 約5.1至約15:1 ; f合劑相對於濃HQ為約〇⑻以至約Μ , 較佳岐G1:1至約G.3:1 ;且溶劑相對於濃HCI為約1:1至約 30.1 ?父佳約5:1至約25 ]且最佳約η至約2〇 1。 在第四態樣之—眚& 實知例中,移除組合物係用於再生微電 子衣置結構。拖今夕 7 、^ 可自微電子裝置結構移除一可移除 層或一個以上可移除層。 在第四態樣之另_杳 用 t列中,第四態樣之移除組合物可 . 、、"構藉此移除結構背面及/或斜 邊上之含有聚合物之積累。 ^ 含 累自…構之背面及/或斜邊移除 3有I合物之積累的方法 φ ^ (並非必要)要求保護結構之 月J側免於暴硌於組合物。 ,μ Λ4 ^ Π /可匕括將該結構置於使用 惰性軋體(例如氮氣)及/哎去 文用 個晶圓工次去離子水喷霧保護晶圓前側的單 他伴=_者’可11由在前側上沈積厚光阻層或其 包括在生# π 換5之,若結構之前側 匕枯在清潔背面及/或斜毐 細人& β邊時不應暴露於第四態樣之移除 一實 才枓,則前側應經保護。在另 實把例中,前側及背面/斜邊 4逻岣暴路於第四態樣之移除 132222.doc -44 - 200918664 組合物中以同時自前側(例如,低κ介電材料等)及背 : 邊(例如,含聚合物之積累及含鋼之材料)移除材料。面/斜 第五態樣係關於順應國家及國際環境桿準之移广 _ 物,即所謂”綠色”移除組合物。二r τ β f'上σ g—知丁基醚及其他含 有伸乙基之溶劑為HAP化學品且對環谙泉 么兄钓有軎的。舉例而 言,二乙二醇丁基醚具有極高化學f氧量(c〇d)水準,此 為每公升溶液消耗之氧質量。二乙_ 匕一醇丁基醚因為其高 Γ C O D水準而視國家而定被禁用或限制於極低水準。 第五態樣之"綠色"移除組合物可包括#刻劑源(例如敦化 物源,諸如氫氟酸)、至少一種界面活性劑、視情況之 水、視情況至少一種有機溶劑、視情況至少一種有機酸、 視情況至少一種氧化劑及視情況至少一種螯合劑,其係以 組合物總重量計之以下範圍存在:Preferably, the 'weight ratio of components' ranges from: 叙 1:1 (about HF) phase oxidant of from about 1:1 to about 1 :1, preferably from about ο" to about 5:1 ' and most Preferably, the solvent is from about 1:1 to about 5:1; the solvent is from about ο. " to about 10:1', preferably from about 1:1 to about 5:1, and most preferably from about 2:1 to about 5: 1; the integrator is from about 1:1 to about 〇, preferably about 0.05:1, relative to the oxidizing agent. The composition and/or oxidant may be introduced into the composition of the fourth aspect by the manufacturer prior to introduction of the composition into the device wafer or at the device wafer (i.e., in situ). It is contemplated that in addition to the meal and/or oxidizing agent, the composition may be added; additional components may be added to dilute, maintain, and/or increase the concentration of the composition in two. 'Knife It is known in the art that HF causes pits of a substrate (including germanium) of a microelectronic device in the presence of metal contaminants (including copper). In order to substantially eliminate: this has the effect of adding potholes to the removal composition of the fourth aspect: minimizing the pits of the substrate of the microelectronic device during the regeneration process. For example, the total weight of the composition may be added to the fourth aspect of the composition by I32222.doc -43 - 200918664 a from about 0.01% by weight to about 5% by weight of concentrated fertilizer, preferably about 5% by weight to It is about 4 C1 and more preferably from about 0.5% by weight to about 3 parts by weight. /. Concentrated HC1. When using an unconcentrated HC1 solution as an alternative, those skilled in the art are able to calculate the new weight percent ___ _ hundred knives ratio. For Lu, the weight percentage ratio of the components is: the oxidant is about 1:1.1:1 to about 1G:1 relative to the concentrated HC1, preferably 'spoon 1 so 1 to about 7:1, and the best 1:1 to about 5:1 '·The surname (such as HF) is about 〇ι·ι with respect to the concentrated HC1, • to, force 25:1 ' preferably from about 1:1 to about 20:1 And preferably from about 5.1 to about 15:1; the f mixture is about 〇(8) to about Μ, preferably 岐G1:1 to about G.3:1 with respect to the rich HQ; and the solvent is about 1: relative to the rich HCI; 1 to about 30.1? The father is preferably from about 5:1 to about 25] and most preferably from about η to about 2〇1. In the fourth aspect of the invention, the removal composition is used to regenerate the microelectronic coating structure. A removable layer or more than one removable layer can be removed from the microelectronic device structure. In the fourth aspect of the fourth column, the fourth aspect of the removal composition can be used to remove the accumulation of polymer on the back and/or bevel of the structure. ^Removal from the back of the structure and/or the removal of the bevel 3. The method of accumulating the I compound φ ^ (not necessary) The side of the J layer is required to be free from violent use of the composition. , μ Λ 4 ^ Π / can be used to place the structure in an inert rolling mill (such as nitrogen) and / / use a wafer work deionized water spray to protect the front side of the wafer with a single partner = _ person' 11 may be deposited on the front side by a thick photoresist layer or included in the raw # π for 5, if the front side of the structure is dry on the back side and/or the slender person & β side should not be exposed to the fourth state If the sample is removed, the front side should be protected. In a further example, the front side and the back side/beveled edge 4 are routed in the fourth aspect of the composition 132222.doc -44 - 200918664 to simultaneously from the front side (eg, low κ dielectric material, etc.) And back: The edge (for example, the accumulation of polymer and steel-containing material) removes the material. Face/Slant The fifth aspect is about the removal of the composition from the national and international environment. The so-called "green" removal composition. The σ g-k-butyl ether and other solvents containing the extended ethyl group are HAP chemicals and are entangled in the ring 谙泉. For example, diethylene glycol butyl ether has a very high chemical oxygen content (c〇d) level, which is the mass of oxygen consumed per liter of solution. Diethyl stilbene butyl ether is banned or limited to very low levels depending on the country due to its high Γ C O D level. The fifth aspect of the "green" removal composition can include a source of ## (eg, a source of hydride, such as hydrofluoric acid), at least one surfactant, optionally water, optionally at least one organic solvent, Optionally, at least one organic acid, optionally at least one oxidizing agent and optionally at least one chelating agent, is present in the following ranges based on the total weight of the composition:

且20.1么稀釋於去離子水中之第四態樣之移除組合物的 PH值係在約2.5至約4.5範圍内。 綠色移I组合物可包纟,由#基本上由至少、一種錄刻 劑、至少一稀双&、工L,备, 裡界面活性劑、視情況之水、視情況至少一種 有機溶劑、視情、 隱况至少一種有機酸、視情況至少一種氧化 I32222.doc -45- 200918664 劑及視情j兄至少_種敦人才丨λ l w、 種聲& I組成。一般而言,如此項技術 者無需過多努3 奋易地測定,蝕刻劑源、界面活性劑、 可選之水、可選之有機溶劑 了選之有機酸、可選之氧化 ==之螯合劑相對於彼此之㈣比例及量可合適地變 對4自由以下各物組成之群之材料的組合物的所 要移除作用及/或加工 一 肴.蝕刻後殘餘物、低-K介電材 物、Γκ介電材料、障壁層材料、鐵電體、氮化物、石夕化 Γ 雜項材:物、含有聚合物之積累、ARC材料、摻雜區域、 雜項材料及其組合。 ::佳蝕刻劑、界面活性劑、可選之有機溶劑、可選之螯 Γ二選之氧化劑及可選之有機酸物質係在上文先前介 、自口由較佳地,水經去離子化且較佳地,界面活性劑包括選 自由以下各物組成之群 Μ . (DDBSA^ 貝.十二烷基苯磺酸鈉鹽 (DDBSA)、D〇wFax及其组合 ,}Λ: m ,. 考慮到、、彔色移除組合物之 貝,組合物較佳實質上盔乙二 HAP有機溶劑。舉例”,—.5 —乙-料其他 括丙二醇職/或二丙二叫右存在有機溶劑,則其較佳包 =組合物可視情況包括額外組份,包括活性以及非活 注成伤,例如流變劑、穩 劑等。 〜又M、鈍化劑、分散劑、pH穩定 綠色移除組合物可進—+ ^ 少包括選自由以下各物組成之群 之材料殘餘物:蝕刻後殘餘 餘物、低-κ介電材料、高_κ介電 材枓、卩早壁層材料、鐵電 體、氮化物、矽化物、氧化物、 有也合物之積累、ARC材料、捧雜區域、雜項材料及其 132222.doc '46. 200918664 組合。較佳地,材料溶解於綠色移除組合物中且移除組合 物仍可用於其所欲用途。 綠色移除組合物可§周配於以下調配物G1 _〇5中,其中所 有百分比係基於調配物總重量以重量含十。 调配物G1 . HF 20.1重量% ;環丁碾2重量% ; D〇wFax 3B2 〇·5重量% ;水77.4重量% 凋配物G2 . HF 20·1重量% ;環丁碾2重量% ; D〇wFax 3Β2 0.1重量% ;水77.8重量%And the pH of the second aspect of the removal composition diluted in deionized water is in the range of from about 2.5 to about 4.5. The green shift I composition may be packaged by # essentially consisting of at least one recording agent, at least one dilute double &, L, prepared, surfactant, water as appropriate, optionally at least one organic solvent, Depending on the situation, at least one organic acid, depending on the situation, at least one kind of oxidized I32222.doc -45- 200918664 agent and at least _ kind of talent 丨 λ lw, seed sound & I composition. In general, such a technology does not require excessive determination, etchant source, surfactant, optional water, optional organic solvent, organic acid, optional oxidation == chelating agent The ratio and amount of the (four) ratios relative to each other may be suitably changed to the composition of the material of the group of 4 free components and/or processed. The post-etch residue, low-K dielectric material , Γ κ dielectric materials, barrier layer materials, ferroelectrics, nitrides, Shi Xihua 杂 Miscellaneous materials: materials, polymer-containing accumulation, ARC materials, doped regions, miscellaneous materials and combinations thereof. :: good etchants, surfactants, optional organic solvents, optional chelating agents, and optional organic acid materials. Preferably, the surfactant comprises a group selected from the group consisting of: DDBSA^, sodium dodecylbenzenesulfonate (DDBSA), D〇wFax, and combinations thereof,}Λ: m , Considering, and removing the shell of the composition, the composition is preferably substantially a helmet H 2 organic solvent. For example, -5 - B - other propylene glycol / or propylene is right organic Solvent, then preferred package = composition may include additional components, including active and non-injectable wounds, such as rheological agents, stabilizers, etc. ~ M, passivating agent, dispersing agent, pH stable green removal The composition may include - + ^ less material residues selected from the group consisting of: residual residue after etching, low-k dielectric material, high-k dielectric material, early wall material, iron Electrogen, nitride, telluride, oxide, accumulation of conjugates, ARC materials, mixed areas, miscellaneous materials And its 132222.doc '46. 200918664 combination. Preferably, the material is dissolved in the green removal composition and the removal composition can still be used for its intended purpose. The green removal composition can be formulated with the following formulations G1 _〇5, wherein all percentages are based on the total weight of the formulation by weight. Formulation G1. HF 20.1% by weight; cyclobutyl milling 2% by weight; D〇wFax 3B2 〇·5% by weight; water 77.4% by weight The compound G2. HF 20·1% by weight; the ring mill 2% by weight; D〇wFax 3Β2 0.1% by weight; the water 77.8% by weight

調配物G3 : HF 20_1重量% ;環丁砜2重量% ; DDBSA 〇 5 重量% ;水77.4重量% 調配物G4: HF 2(M重量環丁石風2重量%; D〇wFax 3β2 〇.1重量% ;水77.8重量% 調配物G5 : HF 20.1重量% ;環丁砜2 2重量% ; 3320,5重量%’出〇25重量%;^£〇?5重量%;水67.2重 量% 調配物G6: HF2(M重量HCi(濃重量%;環丁硬22重 量%; D〇wFax 3B2 〇.5重量h2〇2 5 重量%; η· 5 重 量% ;水66.2重量% 最it地,綠色移除組合物可調配於以下濃縮實施例中, 其中所有百分比係基於調配物總重量以重量計Formulation G3: HF 20_1% by weight; sulfolane 2% by weight; DDBSA 〇5% by weight; water 77.4% by weight Formulation G4: HF 2 (M weight of cyclobutane wind 2% by weight; D〇wFax 3β2 〇.1% by weight Water 77.8 wt% Formulation G5: HF 20.1 wt%; sulfolane 22 wt%; 3320, 5 wt% 'out of 25 wt%; ^ 〇 5 5 wt%; water 67.2 wt% Formulation G6: HF2 ( M weight HCi (concentrated weight%; cyclobutylene 22% by weight; D〇wFax 3B2 〇.5 weight h2 〇 2 5 wt%; η·5 wt%; water 66.2 wt% most, the green removal composition can be Formulated in the following concentrated examples, wherein all percentages are by weight based on the total weight of the formulation

劑(非乙二醇醚) ___約0.01 %至約10% 約1 %至約10% i 0.01 %至99% 約 0.01% 至 99% 約 0.01 % 至 99% 132222.d -47- η υ 界面活性劑 有機溶劑乙二醇越) I化劑-'' 一螯合劑 0至約25% 0至約25% 約0.1 %至約20〇/Γ 約1 %至約18% 200918664 取佳地,、綠色移除組合物包括氣化氫、環丁石風、至少一 :重界面活性劑及水。組份之重量百分比比率之範圍為:溶 ^相對於蝕刻劑為約〇.〇1:1至約1:1 ,較佳約0.05:丨至約 0·25.1 ’且最佳約0.075:1至約0 2:1 ;且溶劑相對於界面活 劑為約1:1至約40:1,較佳約2:1至約30:1,且最佳約3:1 至約25:1。 · 或者’綠色移除組合物可調配於以下濃縮實施例中,其 中所有百分比係基於調配物總重量以重量計: _**% 較佳(重量汾 長佳(重詈%) 至約3% …_ Ζ^ΜΪο%~~ST%I^To%~ _0[約25% ^〇l%£m〇% 幼 ιο/^ 玷10〇, 組伤之重量百分比比率之範圍為:溶劑相對於界面活性 劑為約〇.1:1至約15:1,較佳約1:1至約10:1,且最佳約Η 至約7:1 ·虫刻劑相對於界面活性劑為約1〇:1至約6〇1,較 佳約至約55:1,且最佳約25:1至約5〇:"氧化劑相對 於界面活性劑為約〇.1:1至約25:1,較佳約1:1至約2〇:卜且 最佳約5:1至約15:1;且螯合劑相對於界面活性劑為約 〇丄1至約25:1 ’較佳約1:1至約2〇:1,且最佳約5:1至約 15:卜 類似於第四態樣,可向第五態樣之移除組合物中添加鹽 酸以使微電子裝置基板在再生加工期間之坑洞最小化。舉 132222.doc -48- 200918664 例而言,可向第五態樣之移除組合物中添加以組合物總重 量計約〇.01重量%至約5重量0/。濃肥,較佳約〇」重量%至 約4重量。/。漠Ηα ’且更佳約〇.5重量%至約3重量%濃肥。 當使用未經漠縮之HCI溶液作為替代時,熟習此項技術者 將能:計算新重量百分比。換言之,組份之重量百分比比 率之範圍為:氧化劑相對於濃HC1為約Gi:i至約㈣,較 佳約1 :1至約8:1,日备社奶1 .1 =仏, 、勺.1至、力7:1 ;银刻劑(例如HF)相 對於濃HC1為約〇.1:1至約25:1,較佳約Η至約2〇:ι,且最 佳約5:1至約2G:1 ;界面活性劑相對於濃肥為岐〇1:1至 、 較佳为〇.1:1至約1:1 ;螯合劑相對於濃HC1為約 〇丄1至㈣:卜較佳約1:1至約8:1,且最佳約1:1至約71. 溶劑相對於濃HC1為約。.1:1至約1〇:1,較佳約〇.5:1至約 5:1,且最佳約0.5:1至約4:1。Agent (non-glycol ether) ___ about 0.01% to about 10% about 1% to about 10% i 0.01% to 99% about 0.01% to 99% about 0.01% to 99% 132222.d -47- η υ Surfactant organic solvent ethylene glycol) I-agent - '' a chelating agent 0 to about 25% 0 to about 25% about 0.1% to about 20 〇 / Γ about 1% to about 18% 200918664 The green removal composition comprises hydrogenated hydrogen, cyclopentide, at least one: heavy surfactant and water. The weight percentage ratio of the components ranges from about 〇.〇 to about 1:1, preferably from about 0.05:丨 to about 0·25.1′ and preferably from about 0.075:1 to the etchant. About 0 2:1; and the solvent is from about 1:1 to about 40:1, preferably from about 2:1 to about 30:1, and most preferably from about 3:1 to about 25:1, relative to the surfactant. · Or 'green removal composition can be adjusted in the following concentrated examples, wherein all percentages are based on the total weight of the formulation by weight: _**% better (weight 汾 good (%) to about 3% ..._ Ζ^ΜΪο%~~ST%I^To%~ _0[about 25%^〇l%£m〇% ιο/^ 玷10〇, the weight percentage ratio of group injury is: solvent vs. interface The active agent is from about 1:1 to about 15:1, preferably from about 1:1 to about 10:1, and most preferably from about 7 to about 7:1. The insecticide is about 1 相对 relative to the surfactant. From about 1 to about 6 〇 1, preferably from about 5:1, and most preferably from about 25:1 to about 5:: the oxidizing agent is from about 1:1 to about 25:1 relative to the surfactant. Preferably from about 1:1 to about 2 Å: and most preferably from about 5:1 to about 15:1; and the chelating agent is from about 〇丄1 to about 25:1', preferably about 1:1, relative to the surfactant. Up to about 2:1, and optimally about 5:1 to about 15: similar to the fourth aspect, hydrochloric acid may be added to the fifth aspect of the removal composition to cause the microelectronic device substrate during the regeneration process The pit is minimized. In the case of 132222.doc -48- 200918664, the group can be added to the fifth aspect of the removal composition. The total weight of the product is from about 0.10% by weight to about 5% by weight. The concentrated fertilizer, preferably about 重量% by weight to about 4% by weight. /. Desert Ηα' and more preferably about 5% by weight to about 3 weights. When using a non-deflected HCI solution as an alternative, those skilled in the art will be able to: calculate the new weight percentage. In other words, the weight percentage ratio of the component ranges from: oxidant to concentrated HC1 is about Gi :i to about (four), preferably about 1:1 to about 8:1, Nissan milk 1.1 = 仏, , spoon. 1 to, force 7:1; silver engraving (such as HF) relative to concentrated HC1 It is from about 1:1 to about 25:1, preferably from about 〇 to about 2 〇: ι, and most preferably from about 5:1 to about 2G:1; the surfactant is 1:1 with respect to the thickener. Preferably, it is from 1:1 to about 1:1; the chelating agent is about 〇丄1 to (4) with respect to concentrated HC1: preferably from about 1:1 to about 8:1, and most preferably about 1:1. To about 71. The solvent is about 1:1 to about 1 Torr:1, preferably about 55:1 to about 5:1, and most preferably from about 0.5:1 to about 4:1.

在第五態樣之—电乂 rU 子裝置㈣。L 移除組合物係用於再生微電 " 、σ之,可自微電子裝置結構移除一可移除 層或一個以上可移除層。 ” 在第五態樣之另—眘# /丨丄 田认$ 實轭例中,第五態樣之移除組合物可 用於再加工微電子裝詈 置、、、°構,藉此移除結構背面及/或斜 邊上之含有聚合物之藉g ώ ^ ^ 含有聚人物之稽罢 構之背面及/或斜邊移除 前側免於暴露H方法可能(並非必要)要求保護結構之 组合物。該方法可包括將該結構安置於使 用惰性氣體(例如氮氣)及Η 土姑 文罝π使 單個曰11 m 或去離子水噴霧保護晶圓前側的 早個日日圓工具中。或 其他伴1 @ s 可藉由在前側上沈積厚光阻層或 塗層聚合物來保護前側。換言之,若結構前側 132222.doc -49- 200918664 包括在清潔背面及或斜邊時不應暴露於第五態樣之移除組 合物的圖案化及/或毯覆材料’則前側應經保護。在另_ 實施例中’前側及背面/斜邊皆暴露於第五態樣之移除組 合物以同時自前側(例如,低-K介電材料等)及背面/斜邊 (例如’含有聚合物之積累及含銅材料)移除材料。 使用第一至第五態樣之移除組合物移除的低1介電材料In the fifth aspect - the electric 乂 rU sub-device (four). The L removal composition is used to regenerate the micro-electricity ", σ, which may remove a removable layer or more than one removable layer from the microelectronic device structure. In the fifth aspect of the other - Shen # / 丨丄田 recognition $ y yoke example, the fifth aspect of the removal composition can be used to reprocess the microelectronic device, the structure, thereby removing The backside of the structure and/or the beveled edge of the polymer containing g ώ ^ ^ contains the back side of the polycharacter and/or the beveled edge to remove the front side from exposure to the H method may (not necessarily) require a combination of protective structures The method may include placing the structure in an early Japanese yen tool that uses an inert gas (e.g., nitrogen) and a 曰11 m or deionized water spray to protect the front side of the wafer. 1 @ s protects the front side by depositing a thick photoresist layer or coating polymer on the front side. In other words, if the front side 132222.doc -49- 200918664 of the structure is included in the clean back and or beveled edge, it should not be exposed to the fifth. The patterning and/or blanket material of the removal composition of the aspect should be protected. In the other embodiment, the front side and the back side/bevel are exposed to the fifth aspect of the removal composition simultaneously. From the front side (for example, low-K dielectric materials, etc.) and back/beveled edges (example) 'The polymer-containing buildup and copper-containing material), removal of material of low dielectric materials of the first to fifth aspect of the removal composition removed

包括 CORAL™、BLACK DIAMOND™(下文 BD)、CORALIncludes CORALTM, BLACK DIAMONDTM (BD below), CORAL

衍生物、BD衍生物、AURORA®、AURORA®衍生物等。 如本文所用’ "CORAL衍生物”及”BD衍生物”分別對應於使 用替代(通常為專有)沈積法沈積之(:〇11八1^及BD材料。不 同處理技術之利用將導致分別不同於coraltm及black DIAMOND™之 CORAL及 BD材料。 第一至第五恶樣之移除組合物自微電子裝置之表面有纹 同時移除含有聚合物之積累、金屬堆疊材料、低介電 層、高-κ介電層、蝕刻終止層、氮化物、矽化物 '氧化 物、障壁層、光阻、触刻後殘餘物、雜項材料、摻雜區域 (並非經摻雜磊晶Si)及/或其他材料中之至少一者。舉例而 言’如熟習此項技術者可容易地確定,移除組合物;自微 :子裝置前側有效移除低妨電材料,同時自微電子裝置 背面及/或斜邊移除聚合物及其他殘餘物。因& : 於微電子裝置製造摔作時,太令私、田心用 料纟文所述之移除組合物適用於 早- ’再加工步驟中自微電子裝置結構移除至少一 以:各物組成之群的材料以再循環及/或再使用 s亥等4口構·低-杧介電材料 人咖丄, 电柯料、间-κ介電材料、蝕刻終止層、 132222.doc -50· 200918664 ΟDerivatives, BD derivatives, AURORA®, AURORA® derivatives, etc. As used herein, '"CORAL derivatives" and "BD derivatives" respectively correspond to deposition using alternative (usually proprietary) deposition methods (: 〇11八1^ and BD materials. The use of different processing techniques will result in separate Unlike CORAL and BD materials of coraltm and black DIAMONDTM. The first to fifth sample removal compositions are grained from the surface of the microelectronic device while removing polymer-containing buildup, metal stack material, low dielectric layer , high-κ dielectric layer, etch stop layer, nitride, germanide 'oxide, barrier layer, photoresist, post-etch residue, miscellaneous material, doped region (not doped epitaxial Si) and / Or at least one of the other materials. For example, as one skilled in the art can readily determine, remove the composition; from the micro: the front side of the sub-device effectively removes the low-lying electrical material while simultaneously from the back of the microelectronic device / or oblique edges to remove polymer and other residues. Because & : When the microelectronic device is manufactured, the removal composition described in the article is suitable for early-reprocessing. Removing at least the structure of the microelectronic device in the step First, the materials of each group are recycled and/or reused, such as shai, etc., low-杧 dielectric materials, coffee, inter-kappa dielectric materials, etch stop layers, 132222.doc -50· 200918664 Ο

金屬堆疊材料、氮化物、矽化物、氧化物、光阻、障壁 層、含有聚合物之積累、鐵電體、雜項材料、摻雜區域 (非經摻雜磊晶Si)及其組合。第一至第五態樣之移除組合 物滿足上述再生要求以及再加工/清潔要求。此外,因為 低TTV,再使用前可能不需要通常用於當前再生實踐之化 學機械拋光(CMP)步驟(亦即在濕式移除材料後使基板平坦 化)來使晶圓前側或背面平坦化。或者’ CMP步驟之參數 可經改變使得大大降低能量要求,例如使拋光時間長度縮 短等。最佳地,自微電子裝置基板移除材料後,TTV小於 3% ’更佳小於1 %且最佳小於0.5%。 此外’第一至第五態樣之移除組合物滿足再加工要求, 例如實現自裝置基板之最外邊緣及背面實質移除光阻、含 有聚合物之積累及/或電鍍銅而不實質損壞待保留之層。 與先前技術(例如物理拋光邊緣、乾式電漿蝕刻、燃燒等) 之再加工組合物不同,待自微電子裝置結構移除之至少一 種材料可使用濕式溶液以單步移除。 如熟習此項技術者容易地確定,應瞭解本文揭示之第一 至第五態樣之移除組合物中之任一者可用於(CMp)處理期 間,亦即使銅平坦化及移除障壁層材料以加紅d〇及豆他 低-κ介電材料之移除。當應用要求在銅層上終止(例如在 ⑽處理期間)且移除組合物(例如,第一至第五態樣中之 任-者)包括至少一種螯合劑時,移除組合物較佳進_步 ^種銅鈍化劑物質。預期之銅純劑化 (但不限於)1,2,4-***、菜其—u 匕枯 本开二哇(BTA)、曱苯基***、5_ 132222.doc 200918664 苯基-笨并***、5-硝基-笨并***、3_胺基_5_酼基4,2,4- 二。 坐、1-胺基_1,2,4_三峻、經基苯并三嗤、2-(5-胺基··戊 基)-苯并***、1-胺基-1,2,3_***、1-胺基-5-甲基-1,2,3-Metal stack materials, nitrides, tellurides, oxides, photoresists, barrier layers, polymer-containing buildup, ferroelectrics, miscellaneous materials, doped regions (non-doped epitaxial Si), and combinations thereof. The first to fifth aspect of the removal composition meets the above regeneration requirements as well as rework/cleaning requirements. In addition, because of the low TTV, the chemical mechanical polishing (CMP) step typically used for current regeneration practices (ie, planarizing the substrate after wet removal of the material) may be eliminated prior to reuse to planarize the front or back side of the wafer. . Alternatively, the parameters of the 'CMP step can be varied to greatly reduce energy requirements, such as shortening the length of polishing time, and the like. Most preferably, the TTV is less than 3% 'more preferably less than 1% and most preferably less than 0.5% after the material is removed from the substrate of the microelectronic device. In addition, the first to fifth aspect of the removal composition meets the rework requirements, such as substantial removal of photoresist from the outermost edges and backside of the device substrate, accumulation of polymer and/or electroplating of copper without substantial damage. The layer to be retained. Unlike rework compositions of the prior art (e.g., physical polishing edges, dry plasma etching, combustion, etc.), at least one material to be removed from the microelectronic device structure can be removed in a single step using a wet solution. As will be readily appreciated by those skilled in the art, it will be appreciated that any of the first to fifth aspects of the removal compositions disclosed herein can be used during (CMp) processing, even if the copper is planarized and the barrier layer is removed. The material was removed with a reddish d〇 and a low-kappa dielectric material. When the application requires termination on the copper layer (eg, during (10) processing) and removal of the composition (eg, any of the first to fifth aspects) includes at least one chelating agent, the removal of the composition is preferred. _ Step ^ copper passivator material. Expected copper sterilisation (but not limited to) 1,2,4-triazole, phyto-u 匕 本 开 二 哇 哇 (BTA), 曱 phenyl triazole, 5 _ 132222.doc 200918664 phenyl-stupid Triazole, 5-nitro-stuppyrazole, 3-amino-5-indenyl 4,2,4-di. Sit, 1-amino-1,2,4_tris, p-benzotriazine, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2, 3_triazole, 1-amino-5-methyl-1,2,3-

C 三。 坐、3-胺基-1,2,4-***、3-酼基-1,2,4-***、3-異丙基-1’2’4 -二σ坐、5-笨基硫醚-笨并三。坐、鹵基_苯并三。坐(鹵基 =F、C卜Br或I)、萘幷***、2_巯基苯并咪唑(ΜΒΙ)、2·疏 基本并°塞。坐、4-甲基-2-苯基殊σ坐、2-疏基嗟嗤琳、5-胺基 四唑(八丁八)、5-胺基-1,3,4-噻二唑_2_硫醇、2,4-二胺基-6_ 甲基-1,3,5-二嗪、噻唑、三嗪、曱基四唑、二曱基_2_ 咪唑啶_、1,5-五亞甲基四唑、卜苯基_5_巯基四唑、二胺 基曱基二嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪 坐4_曱基-4Η-1,2〆-三峻-3-硫醇、5-胺基-1,3,4-»塞二唾_ 2-硫醇、苯并嘆唑、碌酸三甲苯酷、吲二嗤㈣iaz〇ie)及 其組合。諸如草酸、丙二酸、丁二酸、氮基三乙酸、亞胺 :二乙酸及其組合之二及多元羧酸亦為有用之嗣鈍化劑物 質。本文亦預期移除組合物可經諸如水之溶劑稀釋且用作 化學機械拋光(CMP)後組合物以移除CMp後殘餘物,包括 (但不限於)來自拋光漿料之粒子、富集碳之粒子、拋光墊 載粒子、設備構造材料粒子、銅、氧化銅及 料 ^❹物的任何其他材料。當用於⑽後應 用時,濃縮移除組合物可以約丨:〗 、 心 物之銥圊鍤纆甘士·、’、勺1000:1的溶劑對濃縮 物之範圍稀釋,其尹溶劑可為 . 久/或有機溶劑。 在又一恶樣中,如熟習此項技術 Z ^ τ ^ ^ 音可谷易地確定,第一 至第五憑樣之移除組合物可經緩衝立 約5至約8,較佳約 I32222.doc •52· 200918664 5.5至約7範圍中之 化(例如鋼排水系統及1他Γ1Γ 材料之腐韻最小 不限於)有機四級驗、鹼全屬;包括(但 m舲, 金屬鹼、鹼土金屬鹼、有機胺、 烷氧化物、醯胺及其組合。 ..,^ _ 特疋s之,緩衝物質可包括 三丁美/ΓΓ基敍、氨氧化节基三乙基錄、氫氧化辛基 &amp;土* Α氧化一甲基二乙基敍、氯氧化四甲基錢、氫 氧:四^基鉍、氫氧化四丙基銨、氫氧化四丁基銨、氫氧 化叙風氧化奸、氫氧化絶、氫氧化飯、氫氧化院基鱗及 其何生物、苯胺、笨并味唾、f基胺、卜丁胺、正丁芙 胺、環己炫胺、二異丁基胺、二異丙基胺、二甲基胺、; 烧醯胺、乙院胺、乙基胺、乙二胺、“己院胺、丨,6-己二 胺&quot;比嗪&quot;達嗪、脲' N-甲基„比洛。定_、二甘醇胺、口比 咬、二乙胺、單乙醇胺、三乙醇胺、胺基乙基乙醇胺、N_ 甲基胺基乙醇、胺基乙氧基乙醇、〔甲基胺基乙氧基乙 醇、二乙醇胺、N-曱基二乙醇胺、2甲氧基吡啶、異噁 唑、1,2,4***及其衍生物及組合。 在本發明之第六態樣中,移除組合物經調配以自其上具 有碳化矽(SiC)及摻氮之SiC(SiC:N)之微電子裝置移除碳化 矽(SiC)及摻氮之SiC(SiC:N)。碳化矽及siC:N因為可在高 溫、高功率及在高頻率下操作而廣泛用於工業中,目前, S i C及S i C: N係使用反應性離子姓刻、電渡姓刻及電化學或 光電化學触刻來進行#刻。在此項技術中,調配將能夠有 效且高效率地蝕刻SiC及SiC:N之水溶液將為顯著有利的。 為此目的,第六態樣之移除組合物包括至少一種蚀刻劑 132222.doc -53 · 200918664 源(=如氟化物源)、至少、一種無機酸、至少一種氧化劑及 視h況之水’其中移除組合物適用於自其上具有邮及/或 SiC:N之微電子裝置結構移除批及/或sic:N。應理解一些 組份可包括水,且因此可選之水組份表示向溶液中添加: 額外水,並非累積量之水。 在第六態樣之廣泛實踐中,移除組合物可包含、由或基 本上由至少一種钮刻齊j、至卜種無機豸、至少—種氧化 劑及視情況之水組成。-般而言,熟習此項技術者無需過 度實驗可容易地測定,敍刻劑源、無機酸、氧化劑及可選 之水相對於彼此之特定比例及量可合適的變化以提供組合 物對Sic、SiC:N之所要移除作用及/或加工設備。 較佳無機酸包括 HC1、HN〇3、h2S〇4、HBr、HI、 HC104、h2so3、HN〇2、则〇3及 h3p〇4,最佳 h2S〇4。較 佳氧化劑包括本文所列者,最佳為H202、過硫酸氫鉀、過 氧硫酸銨及其組合。較佳蝕刻劑包括HF、氟化銨、二氟化 敍⑽心)、氟蝴酸、吡啶:HF、三乙醇胺:HF、氟化 鉀、六氟矽二銨((NH4)2SiF6)及其組合。 較佳地,第六態樣之實施例係以濃縮形式存在且可包 括、由或基本上由以組合物總重量計由以下範圍存在之以 下組份組成:C III. Sit, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1'2'4-di-sigma, 5-phenyl Thioether - stupid and three. Sitting, halogen _ benzotriene. Sitting (halogen = F, C Bra or I), naphthoquinone triazole, 2_mercaptobenzimidazole (ΜΒΙ), 2·basis and ° plug. Sit, 4-methyl-2-phenyl sigma, 2-sodium phthalocyanine, 5-aminotetrazole (octabutyl), 5-amino-1,3,4-thiadiazole_2 _thiol, 2,4-diamino-6-methyl-1,3,5-diazine, thiazole, triazine, decyltetrazole, dimercapto-2_imidazole pyridine, 1,5-five Methyltetrazole, phenylphenyl-5-mercaptotetrazole, diaminomercaptodiazine, mercaptobenzothiazole, imidazolinthione, mercaptobenzopyrene 4_mercapto-4Η-1,2〆- Sanjun-3-thiol, 5-amino-1,3,4-»cetaxan-2-thiol, benzopyrazole, trimethylbenzene, diterpene (tetra) iaz〇ie) and combinations thereof . Di- and polycarboxylic acids such as oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, imine: diacetic acid and combinations thereof are also useful passivation materials. It is also contemplated herein that the removal composition can be diluted with a solvent such as water and used as a chemical mechanical polishing (CMP) post-removal to remove residues after CMp, including but not limited to particles from the polishing slurry, enriched carbon Particles, polishing pad-loaded particles, equipment construction material particles, copper, copper oxide, and any other material of the material. When used in (10) post-application, the concentrated removal composition may be diluted with: , 心 铱圊锸纆 · 、, ', spoon 1000:1 solvent dilution range of the concentrate, the Yin solvent may be Long time / or organic solvents. In still another evil sample, as is well known in the art, the first to fifth sample removal compositions can be buffered for about 5 to about 8, preferably about I32222. Doc •52· 200918664 Between 5.5 and about 7 (eg steel drainage system and 1 Γ1Γ material is minimally limited) organic quaternary and alkali-based; including (but m舲, metal alkali, alkaline earth metal) Alkaloids, organic amines, alkoxides, decylamines, and combinations thereof. . . , ^ _ 疋 s, buffer substances may include triparthrin / thiophene, ammoxidation succinyl triethyl, octyl hydroxide &amp; soil* Α 一 甲基 二 二 、 、 、 、 、 、 、 、 、 、 、 、 、 氯 氯 氯 氯 氯 氯 氯 氯 氯 氯 氯 氯 、 、 、 、 、 、 、 、 、 、 、 Hydration, rice hydroxide, hydroxide base scale and its organism, aniline, stupid saliva, f-amine, butaamine, n-butyramine, cyclohexylamine, diisobutylamine, two Isopropylamine, dimethylamine, decylamine, phenylamine, ethylamine, ethylenediamine, "hexylamine, hydrazine, 6-hexanediamine", "pyrazine", azine, urea N- Bases Bilo. Dinger, diglycolamine, mouth bite, diethylamine, monoethanolamine, triethanolamine, aminoethylethanolamine, N-methylaminoethanol, amine ethoxyethanol, [methyl Aminoethoxyethanol, diethanolamine, N-decyldiethanolamine, 2methoxypyridine, isoxazole, 1,2,4 triazole, and derivatives and combinations thereof. In the sixth aspect of the invention The removal composition is formulated to remove tantalum carbide (SiC) and nitrogen-doped SiC (SiC:N) from a microelectronic device having tantalum carbide (SiC) and nitrogen-doped SiC (SiC:N) thereon.矽 and siC:N are widely used in industry because they can be operated at high temperature, high power and high frequency. At present, S i C and S i C: N series use reactive ion surnames, electric ferrites and electrification Learning or photoelectrochemical contact engraving. In this technique, it will be significantly advantageous to formulate an aqueous solution of SiC and SiC:N that can effectively and efficiently etch. For this purpose, the sixth aspect is removed. The composition includes at least one etchant 132222.doc -53 · 200918664 source (= as fluoride source), at least one inorganic acid, at least one oxidant </ RTI> The water-removing composition is suitable for removing batches and/or sic:N from a microelectronic device structure having zip and/or SiC:N thereon. It should be understood that some components may include water, and thus An optional water component means adding to the solution: additional water, not a cumulative amount of water. In a broad practice of the sixth aspect, the removal composition can comprise, consist of, or consist essentially of at least one button, Inorganic bismuth, at least one oxidizing agent and, as the case may be, water composition. Generally speaking, those skilled in the art can easily determine without undue experimentation, the source of scenting agent, inorganic acid, oxidant and optional water are relatively The specific ratios and amounts of each other may be suitably varied to provide the desired removal of the composition to Sic, SiC:N and/or processing equipment. Preferred inorganic acids include HC1, HN〇3, h2S〇4, HBr, HI, HC104, h2so3, HN〇2, then 〇3 and h3p〇4, and the best h2S〇4. Preferred oxidizing agents include those listed herein, most preferably H202, potassium persulfate, ammonium peroxy ammonium sulfate, and combinations thereof. Preferred etchants include HF, ammonium fluoride, difluorinated (10) core, fluoroflavic acid, pyridine: HF, triethanolamine: HF, potassium fluoride, hexafluoroiridragon ((NH4)2SiF6), and combinations thereof . Preferably, the sixth embodiment is present in a concentrated form and may comprise, consist of, or consist essentially of the following components present in the following ranges based on the total weight of the composition:

無機酸 約30重量%至約95重量% 氧化劑_ 可選1水— 約5重量%至約40重量% 較佳/重量% 約3重1%至約2〇會晉〇/n 約55重量%至簡重量% ^315重量%至_曹詈%The inorganic acid is from about 30% by weight to about 95% by weight. The oxidizing agent _ optional 1 water - about 5% by weight to about 40% by weight. Preferably / weight% about 3 weights 1% to about 2 〇 will be 〇 / n about 55% by weight To simple weight% ^315% by weight to _ Cao詈%

132222.doc •54- 200918664 且pH值係在約〇至約4,較佳約丨至約3之範圍。 第六態樣之移除組合物可進一步包括其中之sic及/或 SiC:N材料殘餘物。較佳地,材料殘餘物溶解於移除組合 物中且移除組合物仍可用於繼續使用。 此態樣可調配於以下調配物S1-S19中,其中所有百分比 係基於調配物之總重量以重量計: 調配物SI : H2S〇4(濃)73.5重量% :過硫酸氫鉀24.5重量 °/〇 ; ^^(49°/。)2重量 % 調配物S2 : ΗβΟ4(濃)84.7重量% ;過硫酸氫鉀9.6重量% ; HF(49%)5.7重量 % 調配物S3 : H2S04(濃)68重量。/。;過硫酸氫鉀22.7重量% ; HF(49%)9.3 重量 % 調配物 S4 : H2S04(濃)68 重量 % ; H2〇2 24.5 重量。/〇 ; HF(49°/〇)2重量0/〇 調配物S5 : H2S〇4(濃)68.2重量% ;過硫酸氫鉀22.7重量 % ; HF(49%)9.1 重量 0/〇 調配物S6 : H2S04(濃)56.8重量% ;過硫酸氫鉀22.7重量 %;1^(49°/())9.1重量%;:«20 11.4重量% 調配物S7 : H2S〇4(濃)45.5重量% :過硫酸氫鉀22.7重量 %;1^(49°/。)9.1重量%;出0 22.7重量% 調配物S8 : H2S〇4(濃)56.8重量% ;過硫酸氫鉀22.7重量 % ; HF(49°/〇)20.5f 量 % 調配物S9 : H2S〇4(濃H5.5重量% ;過硫酸氫鉀22.7重量 % ; HF(49%)31.8重量 % 132222.doc -55- 200918664 調配物SIO : H2S〇4(濃)68.2重量% ;過硫酸氫鉀18 2重量 % ; HF(49%)9.1 重量。/〇 ; (NH4)2S2〇8 4.5重量% 調配物S 11 : H2S〇4(濃)68.2重量% ;過硫酸氫钟22.7重量 % ; NH4F 9.1重量% 調配物812:1128〇4(》農)68.2重量%;過硫酸氫鉀22.7重量 % ; (NH4)2SiF6 9.1重量% 調配物813:1^28〇4(》農)68.2重量%;過硫酸氫卸22.7重量 % ; HBF4 9.1重量 %132222.doc • 54- 200918664 and the pH is in the range of from about 〇 to about 4, preferably from about 丨 to about 3. The sixth aspect of the removal composition may further comprise a sic and/or SiC:N material residue therein. Preferably, the material residue is dissolved in the removal composition and the removal composition is still available for continued use. This aspect can be formulated in the following formulations S1-S19, wherein all percentages are by weight based on the total weight of the formulation: Formulation SI: H2S〇4 (concentration) 73.5 wt%: potassium hydrogen persulfate 24.5 wt%/ ^; ^^(49°/.) 2% by weight Formulation S2: ΗβΟ4 (concentration) 84.7 wt%; potassium hydrogen persulfate 9.6 wt%; HF (49%) 5.7 wt% Formulation S3: H2S04 (concentration) 68 weight. /. ; potassium persulfate 22.7 wt%; HF (49%) 9.3 wt% formulation S4: H2S04 (concentrated) 68 wt%; H2〇2 24.5 wt. / 〇; HF (49 ° / 〇) 2 weight 0 / 〇 formulation S5: H2S 〇 4 (concentration) 68.2% by weight; potassium hydrogen persulfate 22.7% by weight; HF (49%) 9.1 weight 0 / 〇 formulation S6 : H2S04 (concentrated) 56.8 wt%; potassium hydrogen persulfate 22.7 wt%; 1 ^ (49 ° / ()) 9.1 wt%;: «20 11.4 wt% Formulation S7: H2S〇4 (concentration) 45.5 wt%: Potassium hydrogen persulfate 22.7 wt%; 1 ^ (49 ° /.) 9.1 wt%; 0 22.7 wt% formulation S8: H2S〇4 (concentration) 56.8 wt%; potassium hydrogen persulfate 22.7 wt%; HF (49 °/〇) 20.5f % by weight of formulation S9: H2S〇4 (concentrated H5.5 wt%; potassium persulfate 22.7 wt%; HF (49%) 31.8 wt% 132222.doc -55- 200918664 Formulation SIO: H2S〇4 (concentrated) 68.2% by weight; potassium hydrogen persulfate 18 2% by weight; HF (49%) 9.1 by weight. /〇; (NH4)2S2〇8 4.5% by weight Formulation S 11 : H2S〇4 (concentrated) 68.2% by weight; hydrogen perchlorate clock 22.7% by weight; NH4F 9.1% by weight Formulation 812: 1128〇4 ("Agriculture) 68.2% by weight; Potassium hydrogen persulfate 22.7% by weight; (NH4)2SiF6 9.1% by weight Formulation 813: 1^28〇4 ("Nong) 68.2% by weight; hydrogen persulfate unloading 22.7% by weight; HBF4 9.1% by weight

C 調配物S 14 . H2S〇4(濃)68.2重量。/〇 ;過硫酸氫鉀22.7重量 % ;吡啶:HF 9.1重量% 調配物S 1 5 . H2S〇4(濃)68.2重量% ;過硫酸氫斜22.7重量 % ; NH5F2 9.1重量% 調配物S10 : H2S04(濃)68,2重量% ;過硫酸氫鉀22 7重量 % ; TEA : HF 9.1 重量 % 調配物S17 : H2S04(濃)68.2重量% ;過硫酸氫鉀22.7重量 % ; KF 9.1 重量% 調配物S 1 8 : HjO4(濃)62.5重量% ;過硫酸氫鉀2〇83重量 〇/〇 ; NH5F2 8.33 重量 °/。; NH4F 8.33 重量 % 調配物S19 : H2S04(濃)62.5重量% ;過硫酸氫鉀2(),83重量 % ’ NH5F2 8_33 重量 % ; KF 8.33 重量 % 較佳地’組份之重量比率節圚幺. “… 乾圍為.無機酸相對於蝕刻劑 為約1:1至約20:1,較佳約3.丨至 •丄至約15:1 ;及氧化劑, 蝕刻劑為約0.1:1至約1 〇: 1,較伟 對於 佳為約1:1至約5 · 1。 方法及套組 132222.doc * 56 - 200918664 藉由簡單添加各別成份且混合至均勻條件而容易地調配 移除組合物。此外,移除組合物可容易地調配為單獨封裝 調配物或在使用時混合之多部分調配物。多部分調配物之 個別邛分可在工具處或工具上游之儲存槽中混合。在特定 夕種移除組合物中各別成份之濃度可廣泛變化(例如更稀 釋或更/辰縮)且應瞭解移除組合物可不同地且替代地包 J由或基本上由與本文揭示内容一致之成份的任何組合C Formulation S 14 . H 2 S 〇 4 (concentrated) 68.2 by weight. /〇; potassium hydrogen persulfate 22.7% by weight; pyridine: HF 9.1% by weight Formulation S 1 5 . H2S〇4 (concentrated) 68.2% by weight; hydrogen persulfate oblique 22.7% by weight; NH5F2 9.1% by weight Formulation S10: H2S04 (concentrated) 68, 2% by weight; potassium hydrogen persulfate 22 7 wt%; TEA: HF 9.1 wt% Formulation S17: H2S04 (concentrated) 68.2% by weight; potassium hydrogen persulfate 22.7% by weight; KF 9.1% by weight Formulation S 1 8 : HjO4 (concentrated) 62.5 wt%; potassium hydrogen persulfate 2 〇 83 wt 〇 / 〇; NH5F2 8.33 weight ° /. NH4F 8.33 wt% Formulation S19: H2S04 (concentrated) 62.5 wt%; potassium hydrogen persulfate 2 (), 83 wt% 'NH5F2 8_33 wt%; KF 8.33 wt% Preferably 'component weight ratio frugal "... dry circumference is: inorganic acid relative to the etchant is from about 1:1 to about 20:1, preferably from about 3. 丨 to 丄 to about 15:1; and oxidizing agent, etchant is about 0.1:1 to Approximately 1 〇: 1, Optimus for good is about 1:1 to about 5 · 1. Method and set 132222.doc * 56 - 200918664 Easy to remove by simply adding individual ingredients and mixing to uniform conditions In addition, the removal composition can be readily formulated as a separate package formulation or a multi-part formulation that is mixed at the time of use. Individual fractions of the multi-part formulation can be mixed in a reservoir at the tool or upstream of the tool. The concentration of the individual components in the particular removal composition can vary widely (e.g., more dilute or more) and it is understood that the removal composition can be varied and alternatively packaged by or substantially as disclosed herein. Any combination of ingredients

、成在實知例中,移除組合物之漠縮物為無水的且可 由使用者在加工時添加水。 因此’另-態樣係關於第—至第五態樣中所述之組合物 ::農縮調配物’其具有低量水及/或溶劑或不具有水及/或 浴劑’其中可在使用前添加水及/或溶劑以形成本文所述 ^移除組合物農縮調配物可以約ι:ι〇至刚⑽溶劑對浪 :物之範圍稀釋’纟中溶劑可為水及/或有機溶劑。在— 、施例中,移除組合物之濃縮物為無水的且可由使用者在 加工時添加皮。 $ —癌、樣係關於在一或多個交 ,,^ 飞夕個合态中包括一或多種用以形 成本文所述之移除組合物 伤的套組。套組可在-或多 個今态中包括至少一 长 〜卞丨 v種胺、風鼠酸、視情況至少一種有機 /合別、視情況至少一種螯合 #主 σ ^視炀況至少一種額外酸及 及之水以供在加工時原樣組合或與稀釋劑(例如,水 二或有機溶劑)組合。或者,套組可包括至少一種胺、氨 氟馱、至少一種有機溶劑、 以供在&amp; ± 至夕一種頜外酸及視情況之水 乂択在加工時原樣組合 飞興稀釋劑(例如,水及/或有機溶 132222.doc •57. 200918664 劑)組合。在又一實施例中,套組可包括至少一種胺、氫 氟酸、至少一種有機溶劑及視情況之水以供在加工時原樣 組合或與稀釋劑(例如,水及/或有機溶劑)組合。在又一替 代中,套組可在一或多個容器中包括至少一 鹽、額外氯氣酸、至少一種有機溶劑、視情況之水 況至少-種額外酸以供在加工時原樣組合或與稀釋劑⑼ 如’水及/或有機溶劑)組合。In a practical example, the absorbent material of the removal composition is anhydrous and the user can add water during processing. Thus the 'other aspect' relates to the composition described in the first to fifth aspects: the agricultural shrinkage formulation 'which has a low amount of water and/or solvent or no water and/or bath' Adding water and/or solvent prior to use to form the removal composition of the invention described herein can be about ι: ι to just (10) solvent to wave: range of dilutions. The solvent can be water and/or organic. Solvent. In the embodiment, the concentrate of the removed composition is anhydrous and can be added by the user during processing. $—Cancer, a sample comprising one or more sets of wounds to form a removal composition as described herein in one or more of the intersections. The kit may include at least one long ~ 卞丨v amine, wind icyric acid, optionally at least one organic/combination, optionally at least one chelation, at least one of Additional acids and water are provided for combination as such or in combination with a diluent (eg, water or an organic solvent). Alternatively, the kit may comprise at least one amine, ampicoxine, at least one organic solvent, for use in a &amp; Water and / or organic soluble 132222.doc • 57. 200918664 agent) combination. In yet another embodiment, the kit can include at least one amine, hydrofluoric acid, at least one organic solvent, and optionally water for combination as such or in combination with a diluent (eg, water and/or organic solvent) during processing. . In still another alternative, the kit may include at least one salt, additional chlorine acid, at least one organic solvent, optionally, at least one additional acid in one or more containers for as-synthesized or diluted during processing. Agent (9) such as 'water and / or organic solvent" combination.

U 或者,套組可在-或多個容器中包括氫氟酸、至少_種 有機溶劑、視情況至少一種螯合劑、視情況之水及視情況 至少-種有機酸以供在加工時原樣組合或與稀釋劑(例 如’水及/或有機溶劑)組合。在另一實施财,套組可在 -或多個容器中包括氫氟酸、至少一種有機溶劑、至少一 種氧化劑、至少—種銅螯合劑及視情況之水以供在加工時 原樣組合或與稀釋劑(例如’水、有機溶劑及/或氧化劑)植 西又—實施财,套組可在—或多個容器中包括氣氣 -、至&quot;界面活性劑、視情況之水、視情況至少一種 ㈣溶劑、視情況至少—種有機酸及至少__合劑以供 严加工時原樣組合或與稀釋劑(例如,水、有機溶劑及/或 ^匕劑)組合。如熟習此項技術者可容易地確$,應瞭解 組可包括呈任何組合之前述實施例之組份中之任一者。 套組之容器應被化學評定以儲存及分配其 :°h'例而言’套組之容器可為卿㈣容器㈤伽cedU Alternatively, the kit may comprise hydrofluoric acid, at least one organic solvent, optionally at least one chelating agent, optionally water, and optionally at least one organic acid, in one or more containers for processing as is. Or in combination with a diluent such as 'water and/or organic solvent. In another implementation, the kit may include hydrofluoric acid, at least one organic solvent, at least one oxidizing agent, at least one copper chelating agent, and optionally water, in one or more containers for as-is or as Thinners (such as 'water, organic solvents and / or oxidants) planted in the West - implementation of the package, the kit can be included in - or a number of containers - gas, to &quot; surfactants, depending on the situation, as appropriate A (iv) solvent, optionally at least one organic acid and at least a mixture, is used as such for combination with a diluent or in combination with a diluent (for example, water, an organic solvent and/or a chelating agent). As will be readily apparent to those skilled in the art, it will be appreciated that the group can include any of the components of the foregoing embodiments in any combination. The container of the kit should be chemically assessed for storage and distribution: °h' case for example, the container of the kit can be a (four) container (five) gamma ced

Techno㈣ Materials,Ine Danbury,c〇nn,usA)。 在另-態樣中,套組可經封裝且針對極特定材料之移 132222.doc -58- 200918664 :成=組與使用者需求協調具有使使用者的移除組合物 =成本攻小化以及降低微電子裝置結構/基板對非必要化 ;=露的優勢。舉例而言,若使用者傾向於自微電子 、° #除氧化物’則適當地添加基於敍刻劑(例如, =)之添加劑。若使用者意欲移除金屬,則適當添加所選 氧化劑及/或螯合劑。若使用者意欲移除光阻及其他含聚 合物之材料,則可適當地添加水溶性有機溶劑。 Ο ϋ 為此目的’此態樣之實施例為基礎調配物,其中基於使 用者需求添加有所選組份。舉例而言,基礎調配物可為含 有蝕刻劑之調配物’例如含有册之調配物,包括至少一種 、、由X至ν種有機溶劑、至少一種界面活性劑及其 組合組成之群的額外組份。若待移除之材料包括至少一種 氧化物及至少-種金屬’則套組較佳包括基礎調配物及與 基礎凋配物此合之第一添加劑’其中該第一添加劑包括至 少一種氧化劑’視情況至少一種螯合劑及視情況之水。較 佳地,第-添加劑包括至少一種氧化劑,例如Η2〇2及至少 一種整合劑。較佳提供用法說明以通知使用者基礎調配物 與第-添加劑(視情況與額外之水)以何比率組合,從而實 質上移除氧化物及金屬材料。若待移除之材料包括至少一 種乳化物、含有聚合物之材料及光阻,則套組較佳包括基 礎調配物及與基礎調配物混合之第二添加劑,其中第二添 加劑包括至少一種有機溶劑、至少一種胺及/或水。較佳 提供用法說明以通知使用者基礎調配物與第二添加劑(視 情況與額外之水)以何比率組合,從而實質上移除氧化 132222.doc -59- 200918664 物'含有聚合物之材料及光阻。若待移除之材料包括至少 一種氧化物、至少一種金屬、含有聚合物之材料及光阻, 則套組較佳包括基礎調配物、用於與基礎調配物混合之第 添加劑及第二添加劑。較佳提供用法說明以通知使用者 基礎調配物與第一添加劑與第二添加劑(視情況與額外之 水)以何比率組合,從而實質上移除氧化物、金屬、含有 聚合物之材料及光阻。基礎調配物、第一添加劑及第二添 加剑較佳封裝於獨立容器中以供裝運。套組之容器應經化 學S平定以儲存及分配其中含有之組份。舉例而言,套組之 容器可為本文所述之N0wpak⑧容器。若待移除之材料進 一步包括至少一種硫族化物,則可向基礎調配物中添加較 佳至少一種無機酸’諸如HC1、HN03aH2S04。 在此悲樣之一實施例中’基礎調配物包括Ηρ ,且第二 添加劑包括至少一種胺,例如NMM〇。在此態樣之另—實 她例中,基礎調配物包括HF及至少一種有機溶劑,較佳為 二乙二醇丁基醚及環丁砜。在此態樣之另一實施例中,基 礎調配物包括HF及至少一種有機溶劑,例如二乙二醇丁基 醚及/或環丁砜,且第二添加劑包括至少一種氧化劑,諸 如Ηζ〇2及至少一種螯合劑,諸如cdtA。在另一實施例 中’基礎調配物包括HF及至少一種界面活性劑,且第—添 加劑包括至少一種氧化劑’諸如H2〇2及至少一種螯合劑, 諸如HEDP。在另一實施例中,基礎調配物包括HF、至少 一種界面活性劑及至少一種有機溶劑,諸如環丁颯。 除液體容液外’本文亦預期移除組合物可調配為泡床、 132222.doc • 60 - 200918664 煙務、緻岔流體(亦即,超臨界或亞臨界,其中溶叫為陕 水及/或有機溶劑以外或作為其替代之c〇2等)。 、 有利地,移除組合物使至少一種可移除材料在單—步驟 中自微電子裝置基板溶解或分層(亦即所有待移除材料可 藉由使不合格微電子裝置基板與單獨組合物以單次浸沒接 觸而被移除)。最佳地,在單—步驟中將可移除材^解 或分層,其限制條件為在再循環及/或再使用之前無需進 行機械拋光。如本文所定義,&quot;溶解&quot;包括固體溶質(例如待 移除之材料)進入溶劑形成溶液之過程。”溶解&quot;亦意欲包括 對待移除材料之蝕刻、分解、化學拋光及其組合。溶^具 有使顆粒物質(該顆粒物質隨後可停留於該基板上)之產2 最小化以及實質上消除移除設備之堵塞的優勢。 有利地’微電子裝置結構之剩餘層在移除加卫後實質上 為平滑的且未經㈣’較佳無需在額外製造過程(亦即, 例如低-κ介電質、高_κ介電質、光阻、金屬堆疊層、蝕刻 終止層等之新材料層之沈積過程)之前使前側及/或背面平 坦化。舉例而t 1在再生之後,剩餘層僅包括微電子裝 置基板及蟲晶Si層,則基板較佳準備好用於再循環/再使用 而無需昂貴且危及結構安全之機械拋光。 在又-態樣中描述自其上具有至少一種選自由以下各物 組成之群之材料層的微電子裝置移除該材料之方法:低κ 介電層、高-K介電材料、餘刻終止層、金屬堆疊材料:氮K 化物、石夕化物、氧化物、鐵電體、障壁層、光阻、ARC材 料、蚀刻後殘餘物 '含有聚合物之積累、摻雜區域及其組 132222.doc -61 - 200918664 合。舉例而言,可移除低-K介電材料同時保持下層基板及 钱刻終止層(例如,SiCN、SiCO、Sic、SiON、SiGe、 SiGeB、SiGeC、AlAs、InGaP、InP、InGaAs)與金屬堆疊 材料之完整性。或者,可移除低電層及金屬堆疊材料 同時保持下層基板及/或蝕刻終止層之完整性。在另一替 代中,可移除低-κ介電層、#刻終止層及金屬堆疊材料同 時保持下層基板之完整性。Techno (4) Materials, Ine Danbury, c〇nn, usA). In another aspect, the kit can be packaged and moved for very specific materials 132222.doc -58- 200918664: The group = coordination with the user's needs has the user's removal composition = cost reduction and Reduce the microelectronic device structure / substrate pair non-essential; = the advantage of dew. For example, if the user prefers to self-microelectronics, °#oxide removal&apos;, an additive based on a scenting agent (e.g., =) is suitably added. If the user desires to remove the metal, the selected oxidizing agent and/or chelating agent is suitably added. If the user desires to remove the photoresist and other polymer-containing materials, a water-soluble organic solvent may be appropriately added. Ο ϋ For this purpose, the embodiment of this aspect is a base formulation in which a selected component is added based on the needs of the user. For example, the base formulation can be an etchant-containing formulation, such as a book-containing formulation, including at least one additional group of X to ν organic solvents, at least one surfactant, and combinations thereof. Share. If the material to be removed comprises at least one oxide and at least one metal, the kit preferably comprises a base formulation and a first additive in combination with the base compound, wherein the first additive comprises at least one oxidant. In case at least one chelating agent and optionally water. Preferably, the first additive comprises at least one oxidizing agent, such as Η2〇2 and at least one integrator. Instructions are preferably provided to inform the user of the combination of the base formulation and the first additive (as appropriate with additional water) to substantially remove oxides and metallic materials. If the material to be removed comprises at least one emulsion, a polymer-containing material, and a photoresist, the kit preferably includes a base formulation and a second additive mixed with the base formulation, wherein the second additive includes at least one organic solvent At least one amine and/or water. Preferably, instructions are provided to inform the user of the ratio of the base formulation to the second additive (as appropriate, additional water) to substantially remove the oxidized material 132222.doc-59-200918664 Light resistance. If the material to be removed comprises at least one oxide, at least one metal, a polymer-containing material, and a photoresist, the kit preferably includes a base formulation, a first additive and a second additive for mixing with the base formulation. Preferably, instructions are provided to inform the user of the ratio of the primary formulation to the first additive and the second additive (as appropriate, additional water) to substantially remove oxides, metals, polymer-containing materials, and light. Resistance. The base formulation, the first additive, and the second additive sword are preferably packaged in separate containers for shipment. The container of the kit should be chemically smeared to store and dispense the components contained therein. For example, the kit of containers can be a NOwpak 8 container as described herein. If the material to be removed further comprises at least one chalcogenide, it is preferred to add at least one mineral acid 'such as HCl, HN03aH2S04 to the base formulation. In one embodiment of this sadness the 'basic formulation comprises Ηρ and the second additive comprises at least one amine, such as NMM〇. In this case, the base formulation comprises HF and at least one organic solvent, preferably diethylene glycol butyl ether and sulfolane. In another embodiment of this aspect, the base formulation comprises HF and at least one organic solvent, such as diethylene glycol butyl ether and/or sulfolane, and the second additive comprises at least one oxidizing agent, such as hydrazine 2 and at least A chelating agent such as cdtA. In another embodiment, the base formulation comprises HF and at least one surfactant, and the first additive comprises at least one oxidant such as H2?2 and at least one chelating agent such as HEDP. In another embodiment, the base formulation comprises HF, at least one surfactant, and at least one organic solvent, such as cyclobutyl hydrazine. In addition to liquid volume, this article also anticipates that the removal composition can be formulated as a blister, 132222.doc • 60 - 200918664 Smoking, caustic fluid (ie, supercritical or subcritical, where the solution is Shaanxi and / Or in addition to or as an alternative to organic solvents, c〇2, etc.). Advantageously, the removal of the composition causes at least one removable material to dissolve or delaminate from the substrate of the microelectronic device in a single step (ie, all materials to be removed can be combined with the substrate by a separate microelectronic device) The object is removed with a single immersion contact). Most preferably, the removable material is delaminated or layered in a single step, with the proviso that no mechanical polishing is required prior to recycling and/or reuse. As defined herein, &quot;dissolve&quot; includes the process by which a solid solute (e.g., the material to be removed) enters the solvent to form a solution. "Dissolving" is also intended to include etching, decomposition, chemical polishing, and combinations thereof of the material to be removed. The dissolution has a minimum and substantially eliminates the production of particulate matter which can then remain on the substrate. In addition to the advantage of clogging of the device. Advantageously, the remaining layers of the microelectronic device structure are substantially smooth after removal and are not (four)' preferred without additional manufacturing processes (ie, for example, low-k dielectric The deposition process of the new material layer of the high, high _κ dielectric, photoresist, metal stack layer, etch stop layer, etc.) is preceded by flattening the front side and/or the back side. For example, after the regeneration, the remaining layer only includes The microelectronic device substrate and the insectized Si layer, the substrate is preferably ready for recycling/re-use without the need for expensive mechanical polishing that jeopardizes structural safety. In the aspect-described aspect, it is described that at least one selected from the following is selected from the following A method of removing the material by a microelectronic device of a material layer of a group of materials: a low-k dielectric layer, a high-k dielectric material, a residual stop layer, a metal stack material: a nitrogen-k compound, a lithiate, an oxidation Object Ferroelectrics, barrier layers, photoresists, ARC materials, post-etch residues 'containing polymer accumulation, doped regions and their groups 132222.doc -61 - 200918664. For example, removable low-K The electrical material simultaneously maintains the integrity of the underlying substrate and the memory stop layer (eg, SiCN, SiCO, Sic, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs) and the metal stack material. Alternatively, the removable low The electrical layer and the metal stack material simultaneously maintain the integrity of the underlying substrate and/or the etch stop layer. In another alternative, the low-k dielectric layer, the #刻 terminate layer, and the metal stack material can be removed while maintaining the integrity of the underlying substrate. Sex.

C ,本發明之移除組合物可用於清潔微電子 裝置結構,藉此移除結構背面及/或斜邊上之含有聚合物 實施例中’自結㈣面及/或斜邊移除含有 t積累的方法包括將該結構安置於使用惰性氣體 =氮幻及/或去離子水喷霧保護晶圓前側之 =二:來:藉由在前側上沈積厚光阻層或其他保護性 護前側。換言之,當清潔背面及/或斜邊 於移除組合物。在另化層之L側未暴露 露於移除組合物側及背面/斜邊皆暴 物以同時自別側(例如,低_κ介 面/斜邊(例如,含有聚 -電材枓)及月 微電子艺置曰圓 之積累及3銅材料)移除材料。 工及再丄;θ=外場或内部進行再加工。内部再加 與再加工之間的9^'良率、降低總成本且減少珍斷過程 间的循裱時間之優勢。 在移除應用中,丨γ , 有待移除材料之:可合適方式將移除組合物與其上具 上噴霧移除組合物、 #觸,例如在裝置表面 貝包括可移除材料之裝置(在一定 I32222.doc -62 ‘ 200918664 體積移除組合物中)、使裝置與吸附有移除組合物之另一 材料(例如墊或纖維吸附劑施用元件)接觸、使包括待移除 之材料的裝置與再循環移除組合物接觸或藉由使移除組合 物與待移除材料移除接觸的任何其他合適構件、方式或技 術。接觸條件包括足以移除可移除組合物之 .彳。此外,本文預期分批或單獨晶圓加卫。使用 ' 物之移除方法可包括靜態清潔 '動態清潔或包括動態清 〇 $,隨後靜態清潔移除組合物中之裝置的連續加工步驟, 。 #中各別動態及靜態步驟以該等交替步驟的循環交替且重 複進行。 移除組合物可與多種習知清潔工具一起使用包括C. The removal composition of the present invention can be used to clean microelectronic device structures, thereby removing the self-junction (tetra) face and/or beveled edge removal of the polymer-containing embodiment on the back and/or beveled edges of the structure. The method of accumulation includes placing the structure on a front side of the wafer using inert gas = nitrogen ray and/or deionized water spray to: deposit a thick photoresist layer or other protective front side on the front side. In other words, when the back and/or beveled edges are cleaned, the composition is removed. The side of the L layer on the L-layer is not exposed to the side of the removal composition and the back side/beveled edge are both at the same time (for example, low-k interface/beveled edge (for example, containing poly-electrolytic material) and month The microelectronics set up the accumulation of round and 3 copper materials) to remove the material. Work and re-twist; θ = external field or internal rework. The internal ^9' yield between reprocessing and reprocessing reduces the total cost and reduces the advantage of the cycle time between the cutting processes. In the removal application, 丨γ, the material to be removed: the removal composition and the device with the upper spray removal composition, #touch, for example, on the surface of the device, including the removable material, may be removed in a suitable manner (in Certain I32222.doc -62 '200918664 volume removal composition), means for contacting the device with another material to which the removal composition is adsorbed, such as a mat or fiber adsorbent application element, to include a material to be removed Any other suitable means, means or technique of contacting the recycled removal composition or by removing the removal composition from contact with the material to be removed. Contact conditions include enough to remove the removable composition. In addition, this article expects to add batch or individual wafers. The use of the 'removal method may include static cleaning' dynamic cleaning or including dynamic cleaning, followed by static cleaning to remove the continuous processing steps of the device in the composition. The respective dynamic and static steps in # alternate and repeat in a cycle of the alternating steps. The removal composition can be used with a variety of conventional cleaning tools including

Verteq single wafer megasonic Goldfinger ^ OnTrak systems DDS(雙側擦洗器)、LaureU旋轉嘴霧工具、sez單獨晶圓 噴霧沖洗、Applied Materials Mirra_MesaTM/Reflexi〇nTM/Verteq single wafer megasonic Goldfinger ^ OnTrak systems DDS (double side scrubber), LaureU rotary nozzle mist tool, sez individual wafer spray rinse, Applied Materials Mirra_MesaTM/Reflexi〇nTM/

Reflexion LKTM及Megas〇nic*批濕式清洗台系統。 Q 如應用於微電子裝置製造操作,移除組合物適用於自微 電子裝置結構移除至少一種可移除材料以供再生、再加 工、再循環及/或再使用該等結構。此外,應瞭解移除組 合物可在化學機械拋光處理期間使用以加速CD〇及其他 、 低-κ介電材料之移除或在CMP後過程中使用以移除CMP後 之殘餘材料。 當自其上具有至少一種可移除材料的微電子裝置結構移 除該材料時,通常使移除組合物與裝置結構在約2(rc至約 90 C,較佳約25°C至約60°C,最佳約2yc至約5〇〇c範圍之 132222.doc •63· 200918664 溫度下接觸約30秒至約6〇分鐘,更佳心秒至約* 時間(較佳時間視待移除之層厚度而定)。顯著地,當欲移 除SiC時,溫度較佳在約⑽至約㈣範圍心當待㈣ 敍刻終止層時,視餘刻終止層厚度而定,接觸時間在約 25C至約8(rc範圍内之溫度下可在約5分鐘至約3小時之範 圍内。該等接觸時間及溫度為說明性的,且可採用有效地 自裝置結構實質上移除材料的任何其他合適時間及溫度條 件。 在本文所述之組合物之給定末端用途應用中所需且有 效的’在實現所要移除作用後,(例如)藉由沖洗、洗滌、 =或其他移除步驟將移除組合物自其先前應用於之微電 =置容易地移除。舉例而言,微電子裝置可經去離子水 沖洗。此外,微電子裝置可經氮氣、異丙醇或SEZ( 工技術)乾燥。 Ο 田使用%,可在合適高壓(例如在以合適容量速率及量 之組合物被提供至以實現所要接觸操作的加壓 至下應用緻密流體,較佳M,500至約4,500㈣範 ==在約3’_至約4’· psi範圍内。儘管可有利地 車父大或較小之接觸持續時間及溫度(若恰當)’但典型 接觸時間在約!分鐘至約3〇分鐘範圍内且溫度為約饥至 =C’較佳在約㈣至約75°C範圍内。使用緻密流體組 :物之移除方法可包括靜態浸透、動態接觸模式,或包括 2流動,隨後靜態浸透之連續加工步驟,其中各別動態 透步_以該等交替步驟的循環交替且重複 132222.doc •64- 200918664 進行。Reflexion LKTM and Megas〇nic* batch wet cleaning station systems. Q. For use in microelectronic device fabrication operations, the removal composition is adapted to remove at least one removable material from the microelectronic device structure for regeneration, rework, recycling, and/or reuse of such structures. In addition, it is understood that the removal composition can be used during the chemical mechanical polishing process to accelerate the removal of CD and other, low-k dielectric materials or used in post-CMP processes to remove residual material after CMP. When the material is removed from a microelectronic device structure having at least one removable material thereon, the removal composition and device structure are typically at about 2 (rc to about 90 C, preferably about 25 to about 60). °C, optimally about 2yc to about 5〇〇c range 132222.doc •63· 200918664 Temperature contact for about 30 seconds to about 6 minutes, better heart seconds to about * time (better time to be removed) Remarkably, when SiC is to be removed, the temperature is preferably in the range of about (10) to about (4). When the layer is to be quenched, the thickness of the layer is determined by the thickness of the layer. 25C to about 8 (the temperature in the range of rc may range from about 5 minutes to about 3 hours. The contact times and temperatures are illustrative and any material that is substantially effective in removing material from the device structure may be employed. Other suitable time and temperature conditions. Required and effective in a given end use application of the compositions described herein 'after achieving the desired removal, for example by rinsing, washing, or other removal steps The removal composition is easily removed from the micro-electricity it was previously applied to. For example The microelectronic device can be rinsed with deionized water. In addition, the microelectronic device can be dried by nitrogen, isopropanol or SEZ. The field can be used at a suitable high pressure (for example, at a suitable capacity rate and amount). The composition is provided to achieve a desired contact operation for pressurization to a lower application dense fluid, preferably M, 500 to about 4,500 (four) van == in the range of from about 3' to about 4' psi. Parental or minor contact duration and temperature (if appropriate)' but typical contact time is in the range of about ! minutes to about 3 minutes and the temperature is about hunger to = C' preferably at about (four) to about 75 ° C In the range of using a dense fluid group: the method of removing the material may include a static soaking, a dynamic contact mode, or a continuous processing step including 2 flows followed by static soaking, wherein the respective dynamic translating cycles alternate with the alternating steps And repeat 132222.doc •64- 200918664.

在使組合物與不合格微電子裝置結構接觸期間,可使用 統計過程控制(SPC)對移除組合物進行監視及控制。舉例 而言,移除組合物浴之SPC可被監視及若干輸入受到控 制,包括浴槽溫度、浴槽之pH、浴槽主要組份之濃度、副 產物濃度及饋入物化學純度。較佳地,移除組合物係使用 線内監視法監視,其中線内取樣設備可與標準分析工具通 信地耦接以監視浴槽重量損失(其為水及/或胺損失之指 示)_、氟化物濃度、出〇2濃度、pH等。藉由監視及/或控: 此等參數中之至少一者,可延長移除組合物浴槽之喜合, 此使加工效率最大化。如熟習此項技術者容易確, SPC之目的為隨著加工進行保持移除組合物之若干參數的 實質穩定狀態。 舉例而言,移除組合物可經手動及/或自動取樣,且移 除組合物中之⑽濃度可使用標準分析技術進行分析且與 移:組合物中該组份之初始濃度比較。如此項技術者容易 判定的,可將該組份之溶液的等分試樣手動及/或自動添 加至浴槽中以將组份濃度增加至初始水準。應瞭解移除二 合物中若丨組份濃度之保持視該組合物中出現多少待移除 材料負載而定。隨著愈來愈多之化合物溶解於其中,許多 活性組份之溶解度實際上將降低且最終將f要新鮮的移二 組合物。 Μ 為此目的,在一 視及組成控制系統 態樣中SPC係關於多組份流體組合物監 ,其中藉由滴定或其他分析程序實現一 I32222.doc -65- 200918664The removal composition can be monitored and controlled using statistical process control (SPC) during contact of the composition with the failed microelectronic device structure. For example, the SPC from which the composition bath is removed can be monitored and several inputs are controlled, including bath temperature, pH of the bath, concentration of the main components of the bath, by-product concentration, and chemical purity of the feed. Preferably, the removal composition is monitored using an inline monitoring device, wherein the inline sampling device can be communicatively coupled to a standard analytical tool to monitor bath weight loss (which is an indication of water and/or amine loss) _, fluorine Compound concentration, concentration of mash 2, pH, and the like. By monitoring and/or controlling: at least one of these parameters, the benefit of removing the composition bath can be extended, which maximizes processing efficiency. As will be readily apparent to those skilled in the art, the purpose of SPC is to maintain a substantially steady state of several parameters of the composition as it is processed. For example, the removal composition can be manually and/or automatically sampled, and the (10) concentration in the removal composition can be analyzed using standard analytical techniques and compared to the initial concentration of the component in the composition: composition. An aliquot of the solution of the component can be manually and/or automatically added to the bath to increase the component concentration to the initial level, as readily determined by those skilled in the art. It will be appreciated that the retention of the ruthenium component concentration in the removal dimer will depend on how much of the material to be removed is present in the composition. As more and more compounds are dissolved therein, the solubility of many of the active ingredients will actually decrease and eventually the composition will be fresh. Μ For this purpose, SPC is concerned with multicomponent fluid composition monitoring in the context of the composition and control system, where I32222.doc -65- 200918664 is achieved by titration or other analytical procedures.

或多種所關注組份之組份分析,且接著採用計算構件以列 定及反應性調整多組份流體組合物中一或多種組份之相對 量或比例以保持多組份流體組合物的預定組成特徵。咖 系統較佳包含⑴分析器單元,其經建構及配置以使用即時 方法監視多組份流體之一或多種組份的濃度,及⑼控制 單元,其經建構及配置以將分析單元之結果與預先程式化 之規格比較,且根據需要反應性控制前述一或多種組份至 多組份流體中之分配,以保持使用流體之加卫設施中所用 的多組份流體中的前述一或多種組份的預定濃度。在另— 恶樣中’描述監視及在組成上控制加工設施中所用之多电 份流體之SPC方法,該方法包括藉由滴定或其他分析程序 針對所關注之-或多種組分進行多組份流體之即時組份分 析’且計算性且反應性即時調整多組份流體組合物中—或 多種組份之相對量或比例’以保持使用流體的加工設施令 所用的夕組份流體組合物之預定組成特徵。 舉例而言,用於在使用時產生過氧化氫之包含使用過氧 化風t加工設施的SPC系統可包含經構造且配置以用於產 生過虱化氫之電化電池及包括分析單元(例如, F1SC W分析單元)之過氧化氫監視及濃度控制組件(包含用 ^自P化電池取樣流體且對其進行分析之構件),其中過 軋,氫監視及濃度控制組件包括用於基於分析來即時測定 過乳化H濃度之構件。用於在使㈣產生過氧化氫之包括 坎,氧化氫之加工設施的方法包括在電化電池中產生過 气且在为析單元(例如Karl Fischer分析單元)中監視 132222.doc *66- 200918664 過氧化氫’包括自電化電池取樣流體且對其進行分析, 基於分析來即時測定過氧化氫之濃度。 作為另實例,控制單元用作製程控制器且係用於 控制溶劑組份(尤其水)之自動補充,從而保證長時期之: 佳且穩定加工。-旦組份分析器測得溶劑系統之相對,且 成’則製程控制器可使系統恢復為正確組份比率。針對作 A分析目標之特定組份的特定限制被預程式化在製程控制 D 中。將來自組份分析器之結果與此等規格限制相比:且 右經測定低於最小規定值,則可將一定量目標組份注入容 劑溶液中以恢復所要組份比率。藉由將溶劑系統之組份比 率保持於預定界限内,可延長溶劑混合物之有效浴槽壽 命。因此,另一態樣中之SPC發明係關於用於再生及/或再 加工不合格微電子裝置結構之組合物的原位監視及h2〇注 入的構件及方法。使用濃度分析及本發明之溶劑補充系統 分析溶液且調整水含量’浴槽壽命可增加至少1 〇〇%。此 ^ 導致a)化學品,b)由於化學變化之停工時間,及c)化學處 理成本方面的大量節省。 此專及其他SPC實施例揭示於美國專利第7,214,537號及 苐7,153,690號’其皆在Russell Stevens等人名下且皆以引 用的方式全文併入本文中。 關於移除組合物中HF之分析,SPC之分析器單元可包 括··(a)可被分析且用於計算HF濃度(參見例如s〇ta等人名 下之美國專利第6,350,426號)的溫度、導電率、黏度及超 音傳播速度值之組合;(b)氟離子選擇性電極;(c)分光光 132222.doc • 67- 200918664 度。十’⑷使用_酸化學品比色分析;及⑷使用_酸螢光 團螢光分光(例如參見2_年6月28日_請之⑽猜吻〇f Maryland Biotechnology institute名下的 pCT/uS2〇〇4/〇227i7^ 以測定移除組合物中gj J卜妨7夕:酱 ^ Τ軋化物之濃度。Η202監視技術包括碘 量法或高猛酸鹽滴定,比色法(諸如在⑽存在下鈦⑽鹽 的氧化及銘(II)及重奴gt鹽的氧化以形成碳酸鹽-結酸鹽 (III)錯合物),及使用辣根衍生之過氧化酶之茛菪亭 (scopoletin)程序 〇Or component analysis of a plurality of components of interest, and then employing a computing member to prioritize and react to adjust the relative amount or ratio of one or more components of the multicomponent fluid composition to maintain a predetermined composition of the multicomponent fluid composition Composition characteristics. The coffee system preferably comprises (1) an analyzer unit constructed and configured to monitor the concentration of one or more components of the multicomponent fluid using an immediate method, and (9) a control unit configured and configured to correlate the results of the analysis unit with Pre-programmed specification comparisons, and reactively controlling the distribution of one or more of the components to the multi-component fluid as needed to maintain the one or more of the multi-component fluids used in the fluid-using facility The predetermined concentration. An alternative SPC method of monitoring and compositionally controlling the multi-component fluid used in a processing facility, including multi-components of the component or components of interest by titration or other analytical procedure Instant component analysis of the fluid 'and computationally and reactively adjusts the relative amount or ratio of the multi-component fluid composition - or components - to maintain the processing fluid of the fluid component used in the process facility Predetermined composition features. For example, an SPC system for generating hydrogen peroxide at the time of use comprising a peroxidizing gas t processing facility can include an electrochemical cell configured and configured for generating hydrogen peroxide and including an analysis unit (eg, F1SC) a hydrogen peroxide monitoring and concentration control component of the W analysis unit (including a component for sampling and analyzing a fluid from a P-type battery), wherein the over-rolling, hydrogen monitoring and concentration control components are included for immediate determination based on the analysis A component that over-emulsifies the H concentration. A method for processing a facility comprising hydrogen peroxide, which produces (4) hydrogen peroxide, comprises generating an overgas in an electrochemical cell and monitoring 132222.doc *66-200918664 in an analysis unit (eg Karl Fischer analysis unit) Hydrogen peroxide 'includes a self-electrochemical cell sample fluid and analyzes it, based on the analysis to instantly determine the concentration of hydrogen peroxide. As a further example, the control unit is used as a process controller and is used to control the automatic replenishment of solvent components (especially water) to ensure long-term: stable and stable processing. Once the component analyzer measures the relatives of the solvent system, the process controller returns the system to the correct component ratio. Specific limits for specific components that are targeted for A analysis are pre-programmed in Process Control D. When the results from the component analyzer are compared to these specification limits: and the right is measured below the minimum specified value, a certain amount of the target component can be injected into the solution solution to restore the desired component ratio. The effective bath life of the solvent mixture can be extended by maintaining the component ratio of the solvent system within predetermined limits. Thus, another aspect of the SPC invention pertains to in situ monitoring and h2 injection of components for regenerating and/or reprocessing a composition of a failed microelectronic device structure. The concentration analysis and the solvent replenishment system of the present invention are used to analyze the solution and adjust the water content. The bath life can be increased by at least 1%. This results in significant savings in a) chemicals, b) downtime due to chemical changes, and c) chemical processing costs. This and other SPC embodiments are disclosed in U.S. Patent Nos. 7,214,537 and U.S. Patent No. 7,153,690, the entireties of each of which are incorporated herein by reference. Regarding the analysis of the HF in the removal composition, the analyzer unit of the SPC can include (a) a temperature that can be analyzed and used to calculate the HF concentration (see, for example, U.S. Patent No. 6,350,426 under the name of s〇ta et al). A combination of conductivity, viscosity, and supersonic propagation velocity values; (b) fluoride ion selective electrode; (c) spectroscopic light 132222.doc • 67- 200918664 degrees. Ten '(4) using _ acid chemical colorimetric analysis; and (4) using _ acid fluorophore fluorescence spectrometry (see, for example, June 28, 2 _ _ (10) guess 〇 f Maryland Biotechnology institute under pCT/uS2 〇〇4/〇227i7^ to determine the concentration of gj J in the removal composition: the concentration of the ^ Τ Η Η Η 监视 监视 202 monitoring techniques include iodometry or high acid salt titration, colorimetric method (such as in (10) Oxidation of titanium (10) salt and oxidation of Ming (II) and heavy gt salt to form carbonate-salt (III) complex, and use of horseradish-derived peroxidase Program 〇

分析單元可包括(但不限於)Uv.Vis分光光度計、m質譜 儀、近IR質譜儀、整井古十、白紅 f包括電感耦合電漿質譜儀及原 子吸收質譜儀之原子質譜儀、 、曰俄凋疋早兀、電化學單元及層 析單元。 /人w地’本發明者發現可再生同一微電子裝置結構 夕次’例如移除材料以再生基板或再生帶有待保留之層的 基:。舉例而纟,可加工同-基板以沈積至少一個材料層 且隨後將其再生大於或等於2次,較佳大於或等於5次,更 於1G次且最佳大於或等於2g次(視方法及所沈 Γ::、定)’該再生每次均滿足本文描述之再生要 中…一二 移除法(亦即,在單-步驟 5物完成所有材料之移除)且較佳在隨後加 的平坦化。亦即,此項技術者應瞭 二;==些材料會損壞基板,且因此可能需要 再生之次數的作用。 十-化將具有限制基板可被 132222.doc -68- 200918664The analysis unit may include, but is not limited to, a Uv. Vis spectrophotometer, an m mass spectrometer, a near-IR mass spectrometer, a well-drilling, a white-red atomic mass spectrometer including an inductively coupled plasma mass spectrometer and an atomic absorption mass spectrometer, , 曰Russian dying, electrochemical unit and chromatography unit. / The present inventors have found that the same microelectronic device structure can be regenerated "e.g., removing material to regenerate the substrate or regenerate the substrate with the layer to be retained:". For example, the same substrate can be processed to deposit at least one material layer and then regenerated for greater than or equal to 2 times, preferably greater than or equal to 5 times, more than 1 G times and optimally greater than or equal to 2 g times (depending on the method and The sinking::, fixed) 'This regeneration meets the regeneration described in this article... the two removal method (that is, the removal of all materials in the single-step 5) and preferably afterwards Flattening. That is, the skilled person should have two; == some materials will damage the substrate, and thus may require the number of regenerations. Ten-chemical will have a limited substrate that can be 132222.doc -68- 200918664

此外,本發明者驚奇地發現同一微電子裝置結構可被再 加工高達ίο次,例如自微電子裝置結構移除光阻及紙材 料。舉例而言,同—結構可經光微影加卫且隨後再加工以 移除錯誤定位之光阻圖案大於或等於2次,較佳大於或等 於5次,且最佳大於或等於1〇次,其中該再加工不實質上 損壞待保留之層。此外,本發明者驚奇地發現微電子裝置 結構之背面及/或斜邊可容易地清潔,例如自微電子裝置 結構之背面及/或斜邊移除含有聚合物之積累及/或金屬而 不借助於此項技術中所用之方法(例如物理拋光、乾式電 漿蝕刻、燃燒等)。 此外,本發明者驚奇地發現移除組合物之浴槽效能在約 室溫至約6CTC範圍内之溫度下可持續大於或等於2天,較 佳大於或等於5天,且最佳大於或等於1〇天。換言之,約 室溫至約6(TC範圍内之溫度下的歷時1()天的浴槽可用於根 據本文提供之要求成功再生、再加工及/或清潔微電子裝 置結構(背面及/或斜邊)’假定浴槽未&quot;加載&quot;待移除之材 料。如本文所定義,如此項技術者容易測定的,”加載&quot;組 合物對應於不再能使至少-種待自微電子裝置結構移除之 材料溶解及/或分層的一定體積之移除組合物。加載之移 除組合物可對應於待使用移除組合物移除之特定材料、組 合物中之活性組份以及其副產物的不飽和、飽和或過飽 和〇 另一驚人的發現為移除組合物浴槽之使用效能。如熟習 此項技術者容易測定的 具有約5 L至約50 L範圍内之體 132222.doc -69. 200918664 積的移除組合物之未加載浴槽視必須移除之材料層數而定 自大於或等於50,較佳大於或等於2〇〇,更佳大於或等於 5〇〇,甚至更佳大於或等於1000,且最佳大於或等於25〇〇 個具有300 mmx750 μιη基板之不合格微電子裝置結構有效 移除待移除之材料。 在另-態樣中描述包含微電子裝置之物品,其中該微電 子裝置包含已使用本文所述之方法再生、再加工、再循環 Γ 及/或再使用之微電子裝置結構或微電子裝置基板,該方 法包含使微電子裝置結構與移除組合物在足以實質上移除 至少-種可移除材料的條件下接觸足夠時間。在隨後之微 %子裝置裝k過中’再循環或再使用之微電子裝置結構 或微電子裝置基板可隨後包含—或多個沈積於其上之層, 包括低_K介電層、高-K介電材料、蝕刻終止層、金屬堆疊 材料、氮化物層、矽化物層、氧化物層、鐵電體層、障辟 層材料、摻雜區域及其組合中之至少一者。體曰障土 在 心樣中,描述一物品,其包含再加工之微電子裝 置結構或再加工之微電子裝置基板及至少一個選自由以^ 各物組成之群之額外材料層:低·κ介電材料、“介電材 枓、领刻終止層、金屬堆疊材料、氮化物、石夕化物、氧化 物鐵電體、ρ早壁層材料、光阻、ARC材料、播雜區域及 其組合’其中該至少一個額外材料層係在再加Moreover, the inventors have surprisingly found that the same microelectronic device structure can be reworked up to ί times, such as removing photoresist and paper material from the microelectronic device structure. For example, the same structure can be reinforced by photolithography and subsequently processed to remove the misaligned photoresist pattern greater than or equal to 2 times, preferably greater than or equal to 5 times, and optimally greater than or equal to 1 time. Where the reworking does not substantially damage the layer to be retained. Moreover, the inventors have surprisingly discovered that the backside and/or beveled edges of the microelectronic device structure can be easily cleaned, such as removing polymer-containing buildup and/or metal from the backside and/or beveled edges of the microelectronic device structure without By means of the methods used in the art (eg physical polishing, dry plasma etching, combustion, etc.). Furthermore, the inventors have surprisingly found that the bath performance of the removal composition can last for more than or equal to 2 days, preferably greater than or equal to 5 days, and preferably greater than or equal to 1 at temperatures ranging from about room temperature to about 6 CTC. Oh heaven. In other words, a bath of about 1 (days) from about room temperature to about 6 (temperatures in the range of TC can be used to successfully regenerate, rework, and/or clean the microelectronic device structure (back and/or beveled edges) according to the requirements provided herein. ) 'Assume that the bath is not &quot;loading&quot; the material to be removed. As defined herein, such a technique is readily measurable by the skilled person, and the "loading" composition corresponds to no longer being able to at least be self-contained from the structure of the microelectronic device. The removed material dissolves and/or stratifies a volume of the removal composition. The loaded removal composition can correspond to the particular material to be removed using the removal composition, the active component of the composition, and its associate Another surprising finding of the product is the unsaturation, saturation or supersaturation. The effectiveness of the bath of the composition is removed. The body 132222.doc-69 having a range of from about 5 L to about 50 L, which is readily determined by those skilled in the art. The unloaded bath of the 200918664 removal composition depends on the number of layers of material that must be removed from greater than or equal to 50, preferably greater than or equal to 2, more preferably greater than or equal to 5, or even more preferably greater than Or equal to 1000, And preferably, the unqualified microelectronic device structure having a substrate of 300 mm x 750 μm is effective to remove the material to be removed. The article including the microelectronic device is described in another aspect, wherein the microelectronic device A microelectronic device structure or microelectronic device substrate that has been regenerated, reprocessed, recycled, and/or reused using the methods described herein, the method comprising disposing the microelectronic device structure and removal composition substantially Contacting for a sufficient period of time in addition to at least one of the removable materials. The microelectronic device structure or microelectronic device substrate that can be recycled or reused in the subsequent micro-devices can then include - or multiple depositions The layer thereon includes a low-k dielectric layer, a high-k dielectric material, an etch stop layer, a metal stack material, a nitride layer, a telluride layer, an oxide layer, a ferroelectric layer, a barrier layer material, At least one of a doped region and a combination thereof. In the heart sample, an article is described that includes a reworked microelectronic device structure or a reprocessed microelectronic device substrate and at least one Select additional material layers of the group consisting of: low-κ dielectric materials, “dielectric material 枓, collar stop layer, metal stack material, nitride, lithium, oxide ferroelectric, ρ Early wall material, photoresist, ARC material, miscellaneous area, and combinations thereof, wherein the at least one additional material layer is added

於微電子裝置姓槿式A L 忒置-構或基板上。該物品可進一步包含位於微 子裝置結構或基板與至少一個額外材料層之間的中間 層0 132222.doc -70- 200918664 在::態樣中,描述製造包含微電子裝置之物品的方 法〜、中該微電子裳置包含已使用本發明之方法再生、再 加工、再循環及/或再使用 &lt;倣电于褒置結構或微電子裝 、土所〃方法包含使微電子裝置結構與移除組合物在足 、、移除至^種可移除材料的條件下接觸足夠時 間。製造該物品之方法可鱼_ 進一 yέ,在隨後之微電子裝 置製造過程中,在爯循户+ $从 Υ在再·或再使用之微電子裝置結構或微 電子裝置基板上沈積—戎容袖 甘山&gt;On the microelectronic device, the surname A L is placed on the substrate or on the substrate. The article may further comprise an intermediate layer between the micro-device structure or the substrate and the at least one additional material layer. 133222.doc-70-200918664 In the:: aspect, a method of manufacturing an article comprising a microelectronic device is described. The microelectronics skirt comprises a method of regenerating, reworking, recycling, and/or reusing using the method of the present invention. The method of embedding the structure or the microelectronic device, the method of containing the microelectronic device The composition is contacted for a sufficient period of time except that the composition is removed to the removable material. The method of making the article can be carried out in a subsequent process, during the manufacture of the microelectronic device, on the substrate of the microelectronic device or on the substrate of the microelectronic device or the substrate of the microelectronic device. Sleeve Ganshan&gt;

次夕個層,其中該或該等層包括 低_κ介電層、高-Κ介雷姑姻· ^ , 严 *材枓、蝕刻終止層、金屬堆疊材 料、氮化物層、矽化物呙、@ /化物層、虱化物層、鐵電體層、障壁 層、摻雜區域及其組合中之至少一者。 在另-態樣中,描述使用本文所述之移除組合物自其上 具有敍刻後及/或砂磨後殘餘物的微電子裝置晶圓移除該 等蝕刻後及/或砂磨後殘餘物之方法。 在又一態樣甲,描述清潔微電子裝置結構之背面及/或 斜邊之方法,該方法包含:將該結構定位於一工具中該 工具使用氮氣及/或去離子水喷霧保護該結構之前側;且 使該結構之背面及/或斜邊與移除組合物接觸,其中該移 除組合物自彳政電子裝置基板之背面及/或斜邊實質上移除 含有聚合物之積累。 另一態樣係關於使用本文所述之組合物來加工微電子裝 置之方法’藉此降低加工浴槽之溫度,目前,多數設施在 較咼浴槽溫度下加工微電子裝置以使加工時間最小化。不 幸的是,較高浴槽溫度導致水及/或HF蒸發之增加,且因 132222.doc ·Ί\· 200918664 此降低冷槽之效能。特定言之 (例如浸、、§、▲咖 亡诉關於在材料移除 溶劑、水等)期間降低移除組合物之溫度,隨後以 間出現之非岭劑/水混合物熱沖洗以移除材料移除過程期 戶斤要殘餘物積累。如熟習此項技術者容易地刿 如在室:下:先之後,晶圓可視情況··以額外溶劑沖洗(例 以其他方皿式Γ、乾燥(例如使用1^氣乾燥);拋光,·及/或 較佳地二、:預備以供領外加工,例如沈積新材料層。 丙、沖洗溶劑包含水及/或有機溶劑, 乙二醇、丙二醇、二乙二醇丁基_、二丙 在另冑施例中,可結合熱沖洗使用超音、凌 egasonics)或攪拌以幫助移除殘餘物積累。 方法包自其上具有材料之微電子裝置移除該材料之 ⑷使微電子裝置與料組合物在第— 間以自微電子裝置實質上移除至少一種材料=足㈣ I:::微電子裝置與沖洗組合物在第二溫度下接觸足 目致電子#置實貝上移除殘餘物積累; 範圍内一:度與第二溫度之間的差異係在約4°。。至約9。。。 :第:=言,第一溫度可在㈣至約_ 且第―度可在約饥至約99t範圍内。因此 低於第二溫度。材料移除之適用時間在約卜 :二 鐘範圍内,較佳為約卜分鐘至 里、、、' 〇刀 刀Μ,且取佳為約1分 至約⑽鐘’較佳時間視待移除之層厚度而定。孰沖洗之 適用時間在約1分鐘至約60分鐘範圍内,較佳為約〗分鐘至 I32222.doc •72· 200918664 約3 〇分鐘,且最 電子裝置上殘::穑Γ 約10分鐘,較佳時間視微 期間可使用度而定。如所介紹,在熱沖洗 地,熱沖洗組合物…J 殘餘物積累。較佳 組合物,儘管已預°,、,、沖洗較佳每次使用新鮮沖洗 子裝置可作為單必要時可再循環沖洗組合物。微電 法可部分(例如僅—^圓進行加工或分批進行加工且熱沖洗 及部分⑽地重複—次以上。 (例如部分⑷ 降低浴槽溫度以使蒸發最小 括一材料屛 '万法疋在浴槽上包 村料層以取小化蒸發作用。值得 括實質上不交紐狄4、 α亥層必須包 貝上不,合解於或混雜於浴槽組合物 料。舉例而言,飄浮於浴槽表面之瘦 —或夕種材 :或―材料(亦即密度小於浴液)可用材 藉此增加浴槽壽命。經―⑧ 匕工〜、輕重量之形狀,諸如 形狀。該等形狀 及/、他多邊形 狀了為對稱或不對稱的。或去 E F L 〇 Ν⑧塗佈之材料可為經設計 、.坐 之形狀(例如,漂浮蓋)。 胃地匹配在浴槽上 另—態樣_於使科料見指_ 浴終點之方法。舉例而言,一些移除組合物包料2物 =如η2〇2’且^知移除組合物效用伴隨著該氧化劑濃度之 任二=1,二吏用/能夠外部目測氧化劑在清潔起始後 之:曲声 使用者能夠手動或自動地增加氧化劑a layer of the next eve, wherein the layer or layers include a low-k dielectric layer, a high-Κ 雷 姑 姑 · ^, a * 枓 蚀刻, an etch stop layer, a metal stack material, a nitride layer, a bismuth telluride, At least one of @ / a chemical layer, a germanide layer, a ferroelectric layer, a barrier layer, a doped region, and combinations thereof. In another aspect, the use of the removal composition described herein to remove the etched and/or sanded wafers from the microelectronic device wafer having the post-synthesis and/or post-sand residue thereon is described. Method of residue. In another aspect, a method of cleaning the back and/or beveled edges of a microelectronic device structure is described, the method comprising: positioning the structure in a tool that protects the structure with a nitrogen and/or deionized water spray. The front side; and the back and/or beveled edges of the structure are brought into contact with the removal composition, wherein the removal composition substantially removes the accumulation of polymer from the back side and/or the bevel of the substrate of the UI. Another aspect relates to a method of processing a microelectronic device using the compositions described herein, thereby reducing the temperature of the processing bath. Currently, most facilities process microelectronic devices at a bath temperature to minimize processing time. Unfortunately, higher bath temperatures result in increased water and/or HF evaporation, and the effectiveness of the cold chute is reduced by 132222.doc ·Ί\· 200918664. In particular (such as dip, §, ▲ 咖 诉 关于 关于 在 在 在 在 在 在 在 在 在 在 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低 降低During the removal process, the households will have to accumulate residues. If you are familiar with the technology, for example, in the room: below: first, the wafer can be washed with additional solvent (for example, other squares, drying (for example, using 1 gas drying); polishing, And/or preferably two, prepared for external processing, such as depositing a new material layer. C, the washing solvent comprises water and/or an organic solvent, ethylene glycol, propylene glycol, diethylene glycol butyl _, dipropylene In other embodiments, supersonic, lingosonics or agitation may be used in conjunction with thermal rinsing to help remove residue buildup. The method pack removes (4) the material from the microelectronic device having the material thereon such that the microelectronic device and the material composition are substantially removed from the microelectronic device at least one material = foot (4) I:::microelectronics The device and the rinsing composition are contacted at the second temperature to contact the ocular electrons to remove the residue accumulation; within the range: the difference between the degree and the second temperature is about 4°. . To about 9. . . :第:=, the first temperature can range from (4) to about _ and the first degree can range from about hunger to about 99t. Therefore it is lower than the second temperature. The application time for material removal is in the range of about 2 hours, preferably about minutes to miles, and 'sickle knife knives, and preferably about 1 minute to about (10) minutes. In addition to the layer thickness. The application time of 孰 rinsing is in the range of about 1 minute to about 60 minutes, preferably about 〖min to I32222.doc • 72· 200918664 about 3 〇 minutes, and the most electronic device is disabled: 穑Γ about 10 minutes, compared Good time depends on the availability of the micro period. As described, in the hot rinse, the hot rinse composition...J residue accumulates. The preferred compositions, although pre-treated, rinsed, preferably use a fresh rinse device each time as a single recyclable rinse composition. The micro-electric method can be partially (for example, only -^ round processing or batch processing and hot rinsing and partial (10) repetition - more than once. (For example, part (4) lowering the bath temperature to minimize evaporation of a material 屛 ' The bath layer is covered on the bath to reduce the evaporation. It is worth noting that the Nudi 4, the alpha layer must be on the shell, and it is dissolved or mixed in the bath combination. For example, floating on the surface of the bath Thin- or eve seed: or - material (that is, the density is less than the bath) can be used to increase the bath life. After -8 〜 ~, light weight shape, such as shape. The shape and /, his polygon The shape is symmetrical or asymmetrical. Or the material applied to the EFL 〇Ν8 can be designed, sitting shape (for example, floating cover). The stomach is matched on the bath, and the other is the same. _ bath end method. For example, some of the removal composition package 2 = = η2 〇 2 ' and know that the removal composition effect is accompanied by any two of the oxidant concentration = 1, for / for external Visual inspection of the oxidant after the start of cleaning: the use of the song It can be manually or automatically increasing the oxidant

之,辰度,且因此增加浴槽壽命。 背J 132222.doc -73- 200918664 為此目的,外部指示劑 了為由者色劑或功能光子晶體膜 組成之條帶。著色劑條溫 ,、帶可包括氧化-還原指示劑或酸-鹼 指示劑,及有機黏合劑, 丹甲條f材料及有機黏合劑不與 移除組合物、不合格微電 卞及置或指不劑材料化學反應。 著色劑可包括(但不限於 )亞曱务、、酸性綠B(Lissamine green B)、鹼性藍6B、孔劣 匕雀綠卓酸鹽、曱苯胺藍Ο、亮綠 或其組合。有機黏合劑可溧 σ別』選自合成或天然聚合物或樹脂, 包括(但不限於)乙酸丁酸继他主 敗i S夂纖維素、乙基纖維素、乙基纖維 素、丙稀酸樹脂、紫月甘&amp;人 各膠及其組合。條帶基板可包括(但不 限於)聚合物材料,諸如繫匕 φ r . . ^ 布如I知、聚乙烯或聚苯乙烯膜、紙 及其類似物。當將條帶浸人新鮮料組合物(包括氧化 劑,例如過氧化氮)中時,指示劑將基於所選之指示劑及 移除組合物中H2〇2濃度而改變為預定顏色(或保持無色 再生開始後’條帶將經歷可見變化,其將指別办濃度降 低。基於顏色改變,使用者將能夠確定H2o2之大致濃度及, the degree, and thus increase the bath life. Back J 132222.doc -73- 200918664 For this purpose, the external indicator is a strip consisting of a toner or a functional photonic crystal film. The temperature of the colorant strip, the belt may include an oxidation-reduction indicator or an acid-base indicator, and an organic binder, the material of the Danjiao f and the organic binder are not removed from the composition, the unqualified micro-electricity and the Refers to the chemical reaction of the material. Colorants can include, but are not limited to, arsenic, Lissamine green B, basic blue 6B, porphyrin, anthranil blue, bright green, or combinations thereof. The organic binder may be selected from synthetic or natural polymers or resins, including but not limited to, acetic acid butyric acid followed by sucrose cellulose, ethyl cellulose, ethyl cellulose, acrylic acid Resin, Zi Yue Gan &amp; human glue and combinations thereof. The strip substrate may include, but is not limited to, a polymeric material such as a 匕 φ r . . . , such as a polyethylene, a polystyrene film, paper, and the like. When the strip is immersed in a fresh material composition (including an oxidizing agent such as nitrogen peroxide), the indicator will change to a predetermined color (or remain colorless based on the selected indicator and the concentration of H2〇2 in the removal composition). After the start of regeneration, the band will undergo a visible change, which will indicate a decrease in concentration. Based on the color change, the user will be able to determine the approximate concentration of H2o2 and

C 應向移除組合物中添加多少聊以使濃度大致回到可接受 之組成含S。因此’另一態樣係關於包括指示劑條帶、比 色表及轉化表以幫助使用者基於顏色改變快速測定應向移 除組合物中添加多少Η2〇2之套組。指示劑條帶可為再使 用的或經設計用於—次性使用。應瞭解紫外光照射指示劑 可用於替代可見指示劑,其中所有改變係藉由uv_Vis紫外 分光光度計或其等效物監視。 在替代實施例中,可使用包括功能性還原劑、有機聚合 晶體或無機光子晶體及基板之光子晶體條帶來外部監視移 132222.doc •74- 200918664 除組合物。合骑—jC How much to add to the removal composition to bring the concentration back to an acceptable composition containing S. Thus, another aspect relates to the inclusion of indicator strips, colorimetric tables, and conversion tables to assist the user in quickly determining how many sets of Η2〇2 should be added to the removal composition based on color changes. The indicator strip can be reused or designed for use. It should be understood that an ultraviolet light illuminating indicator can be used in place of the visible indicator, wherein all changes are monitored by the uv_Vis ultraviolet spectrophotometer or its equivalent. In an alternate embodiment, an external monitoring shift can be brought about using a photonic crystal strip comprising a functional reducing agent, an organic polymeric crystal or an inorganic photonic crystal and a substrate. 132222.doc • 74- 200918664 In addition to the composition. Riding-j

*今匕括光子晶體之條帶浸入移除組合物中 時,過氧化氫將盘士 A ^ /、力犯性還原劑反應’藉此晶格間隔且因 此光子b曰體之繞射改變。隨著繞射改變,可使用肉眼或 VIS-近IR分光計觀測或债測·川、可見或近IR電磁 味 J色改麩。基於光譜改變,使用者將能夠確定 2 2 '展度及應向移除組合物中添加多少H202以使濃度 大致回到可接受之相 成s置。因此,另一態樣係關於包括 光子晶體條帶、比$本β ^ 色表及轉化表以幫助使用者基於光譜改 變快速測定應向移除組合物中添加多少H2o2m 在另一態樣中,+如丨 才曰不劑教示之變化包括在移除組合物中 包括可見指示劑,豆由A公人 /、中§移除組合物不再有效自不合格微 電子:置移除材料時(例如浴終點),可見指示劑由一種顏 二#顏色。舉例而言,可見指示劑可存在於移 除.、且5物套組之一或多個容器 T 猎此彳日不劑在混合時經 激活。或者,指示劑可單獨製造為在材料移 ' 期間向移除組合物中添加之固體或液體。在另—㈣= 指示劑可包括於移除組合物套組之—或多個容器中且 劑已活化。當組合物已達到盆 ’、 /、、‘s點時,指示劑將經歷一 ”顏色’至另-”顏色I’之轉變。應瞭解轉變可為血色至可見 光譜史之顏色,自可見光财之顏色至可見光譜中之另一 顏色,或可見光譜尹之顏色至並 劑,例如孔雀綠草酸鹽:結晶;劑可為染料添加 α日日系、f基紫2B、乙基 品紅、維多利亞藍B 维多 ” 夕才J亞純羞β〇、f苯胺玆〇、 亮綠BL、分散藍2、亮藍 、、第夕利亞R、天竺綠 132222.doc 75· 200918664 B(Quinea green B)、硫堇、梅爾多拉寥藍、亞甲綠、酸性 綠B、鹼性藍6B、亮綠、酒精溶解HLK BASF、額外維多 利亞綠S、酸紫17、鉻黑τ '鉻藍黑B、D&amp;c綠第2號、酒 精溶解快RR、酒精溶解快紅3B、D&amp;c紅第22號、硝基 紅、剛果紅、甲紛紅 '亮甲紛藍ALD、偶氮珅1、鹼性紅 29、俾斯麥棕R、亞甲紫 '亞曱紫3RAX、酸性鉻棕1、反 • 應性黑5、酸性鉻棕48、酸性棕AX987、酸性紫AX&quot;〇、鹼 p 性紅1 5、媒&quot;紅1 9、溴鄰苯三酚紅及其組合。此等染料之 多種組合可用於指示劑組合物中。因此,本文所述之移除 組合物中之任一者中可進一步包括指示劑。 在另一態樣中,描述用於基於HF之移除組合物的腐蝕 量測的鹽橋。氟化氫容易地侵蝕玻璃製成之參考電極,使 腐蝕之量測尤其在移除組合物典型之HF濃度下實際上不可 能。一市售替代為玻璃、ϋ型鹽橋,其中Luggin毛細管與 測試溶液連接且參考電極容器具有無^11?之溶液,例如 〇 溶液。不利地,玻璃容器將無法與本發明之移除組合物配 合使用,因為HF濃度及ϋ型對於測試而言不便(因為需要 單獨參考電極容器)。 為克服此等不利,本文揭示全合一鹽橋。鹽橋包括塑膠 - 管(或對本文所述之移除組合物具有抗性之某種其他材 料,例如塗有TEFLON®之材料)作為參考電極之第二容器 及PTFE管作為Luggin毛細管。或者,㈣咖毛細管可為由 PTFE構成之裝載有凝膠之微量吸移器央端。㈣咖毛細管 及s的較小部分在凝膠設定前填充有電解質凝膠,例如 132222.doc • 76- 200918664 KC1中之瓊脂。”小部分,,對應於小於管總體積的約丨5〇/〇, 較佳小於約1 0%,且最佳小於管總體積的約8%。鹽橋在不 使用時可儲存於1 M KC1溶液中。本發明鹽橋之實例圖示 地展示於圖1中。舉例而言,圖!八為具有微量吸移器尖端*When the strip of photonic crystals is immersed in the removal composition, the hydrogen peroxide reacts the disc A ^ /, the reactive reducing agent', thereby changing the lattice spacing and hence the diffraction of the photon b. As the diffraction changes, it can be observed with the naked eye or VIS-near IR spectrometer or the debt measurement, Sichuan, visible or near IR electromagnetic flavor. Based on the spectral changes, the user will be able to determine the 2 2 ' spread and how much H202 should be added to the removal composition to bring the concentration back to an acceptable level of s. Thus, another aspect relates to the inclusion of a photonic crystal strip, a ratio of the present beta color table, and a conversion table to assist the user in quickly determining how much H2o2m should be added to the removal composition based on spectral changes. + Changes such as 丨 曰 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括 包括For example, the bath end point), the indicator is visible by a color II color. For example, it can be seen that the indicator can be present in the removal, and one or more of the five sets of containers T can be activated on the day of mixing. Alternatively, the indicator can be separately fabricated as a solid or liquid added to the removal composition during the material transfer. In the other - (4) = indicator can be included in the removal of the composition set - or a plurality of containers and the agent is activated. When the composition has reached the pot', /, ‘s point, the indicator will undergo a transition from a &quot;color&quot; to another &quot;color I'. It should be understood that the transition can be from the color of the blood to the visible spectrum, from the color of visible light to another color in the visible spectrum, or the color of the visible spectrum to the mixture, such as peacock oxalate: crystal; the agent can be a dye Add α日日, f-based violet 2B, ethyl magenta, Victoria blue B-dimensional “Xia Cai J Ya pure shame β〇, f aniline 〇, bright green BL, disperse blue 2, bright blue, 第 利亚R, Scorpio Green 132222.doc 75· 200918664 B (Quinea green B), thioindigo, Meldola indigo, methylene green, acid green B, basic blue 6B, bright green, alcohol dissolved HLK BASF, extra Victoria Green S, sour purple 17, chrome black τ 'chrome blue black B, D & c green No. 2, alcohol dissolution fast RR, alcohol dissolved fast red 3B, D &amp; c red No. 22, nitro red, Congo red, A red red 'brilliant blue ALD, arsenazo 1, alkaline red 29, Bismarck brown R, methylene violet 'Aachen purple 3RAX, acid chrome brown 1, anti-black 5, acid chrome brown 48, Acid Brown AX987, Acidic Purple AX&quot;〇, Alkaline p Red 1.5, Medium&quot;Red 1, 9-Bromopyrogallol Red, and combinations thereof. Used in an indicator composition. Accordingly, an indicator may be further included in any of the removal compositions described herein. In another aspect, the amount of corrosion for a HF-based removal composition is described. Measured salt bridge. Hydrogen fluoride easily erodes the reference electrode made of glass, making the measurement of corrosion practically impossible especially in the typical HF concentration of the removal composition. A commercially available alternative is a glass, bismuth salt bridge, in which The Luggin capillary is connected to the test solution and the reference electrode container has a solution, such as a hydrazine solution. Unfavorably, the glass container will not work with the removal composition of the present invention because the HF concentration and enthalpy type are for testing. Inconvenience (because a separate reference to the electrode container is required). To overcome these disadvantages, an all-in-one salt bridge is disclosed herein. The salt bridge includes a plastic-tube (or some other material that is resistant to the removal compositions described herein, For example, a material coated with TEFLON®) as a second container for the reference electrode and a PTFE tube as a Luggin capillary. Alternatively, the (4) coffee capillary can be a microscopic pipette loaded with PTFE. (4) The capillaries and the smaller part of s are filled with an electrolyte gel before the gel is set, for example, agar in 132222.doc • 76- 200918664 KC1.” Small part, corresponding to less than the total volume of the tube. 5 〇 / 〇, preferably less than about 10%, and preferably less than about 8% of the total volume of the tube. The salt bridge can be stored in 1 M KC1 solution when not in use. An example of a salt bridge of the present invention is shown graphically in Figure 1. For example, the map! Eight for the tip of the micropipette

Luggin毛細管之鹽橋的示意圖。圖18及1(:說明具有Luggin 毛細官之鹽橋具有均一内徑,其中一者在尖端處彎曲(圖 1B)。Schematic representation of the salt bridge of the Luggin capillary. Figures 18 and 1 (: illustrate that a salt bridge with a Luggin capillary has a uniform inner diameter, one of which is bent at the tip (Figure 1B).

热習此項技術者應瞭解儘管管被展示為繞一軸線之圓 柱,其中内徑為均一的,但本發明鹽橋之管形狀可為多邊 形的且不必具有均一内徑。 使用時,將Ag/AgC1參考電極***至鹽橋管中且鹽橋之Those skilled in the art will appreciate that although the tube is shown as a cylinder about an axis in which the inner diameter is uniform, the tube of the salt bridge of the present invention may be polygonal in shape and does not have to have a uniform inner diameter. When in use, insert the Ag/AgC1 reference electrode into the salt bridge and the salt bridge

Luggm毛細官可***至填充有組合物(例如移除組合物)之 容器中。此外’將對立電極(例如,電極)及工作電極浸 沒於組合物中。可藉由使用TEFL〇_帶將待測試(腐蝴 之材㈣於TEFL0N⑧盤來建構工作電極。如熟習此項技 術者谷易地測定,可隨後進行腐蝕實驗。 、在另^態樣中描述用於加工晶圓之濕式清洗台工具,該 濕式清洗台工具包含至少三個浴槽,1 除组人物,第4I ,、中卓一浴槽包含移 除組合物浴槽之後但在沖洗浴槽之前使用的中在: 洽槽適用於在裝置晶圓浸沒於其中之 &quot;'人 後中和保留於裝署曰 圓上的移除組合物之高氟化物含量。因此, 描述使用移除組合物自微電子裝置 ^又-態樣中 使用緩衝液沖洗步驟中和晶 、、移除材料, 曰曰圓表面且以水沖洗經中和之晶 I32222.doc •77· 200918664 圓的方法。 較佳實施例中,本態樣係關於自其上 之微電子裝置結構移除至少一種可移除材料=等)材料 法包含·· +之方法’該方 便祕電子裝置與移除組合物接觸足 ® ^ ^ , Α 寺間以自微電子梦 置只Λ上移除至少一種可移除材料; 、 使其上具有移除組合物之微電子裝置盥The Luggm capillary can be inserted into a container filled with a composition (e.g., a removal composition). Further, the counter electrode (e.g., electrode) and the working electrode are immersed in the composition. The working electrode can be constructed by using the TEFL〇_带 (the material of the rot (4) on the TEFL0N8 disk. If it is determined by the person skilled in the art, the corrosion experiment can be carried out subsequently. A wet cleaning station tool for processing wafers, the wet cleaning station tool comprising at least three baths, 1 in addition to the group of characters, the 4th, and the Zhongzhuo bath containing the bath after removing the composition but before using the bath tank The medium is: The groove is suitable for the high fluoride content of the removal composition that is immersed in the device wafer and retained on the assembly circle. Therefore, the description uses the removal composition. The microelectronic device is used in a buffer rinsing step to neutralize the crystal, remove the material, round the surface, and rinse the neutralized crystal with water. The method is preferably round. In this example, the present aspect relates to the removal of at least one removable material from the structure of the microelectronic device thereon = (etc.) The method of the material method includes · · + The convenient electronic device and the removal composition contact foot ® ^ ^ , Α Temple to self-micro-electric Sleeper placed only on Λ removing at least one removable material;, its upper microelectronic device of wash removal composition

以中和微電子裝置上之移除組合物^和^物接觸 以沖洗溶液沖洗其上具有經中和移除組合物之微電子裝 置以自其移除經中和移除組合物。 、 較佳地,中和組合物包括至少一種緩衝物質,其中經中 和之移除組合物的ρΗ係在約5至約9範圍内,更佳在約6至 約8範圍内’且最佳為約7。本文預期之緩衝物質包括(但 不限於)市售彩色編碼緩衝液溶液或包括鹼(諸如氫氧化 物、碳酸鹽、魏鹽、二賴鹽等)及驗/鹽混合物之定製 溶液。較佳地,沖洗溶液包含水。 在又一態樣中,描述在再生過程中實質上消除微電子裝 置基板之坑洞的多步驟移除法。多步驟法包括至少一個移 除金屬及障壁層材料之步驟及至少另一個移除所有其他非 金屬層(例如,蝕刻後殘餘物、低_κ介電質、高^介電質、 姓刻終止材料、鐵電體、矽化物、氮化物、氧化物、光 阻、底部抗反射塗層(BARC)、犧牲抗反射塗層(SARC)、 含有聚合物之積累、雜項材料、摻雜區域及其組合)之步 驟。舉例而言’移除金屬及障壁層材料之步驟可包括使微 132222.doc -78- 200918664 電子農置構與包括至少一種氧化劑及至少_種整合劑之 第一組合物接觸以產生實質上無金屬及障壁層材料之微電 又、°構移除所有其他非金屬層之步驟可包括使實質 上無金屬及障壁層材料之微電子裝置結構與本文所述之: • *、σ物(例如第二態樣或第五態樣之移除组合物及無氧 化劑之任何其他移除組合物)接觸以產生微電子裝置基 ' 2 °應瞭解可在移除金屬及障壁層材料之步驟與移除所有 {) 〃他非金屬層之步驟之間併人沖洗步驟°此外,應瞭解可 纟移除所有其他非金屬層之步驟之後將前述中和浴併入該 過程中以在浸沒於其中之後中和保留在裝置晶圓上的移除 組,物中之高氟化物含量。不管是否使用中和浴槽,微電 子裝置基板可經沖洗、乾燥及進一步加工以用於如本文所 述的再循環及/或再使用。各處理步驟之接觸條件包括在 、'、勺20 C至約5〇。〇範圍内之溫度下歷時約丨〇秒至約3〇分鐘, 較佳約1分鐘至約15分鐘範圍内之時間。第—組合物中組 Ο 如之重里百分比比率之範圍為螯合劑相對於氧化劑約0.1:1 至約5:1,較佳約〇.33:1至約3:1,且最佳約〇 6:1至約2卜 另態樣係關於使用移除組合物自其上具有導電墨水之 微電子裝置結構移除導電墨水’包括(但不限於)平板顯示 态、天線、電池及RFID技術。&quot;導電墨水”包括(但不限於) 導電金屬(例如’ Ag、Cu、A1)、碳、黏著聚合物黏合劑、 導電聚合物、奈米粒子、導電碳奈米管及其組合。如熟習 此項技術者容易地測定,移除組合物之組份視導電墨水之 組合物組成而定。視組合物組成而定,在藉由添加額外組 132222.doc -79· 200918664 份改質後本文所述之移除組合物可原樣使用,或可調配 移除組合物。舉例而言,移除組合物較佳包括至少—種 機溶劑,最佳至少一種胺(如先前引入)以幫助移除黏著聚 合物層及/或導電聚合㉗。當待自微電子纟置基板移除之 導電墨水包括導電金屬、碳、導電聚合物及/或碳奈:管 時,移除組合物中可存在至少一種酸及/或至少一種氧I 劑。預期之酸包括(但不限於)硫酸、對曱苯硫酸、羥基2 酸、HF、HC卜硝酸、硫酸及碌酸。預期之氧化劑包括本 文中先前引入之物質且較佳包括(但不限於)Η&quot;2、過氧硫 酸鹽(例如’ m安)、過硫酸氣卸、過氧二硫酸鹽^ 有機過氧化物及其組合。在另—實施财,當待自微;子 裝置基板移除之導電墨水包括導電金屬、碳及/或導電聚 合物時,移除組合物包括至少一種鹼及至少一種氧化劑。 本文預期之驗包括氫氧⑽、過氧化鈉、氫氧化鉀及具有 式NR^R^R/OH之氫氧化物,其中R丨、r2、汉3及r4彼此。 相同或不肖’且係選自由氫、直鏈或支鏈Ci_c6院基(例 如’甲基、乙基、丙基、丁基、戊基及己基)及經取代或 未經取代之C6-C10芳基(例如,苯甲基)組成之群。在另— 實施例中,當待自微電子裝置基板移除之導電墨水包括導 電金屬、碳奈米管及/或導電聚合物日寺,移除組合物包括 至少一種界面活性劑及至少一種髮合劑。界面活性劑及餐 合劑係先前引入本文中。在特定較佳實施例中,移除組合 物進一步包括導電墨水’其中導電墨水可溶解及/或懸浮 於移除組合物中。即使含有導電墨水,移除組合物仍可用 132222.doc -80- 200918664 於繼續使用。 在又態樣令,描述用於多步驟移除過程之套組,其具 有1多個包括第-組合物、移除組合物及/或中和组: 物之容器。該套組可包括關於如何使用多步驟移除過程: 組,物在再生處理期間實質上消除微電子裝置基板之坑洞 的指令。可在其自身的個別容器中預混合後提供第一組合 物移除組合物及/或中和組合物。亦預期第一組合物之 組份可提供於一或多個容器中以供在使用時混合(彼此及/ 或與水)以製成第-組合物,預期移除組合物之組份可提 供於-或多個容器中以供使用時混合(彼此及/或與水)以製 成移除組合物,且/或預期中和組合物之組份可提供於一 或多個容器中以供使用時混合(彼此及/或與水)以製成中和 組合物。套組之容器應被化學評定以儲存及分配其中所含 有之組份1例而言’套組之容器可為N〇wpak⑧容器 (Advanced Technology Materials, Inc., Danbury, Conn USA) 〇 ’’ 在另一態樣中,描述一種使用移除組合物再生、再加 工、再使用及/或再循環DNA晶片(亦稱為DNA微陣列)之方 法。DNA晶片通常製造於玻璃基板上,且使用光微影技術 將核酸沈積於其上。因此,將存在DNA晶片不合格之機會 且,、右未經再生、再加工、再使用及/或再循環將另外報 廢。 加工後,組合物可被進一步加工以降低製造設施中廢水 抓的化子為氧里(c〇D)。舉例而言,含有有機溶劑及無機 132222.doc •81 -Contacting the removal composition on the neutralizing microelectronic device contacts the microelectron device having the neutralized removal composition thereon with a rinse solution to remove the neutralized removal composition therefrom. Preferably, the neutralizing composition comprises at least one buffer material, wherein the neutralized removal composition has a pH of from about 5 to about 9, more preferably from about 6 to about 8 and is optimal. It is about 7. Buffering materials contemplated herein include, but are not limited to, commercially available color coded buffer solutions or tailored solutions including bases (such as hydroxides, carbonates, salts, salts, and the like) and test/salt mixtures. Preferably, the rinsing solution comprises water. In yet another aspect, a multi-step removal process that substantially eliminates potholes in the substrate of the microelectronic device during the regeneration process is described. The multi-step process includes at least one step of removing the metal and barrier layer material and at least one other removing all other non-metal layers (eg, post-etch residue, low-k dielectric, high dielectric, end-of-charge termination) Materials, ferroelectrics, tellurides, nitrides, oxides, photoresists, bottom anti-reflective coatings (BARC), sacrificial anti-reflective coatings (SARC), polymer-containing buildup, miscellaneous materials, doped regions and The steps of combining). For example, the step of removing the metal and barrier layer material can include contacting the micro-132222.doc-78-200918664 electronic farm structure with a first composition comprising at least one oxidizing agent and at least one integrator to produce substantially no The step of micro-electrical and metal-shielding material removal of all other non-metal layers may include microelectronic device structures that are substantially free of metal and barrier layer materials as described herein: • *, σ (eg, Contacting the second or fifth aspect of the removal composition with any other removal composition without oxidant) to produce a microelectronic device base '2 ° should be understood to remove the metal and barrier layer material steps and shifts In addition to all the steps of the {) non-metal layer, the step of rinsing is carried out. In addition, it should be understood that the step of removing all other non-metal layers can be followed by incorporating the aforementioned neutralization bath into the process to be immersed in the process. Neutralizes the high fluoride content of the removed set on the device wafer. The microelectronic device substrate can be rinsed, dried, and further processed for recycling and/or reuse as described herein, whether or not a neutralization bath is used. The contact conditions for each treatment step are included in , ', spoon 20 C to about 5 Torr. The temperature in the range of from about 丨〇 to about 3 〇 minutes, preferably from about 1 minute to about 15 minutes. The ratio of the percentage of the hydrazine in the first composition is in the range of from about 0.1:1 to about 5:1, preferably from about 33.33:1 to about 3:1, and most preferably about 〇6, relative to the oxidizing agent. From 1 to about 2, the use of a removal composition to remove conductive ink from a microelectronic device structure having conductive ink thereon includes, but is not limited to, flat panel display, antenna, battery, and RFID technology. &quot;conductive inks" include (but are not limited to) conductive metals (such as 'Ag, Cu, A1), carbon, adhesive polymer binders, conductive polymers, nano particles, conductive carbon nanotubes and combinations thereof. The skilled artisan will readily determine that the composition of the removal composition will depend on the composition of the composition of the conductive ink. Depending on the composition of the composition, after the modification by adding an additional group 132222.doc -79· 200918664 The removal composition can be used as is, or can be formulated to remove the composition. For example, the removal composition preferably includes at least an organic solvent, preferably at least one amine (as previously introduced) to aid in removal. Adhesive polymer layer and/or conductive polymerization 27. When the conductive ink to be removed from the microelectronic mounting substrate comprises a conductive metal, carbon, a conductive polymer and/or a carbon nanotube: the removal composition may be present at least An acid and/or at least one oxygen I. Expected acids include, but are not limited to, sulfuric acid, p-toluenesulfonic acid, hydroxy 2 acid, HF, HC, nitric acid, sulfuric acid, and sulphuric acid. The intended oxidizing agents include those previously introduced herein. Substance and better Including (but not limited to) Η&quot;2, peroxosulfate (eg 'm'), persulfate gas unloading, peroxodisulfate^organic peroxide and combinations thereof. When the conductive ink removed by the sub-device substrate comprises a conductive metal, carbon and/or a conductive polymer, the removal composition comprises at least one base and at least one oxidant. The tests contemplated herein include hydrogen oxygen (10), sodium peroxide, and hydroxide. Potassium and hydroxides of the formula NR^R^R/OH, wherein R丨, r2, han3 and r4 are the same or not, and are selected from hydrogen, linear or branched Ci_c6 yards (eg 'A a group consisting of a substituted, unsubstituted C6-C10 aryl group (e.g., benzyl), and a substituted or unsubstituted C6-C10 aryl group (e.g., benzyl). The conductive ink removed by the substrate of the microelectronic device comprises a conductive metal, a carbon nanotube and/or a conductive polymer, and the removal composition comprises at least one surfactant and at least one hair developer. The surfactant and the meal agent are previously Introduced herein. In a particular preferred embodiment, the group is removed The article further includes a conductive ink 'where the conductive ink is soluble and/or suspended in the removal composition. Even if the conductive ink is contained, the removal composition can be used for continued use 132222.doc -80-200918664. A kit for a multi-step removal process having more than one container comprising a first composition, a removal composition, and/or a neutralization group: the kit can include how to use a multi-step removal Process: The instructions for substantially eliminating potholes in the substrate of the microelectronic device during the regeneration process. The first composition removal composition and/or the neutralizing composition may be provided after premixing in its own individual container. It is also contemplated that the components of the first composition may be provided in one or more containers for mixing (individual and/or with water) to form a first composition, which is expected to be provided for removal of the composition. Mixing in (or each other and/or with water) in a container or in a plurality of containers to make a removal composition, and/or a component of the desired neutralizing composition may be provided in one or more containers for Mix when used (mutually and/or with water) Into the composition and composition. The container of the kit shall be chemically assessed for storage and distribution of the components contained therein. For example, the container of the kit may be a N〇wpak8 container (Advanced Technology Materials, Inc., Danbury, Conn USA) 〇'' In another aspect, a method of regenerating, reprocessing, reusing, and/or recycling a DNA wafer (also known as a DNA microarray) using a removal composition is described. DNA wafers are typically fabricated on glass substrates and are deposited onto them using photolithographic techniques. Therefore, there will be a chance that the DNA wafer will fail, and the right without regeneration, reprocessing, reuse and/or recycling will be additionally scrapped. After processing, the composition can be further processed to reduce the scum of the wastewater in the manufacturing facility to oxygen (c〇D). For example, containing organic solvents and inorganic 132222.doc •81 -

200918664 生物毒性化合物(諸如氟化物)兩者的經混合水性-有機調配 物可經以下各物處理:(1)碳,較佳具有小於丨nm寬之微 孔的聚二氯亞乙烯(PVDC)單體碳,其將自組合物&quot;擦洗,,有 機溶劑,(2)金屬碳酸鹽,諸如鹼金屬或鹼土金屬碳酸鹽, 其可與氣離子反應中和所存在之任何酸,及/或(3)石夕酸 妈,諸如Ca3SiO5.Ca2SiO4.xH2O,#可與敦離子反應且中 和所存在之任何酸。該等處理可為連續的或以單步驟混合 床方法進行。加工之廢水流應暴露於處理直至COD降低至 公布之可接受水準。 特徵及優勢由下文所述之說明性實例更完全展示。 實例1 在60 c至80 c範圍内之溫度下,將裸Si晶圓上之經毯覆 SiC.N及SiC(皆具有約55G A之厚度)浸沒於本發明第六態樣 之多個實施例中。浸沒於移除組合物中之後,移除晶圓, 將其沖洗且乾燥’且測定㈣速率。Sic:N&amp;si(^圓之結 果分別提供於表1及表2中。 表1 : SiC:N在8〇°C (除非另外說明)下之 I虫刻速率200918664 Mixed aqueous-organic formulations of both biotoxic compounds (such as fluorides) can be treated by: (1) carbon, preferably polydichloroethylene (PVDC) having micropores less than 丨 nm wide. Monomer carbon, which will be self-assembling &quot;scouring, organic solvent, (2) metal carbonate, such as an alkali metal or alkaline earth metal carbonate, which can neutralize any acid present in the reaction with the gas ion, and/or (3) Anthraquinone, such as Ca3SiO5.Ca2SiO4.xH2O, # can react with Dunnic and neutralize any acid present. These treatments can be carried out continuously or in a single-step mixed bed process. The treated wastewater stream should be exposed to treatment until the COD is reduced to the published acceptable level. The features and advantages are more fully shown by the illustrative examples set forth below. Example 1 Sublimation of blanket SiC.N and SiC (both having a thickness of about 55 G A) on a bare Si wafer at various temperatures in the range of 60 c to 80 c in various implementations of the sixth aspect of the invention In the example. After immersion in the removal composition, the wafer is removed, rinsed and dried&apos; and the (four) rate is determined. Sic: N&amp;si (the results of the ^ circle are provided in Tables 1 and 2, respectively. Table 1: I etch rate of SiC:N at 8 ° C (unless otherwise stated)

表2 : SiC在80°c下之蝕刻速率。 調配物 —-- —^刻速—率 SiC:N/A min-1 S5 ~ L--7.1 132222.doc -82- 200918664Table 2: Etch rate of SiC at 80 °c. Formulation ————— —^Instant rate—SiC: N/A min-1 S5 ~ L--7.1 132222.doc -82- 200918664

:著地,所添加水之存在可降低Sic敍刻速率。 之最佳SiC㈣速率(約9Amin、對先前技術之改良,妙 而,同時存在Si晶圓之背 — 曰圓尤月面敍刻。為避免背面_ 如再加工期間前側之保謨爽 文喊 〈俅。隻术保護背S,例如將晶圓 使用惰性氣體(例如氮齑)乃/七 〜 乳乱)及/或去離子水噴霧保護晶圓 的單個晶圓工具中。或去 + 才面 或其他保護性塗層聚合 層: Landing, the presence of added water can reduce the Sic nicking rate. The best SiC (four) rate (about 9Amin, the improvement of the prior art, wonderful, while the back of the Si wafer - 曰 round and moon surface narration. To avoid the back _ such as the front side of the reprocessing period只 Only protect the back S, for example, by using an inert gas (such as nitrogen) or a deionized water spray to protect the wafer in a single wafer tool. Or go to + face or other protective coating layer

性塗層聚合物包括對納:“面,㈣光阻層或保護 括對移除組合物具有抗性之單面黏著材料 且經定尺寸以覆蓋晶圓背面。 :此,儘管本文已參考本發明之特定態樣、特徵及說明 性實施㈣述本發明’但應瞭解本發明之效用並不因此受 义X L伸至且涵蓋眾多其他態樣、特徵及實施例。因 :轰意欲在申請專利範圍之精神及範峰内將下文所述之申 味專利範圍相應廣泛解 鮮釋為包括所有該等態樣 '特徵及實 施例。 132222,doc -83· 200918664 【圖式簡單說明】 圖1A說明具有微量吸移管尖端Luggin毛細管的本發明之 鹽橋。 圖說明具有内徑均一之彎曲Luggin毛細管的本發明之 鹽橋。 圖1C說明具有内徑i句—之直㈣咖毛細管的本發明之鹽 橋。 圖2說明用於腐蝕測定實驗的本發明之鹽橋。 I32222.doc -84 -The coating polymer comprises a pair of nano: "face, (four) photoresist layer or a single-sided adhesive material that is resistant to the removal of the composition and sized to cover the back side of the wafer.: This, although referenced herein DETAILED DESCRIPTION OF THE INVENTION [0014] The present invention is described in its entirety, but it should be understood that the utility of the present invention is not to be construed as being limited to and encompassing numerous other aspects, features, and embodiments. Within the spirit of the scope and Fan Feng, the scope of the patent application described below is interpreted as a comprehensive interpretation to include all such features' features and examples. 132222, doc -83· 200918664 [Simplified illustration] Figure 1A illustrates The salt bridge of the present invention having a micropipette tip Luggin capillary. The figure illustrates a salt bridge of the present invention having a curved Luggin capillary having a uniform inner diameter. Figure 1C illustrates a salt of the present invention having a diameter (i) coffee capillary. Figure 2. Figure 2 illustrates the salt bridge of the present invention used in corrosion assay experiments. I32222.doc -84 -

Claims (1)

200918664 十、申請專利範圍: 1 · 種移除組合物’其包含至少一種無機酸、至少一種蝕 刻齊丨J 、^ | 主 一種氧化劑及視情況之水,其中該移除組合 物適於自其上具有Sic及/或SiC:N之微電子裝置結構移除 該材料。 2.如清求項1之移除組合物,其中該至少一種無機酸包含 選自由 HC1、hn〇3、h2S04 ' HBr、HI及 HC104組成之群 的酸。 3·如請求項1或2之移除組合物,其中該至少一種蝕刻劑包 含選自由敦化氫(HF);二氟化氙(XeF2);氟化錢 (NH4F);氟化四烷基銨(Nr4F);氟化氫烷基(NRH3F); 一氟化氫銨(NHSF2);氟化氫二烷基銨氟化氫 一烷基銨(NRsHF);氟化三氫三烷基銨(NR3:3HF);無水 氟化氫吡啶複合物;無水氟化氫三乙胺複合物;胺氟化 氫複合物及其組合組成之群的氟化物源,其中R係選自 ^ 由直鏈CVC6烷基、支鏈Cl_C6烷基組成之群。 4.如請求項3之移除組合物,其中該胺包含選自由吡啶、2_ 乙基吡啶、2-甲氧基吡啶、3-甲氧基吡啶、2_甲基吡 • 啶、吡啶衍生物、二曱基吡啶、哌啶、哌嗪、三乙基 胺、二乙醇胺、乙基胺、甲基胺、異丁基胺、第三丁基 胺、三丁基胺、二丙基胺、二曱基胺、二甘醇胺、單: 醇胺、吼嘻、異嗔唾、1,2,4-***、聯吡啶、嘧咬、。比 H秦、啥琳、異啥琳、《、味。坐、1甲基嗎^ N-氧化物(NMMO)、三甲基胺-N-氧化物、三乙基胺_N_ 132222.doc 200918664 氧化物、吡啶-N-氧化物、N-乙基嗎啉-N-氧化物、N_曱 基Π比π各啶-N-氧化物、N-乙基吡咯啶-N-氧化物、丨_甲基 11米唆、二異丙基胺、二異丁基胺、苯胺、苯胺衍生物及 其組合組成之群的物質。 5. 如請求項1或2之移除組合物,其中該至少一種氧化劑包 含選自由過氧化氫、Feds(水合及未水合兩者)、過硫酸 氫鉀、過氧單硫酸銨、亞氣酸銨、氯酸敍、峨酸銨、過 侧酸錢、高氯酸銨、高碘酸銨、過氧硫酸銨、次氯酸 錢、過氧硫酸鈉、次氯酸鈉、碘酸鉀、高錳酸鉀、過氧 硫酸钾、硝酸、過硫酸鉀、次氯酸鉀、亞氣酸四甲基 銨、氯酸四曱基銨、碘酸四甲基銨、過硼酸四甲基銨、 南氣酸四甲基銨、過碘酸四曱基銨、過氧硫酸四甲基 銨、過氧單硫酸四丁基銨、過氧單硫酸、硝酸鐵、過氧 化氫脲、過乙酸及其組合組成之群之物質。 6. 如請求項1或2之移除組合物,其進一步包含選自由 U SlC、S】C:N及其組合組成之群的材料殘餘物。 7·如4求項1之移除组合物,纟包含h2S〇4、過硫酸氯卸及 . 至)-種蝕刻劑’其中該至少-種蝕刻劑包含選自由 F 一氟化銨、KF及其組合組成之群的氟化物源。 8. —種自微電子|置結構移除碳化石夕之方法,該方法包含 使包含微電子裝置基板及Sic之微電子裝置結構與如請 求項1或7之移除組合物在足以自該微電子裝置結構實質 上移除SiC之條件下接觸足夠時間。 9. -種使用指示劑監視移除組合物之至少—種組份的濃度 132222.doc 200918664 變化之方法,該方法包含: Ο)向移除組合物中引入指示劑,其中該指示劑為第—狀 態’表示該移除組合物適用於自微電子裝置結構實質上 移除至少一種材料; (b) 將該微電子裝置結構引入至該移除組合物中,且 (c) 監視該指示劑,其中該指示劑成為第二狀態之轉變表 不該移除組合物中至少一種組份濃度之改變。 Ο200918664 X. Patent Application Range: 1 · A removal composition comprising at least one inorganic acid, at least one etching agent, and optionally an oxidizing agent, and optionally water, wherein the removal composition is suitable for The material is removed by a microelectronic device structure with Sic and/or SiC:N. 2. The removal composition of claim 1, wherein the at least one inorganic acid comprises an acid selected from the group consisting of HC1, hn〇3, h2S04'HBr, HI, and HC104. 3. The removal composition of claim 1 or 2, wherein the at least one etchant comprises selected from the group consisting of hydrogenated hydrogen (HF); xenon difluoride (XeF2); fluorinated money (NH4F); tetraalkylammonium fluoride (Nr4F); hydrogen fluoride alkyl (NRH3F); ammonium hydrogen fluoride (NHSF2); dialkylammonium hydrogen fluoride monoalkylammonium fluoride (NRsHF); trihydrotrialkylammonium fluoride (NR3: 3HF); anhydrous hydrogen fluoride pyridine complex a fluoride source of anhydrous hydrogen fluoride triethylamine complex; an amine hydrogen fluoride complex and a combination thereof, wherein R is selected from the group consisting of a linear CVC6 alkyl group and a branched Cl_C6 alkyl group. 4. The removal composition of claim 3, wherein the amine comprises a compound selected from the group consisting of pyridine, 2-ethylpyridine, 2-methoxypyridine, 3-methoxypyridine, 2-methylpyridinium, pyridine derivatives , Dimercaptopyridine, piperidine, piperazine, triethylamine, diethanolamine, ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, two Mercaptoamine, diglycolamine, mono: Alcoholamine, hydrazine, isoindole, 1,2,4-triazole, bipyridine, pyrimidine. Than H Qin, Yu Lin, Yi Yulin, ", taste. Sit, 1 methyl?^ N-oxide (NMMO), trimethylamine-N-oxide, triethylamine_N_132222.doc 200918664 Oxide, pyridine-N-oxide, N-ethyl? porphyrin-N-oxide, N_mercaptopurine π pyridine each-N-oxide, N-ethylpyrrolidine-N-oxide, 丨_methyl 11 m hydrazine, diisopropylamine, diiso A substance of the group consisting of butylamine, aniline, aniline derivatives, and combinations thereof. 5. The removal composition of claim 1 or 2, wherein the at least one oxidizing agent comprises a salt selected from the group consisting of hydrogen peroxide, Feds (both hydrated and unhydrated), potassium hydrogen persulfate, ammonium peroxymonosulfate, and sulphuric acid. Ammonium, chloric acid, ammonium citrate, over-acid acid, ammonium perchlorate, ammonium periodate, ammonium peroxysulfate, hypochlorous acid, sodium peroxodisulfate, sodium hypochlorite, potassium iodate, potassium permanganate , potassium peroxosulfate, nitric acid, potassium persulfate, potassium hypochlorite, tetramethylammonium sulfite, tetradecylammonium chlorate, tetramethylammonium iodate, tetramethylammonium perborate, tetramethylammonium nitrite Substances of ammonium, tetradecyl ammonium periodate, tetramethylammonium peroxysulfate, tetrabutylammonium peroxymonosulfate, peroxymonosulfuric acid, ferric nitrate, urea hydrogen peroxide, peracetic acid, and combinations thereof . 6. The removal composition of claim 1 or 2, further comprising a material residue selected from the group consisting of U SlC, S]C:N, and combinations thereof. 7. The removal composition of claim 1, wherein the composition comprises h2S〇4, chlorine persulfate, and an etchant, wherein the at least one etchant comprises a salt selected from the group consisting of F-ammonium fluoride, KF, and A fluoride source of the group consisting of the combinations. 8. A self-microelectronics method for removing carbon carbide from a structure, the method comprising: structuring a microelectronic device structure comprising a microelectronic device substrate and Sic with a removal composition as claimed in claim 1 or 7 The microelectronic device structure is exposed for substantially sufficient time under conditions of SiC removal. 9. A method of using an indicator to monitor a concentration of at least one component of a composition to remove a composition of 132222.doc 200918664, the method comprising: Ο) introducing an indicator into the removal composition, wherein the indicator is - State ' indicates that the removal composition is suitable for substantially removing at least one material from the microelectronic device structure; (b) introducing the microelectronic device structure into the removal composition, and (c) monitoring the indicator Wherein the transition of the indicator to the second state indicates that the concentration of at least one component of the composition is removed. Ο 1 〇.如请求項9之方法,其中該指示劑包含光子晶體、著色 劑或其組合。 11·如Μ求項10之方法,其中該著色劑包含氧化·還原指示 劑、酸-驗指示劑或其組合物。 12.如請求項9之方法’其中該指示劑之第—狀態可為無 色、可見光譜中之第一顏色或紫外光譜中之第一波長, 其中該指示劑之第二狀態可為無色、可見光譜中之;二 顏色或紫外光譜中之第二波長’且 ^ 第二狀態不同。 、中以-狀咖 13·=Γ之方法’其中該待移除之材料係選自由餘刻 金屬堆、低-4電質、“介電質、钱刻終止材料、 、’隹®材料、障壁層材料、鐵電u ϋ 料、鐵束體材料、石夕化物材 氮化物材料、氧化物材料、光 (BARC) , . 艰·祁4几反射塗層 卜雜㈣ (SARC)、含有聚合物之積 累雜項材料、摻雜區域積 1 4如言奩φ TS ΓΛ \ &lt;辟。 • Θ求項9之方法,其進—步包 加一份量之至少一種組份。 〃除組合物中添 132222.doc 200918664 15.如請求項9之方法,其中該指示劑係以 示劑條帶形式提供。 木抖添加劑或指 。之方法’其中該等光子晶體包含還原劑。 物之終點。 十應於該移除組合 18. -種減少組份自移除組合物蒸發之方法, 其中安置有該移除組合物之容器上形=匕3在 層以《發少4發之材料 亥移除組合物適於自1上罝右 =一種選自由以下各物組成之群的材料之微電子;置 結構移除該材料:㈣後殘餘物、低 ::材:刻終止材料、金屬堆疊材料、二二: :=:::材料、氮化物材料、氧化物材料 〇、含有聚合物之料:、犧牲抗反射塗層 組合。 之積累、雜項材料、摻雜區域及其The method of claim 9, wherein the indicator comprises a photonic crystal, a colorant, or a combination thereof. 11. The method of claim 10, wherein the colorant comprises an oxidation/reduction indicator, an acid-test indicator, or a combination thereof. 12. The method of claim 9 wherein the first state of the indicator is a colorless, first color in the visible spectrum or a first wavelength in the ultraviolet spectrum, wherein the second state of the indicator can be colorless, visible In the spectrum; the second wavelength in the two color or ultraviolet spectrum 'and the second state is different. The method of the medium-like coffee 13·=Γ, wherein the material to be removed is selected from the group consisting of a metal stack, a low--4 power, a dielectric, a material, a material, a material, Barrier layer material, ferroelectric u-material, iron-beam material, stone-like material nitride material, oxide material, light (BARC), hard-to-find 4 reflective coatings (four) (SARC), containing polymerization Accumulation of miscellaneous materials, doped region product 1 4 如 奁 ΓΛ TS ΓΛ \ &lt; 辟. • The method of claim 9, which further includes at least one component of the amount. The method of claim 9, wherein the indicator is provided in the form of a strip of the agent. The method of the invention is wherein the photonic crystal contains a reducing agent. The method of removing the composition 18. The reducing composition evaporates from the composition, wherein the container on which the removal composition is placed is shaped like 匕3 in the layer to remove the material The composition is suitable for from the top of the top = a microscopic material selected from the group consisting of the following Substructure; remove the material: (4) post-residue, low:: material: engraved material, metal stack material, 22: :=::: material, nitride material, oxide material 〇, containing polymer Material: sacrificial anti-reflective coating combination. Accumulation, miscellaneous materials, doped regions and 19. 如請求項18之方法,其中誃 ® j.*. ^ u» , 、 减^蒸發之材料飄浮於安 置在遠谷器中之該移除組合物上。 文 20. 如請求項1 8或1 9之太、,土 聚四氟乙烯。 …、言玄等減少蒸發之材料包含 21. 一種移除組合物, 劑,其中該組合物 中之至少一者: /、匕3至J —種敍刻劑、水及指示 進步表徵為包含以下組份⑴或(π) (I) 至少一種胺;或 (II) 至少一種有機溶劑,且 132222.doc 200918664 其中該移除組合物適於自其上具有至少―種選自由以下 各物組成之群的材料之微電子裝置結構移除該材料:蝕 刻後殘餘物、低-K介電質、高-K介電質、钱刻終止材 料、金屬堆疊材料、障壁層材料、鐵電體材料、矽化物 材料'氮化物材料、氧化物材料、光阻、底部抗反射塗 層(BARC)、犧牲抗反射塗層(SARC)、含有聚合物之積 累、雜項材料、摻雜區域及其組合。 22.如請求項21之組合物’其t該指示劑包含選自由以下各 物組成之群的物質:孔雀綠草酸鹽、結晶紫、甲基紫 2B、乙基紫、新品紅、維多利亞藍B、維多利亞純藍 BO、甲苯胺藍〇、Luxo丨亮綠BL、分散藍!、亮藍r、維 多利亞R、天竺綠B(Quinea green B)、硫堇、梅爾多拉寥 藍、亞甲綠、酸性綠B、鹼性藍6B、亮、綠、酒精溶解 HLK BASF、額外維多利亞綠s、酸紫17、鉻黑τ、鉻藍 黑B、D &amp; C綠第2號、酒精溶解快RR、酒精溶解快紅 3B、D &amp; C紅第22號、硝基紅、剛果紅、曱酚紅、亮曱 酚藍ALD、偶氮砷1、鹼性紅μ、俾斯麥棕R、亞甲紫、 亞曱务、3RAX、酸性鉻棕1、反應性黑5、酸性鉻棕μ、 酸性棕AX987、酸性紫AX990、鹼性紅15、媒介紅19、 溴鄰苯三酚紅及其組合。 23. —種自其上具有材料之微電子裝置移除該材料之方法 該方法包含: (a)使該微電子裝置與移除組合物在第一溫度下接觸足夠 時間以自該微電子裝置實質上移除至少一種材料;且 132222.doc 200918664 ⑻使該微電子裝置與沖洗組合物在第二溫度下接觸 時間以自該微電子裝置實質上移除殘餘積累, &quot; 其中該第一溫度低於該第二溫度。 24. 如請求項23之方法,其中該第_溫度係㈣代 範圍内。 e 25. 如請求項23之方法’其中該第—、西 乐—,皿度係在約45°C至約 99t:範圍内。 3 26. 如凊求項23、24或25中任一 土一 丫仕項之方法,其中該方法進— 步包含選自由在第r、、®诗τ ,、,&amp; , 弟一恤度下以額外溶劑沖洗該微電子 置,使该微電子袭置齡煙·蚀 丁展罝Μ,使㈣電子襄置拋光,·及直 組合組成之群的至少一種額外 於該第二溫度。 低 27· 種鹽橋’其包今·營、土么〇 .々气η ,丁_ 、' 八已3 g 、毛細官及電解質凝膠,其中該管 為/σ軸之圓柱,該毛細管自該管tΛ ^ &amp; 〇 茨s之第—端露出,且該 官之弟二端容納一參考電極, 且其中s亥電解質凝膠填充 s亥毛細官及該管之至少一部分。 28. 如請求項27之鹽橋,其中該管及該毛細管之至少-者包 含聚四氟乙烯。 29. —種套組,並句..一钕 ^ πσ 一 kh _卜’、 第一谷态中的一基礎調配物及在 六器令的至少一種添加劑,其中在使用時該第二 U第-谷器組合以產生可自微電子裝置結構實質 =多除至少—種材料以產生可再循環或可再使用之微電 ㈣除組合物’其中該至少—種可移除材料 、自由以下各物組成之群:钮刻後殘餘物、低_κ介電 132222.doc 200918664 質、高-κ介電質、#刻終止材料、金屬堆疊材 辟 層材料、鐵電體材料、料物材料、氮化物材料 物材料、綠、底部抗反射塗層(BARC)、犧牲抗反= 層(SARC)、含有聚合物之積累、雜項材料、推雜區収 其組合。 30. 如請求項29之套組’其中該待移除之材料包含至小一 31. 如請求項30之套組,其中該基礎調配物包含至少一 刻劑源及至少一種選自由水、至少一 ^種蝕 ^ 禋有機溶劑、至少 一種界面活性劑及其組合組成之群的額外組份。 32. 如請求項29-31中任一項之套組,其中 人, T及捋移除之材料包 含至少一種金屬物質。 匕 33. 如請求項32之套組’其中該第一添加劑包含至少 化劑、視情況至少一種螯合劑、視情況之水及其心虱 Ο 34. 如請求項29_31中任一項之套组,其中該待移除之封^ 含至少一種含有聚合物之材料及/或光阻。 匕 35. 如請求項34之套組,其中該第一添加劑包含至少— 機溶劑、至少一種胺、水及其組合。 重有 36. —種自其上具有導電墨水之微電子裝置結構移除該 墨水之方法,該方法包含: /電 分析該導電墨水以測定組合物組成;及 使組份組合以形成移除組合物以自其上具有導電黑7 之微電子裝置結構實質上移除該導電墨水。 a水 3'如請求項36之方法’其中該導電墨水包含黏著聚合物層 132222.doc19. The method of claim 18, wherein 誃 j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j j Article 20. If the request is 18 or 19, the soil is polytetrafluoroethylene. The material for reducing evaporation, etc. comprises: a removal composition, wherein at least one of the compositions: /, 匕3 to J, a narrative, water, and indicator progress are characterized as comprising the following Component (1) or (π) (I) at least one amine; or (II) at least one organic solvent, and 132222.doc 200918664 wherein the removal composition is adapted to have at least one species selected from the group consisting of: The microelectronic device structure of the group of materials removes the material: post-etch residue, low-k dielectric, high-k dielectric, money engraving material, metal stack material, barrier layer material, ferroelectric material, Telluride materials 'nitride materials, oxide materials, photoresists, bottom anti-reflective coatings (BARC), sacrificial anti-reflective coatings (SARC), polymer-containing buildup, miscellaneous materials, doped regions, and combinations thereof. 22. The composition of claim 21, wherein the indicator comprises a substance selected from the group consisting of peacock green grass, crystal violet, methyl violet 2B, ethyl violet, new magenta, Victoria blue B, Victoria pure blue BO, toluidine blue 〇, Luxo 丨 bright green BL, scattered blue! , bright blue r, Victoria R, Quinea green B, thioindigo, Meldola indigo, green green, acid green B, alkaline blue 6B, bright, green, alcohol dissolve HLK BASF, extra Victoria Green s, sour purple 17, chrome black τ, chrome blue black B, D &amp; C green No. 2, alcohol dissolution fast RR, alcohol dissolved fast red 3B, D &amp; C red No. 22, nitro red, Congo red, indophenol red, bright phenol blue ALD, azo arsenic 1, alkaline red μ, Bismarck brown R, methylene violet, arsenic, 3RAX, acid chrome brown 1, reactive black 5, acid chrome brown μ, acid brown AX987, acid violet AX990, basic red 15, medium red 19, bromopyrogallol red and combinations thereof. 23. A method of removing a material from a microelectronic device having a material thereon, the method comprising: (a) contacting the microelectronic device with the removal composition at a first temperature for a sufficient time from the microelectronic device Substantially removing at least one material; and 132222.doc 200918664 (8) contacting the microelectronic device with the rinsing composition at a second temperature to substantially remove residual buildup from the microelectronic device, &quot; wherein the first temperature Below the second temperature. 24. The method of claim 23, wherein the _temperature system is within a range of (four) generations. e 25. The method of claim 23, wherein the first and the second are in the range of from about 45 ° C to about 99 t. 3 26. If the method of claim 23, 24 or 25, the method further comprises a method selected from the group consisting of the first and second, the poems τ, ,, &amp; The microelectronic device is rinsed with an additional solvent to cause the microelectronics to ignite, and (4) the electronic device is polished, and at least one of the groups of the straight combination is added to the second temperature. Low 27· kinds of salt bridges' 包今·营,土么〇.々气η, 丁_, '八已3 g, capillary and electrolyte gel, wherein the tube is a cylinder of /σ axis, the capillary The tube tΛ ^ &amp; the first end of the s s s is exposed, and the two ends of the official brother accommodate a reference electrode, and wherein the SiO is filled with at least a portion of the tube. 28. The salt bridge of claim 27, wherein at least one of the tube and the capillary comprises Teflon. 29. a set of sets, and a sentence: a 钕 ^ πσ a kh _ 卜 ', a basic formulation in the first trough state and at least one additive in the six order, wherein the second U - a combination of granules to produce a structure that can be self-organized from the microelectronic device = more than at least one material to produce a recyclable or reusable micro-electric (four)-removing composition, wherein the at least one removable material, free Group of objects: the residue after the button, low _κ dielectric 132222.doc 200918664 quality, high-κ dielectric, #刻 termination material, metal stack material, ferroelectric material, material material, Nitride material material, green, bottom anti-reflective coating (BARC), sacrificial anti-reverse layer (SARC), accumulation of polymer-containing, miscellaneous materials, and combination of miscellaneous materials. 30. The kit of claim 29, wherein the material to be removed comprises a small one. The kit of claim 30, wherein the base formulation comprises at least one source of the agent and at least one selected from the group consisting of water, at least one An additional component of the group consisting of an organic solvent, at least one surfactant, and combinations thereof. 32. The kit of any of claims 29-31, wherein the material removed by the person, T and the sputum comprises at least one metallic substance.匕33. The kit of claim 32, wherein the first additive comprises at least a leveling agent, optionally at least one chelating agent, optionally water, and a heart thereof. 34. The kit of any of claims 29_31 Wherein the seal to be removed contains at least one polymer-containing material and/or photoresist. 35. The kit of claim 34, wherein the first additive comprises at least an organic solvent, at least one amine, water, and combinations thereof. 36. A method of removing the ink from a microelectronic device structure having conductive ink thereon, the method comprising: / electrically analyzing the conductive ink to determine composition composition; and combining the components to form a removal combination The conductive ink is substantially removed by a microelectronic device structure having conductive black 7 thereon. a water 3' as in the method of claim 36 wherein the conductive ink comprises an adhesive polymer layer 132222.doc 200918664 或導電聚合物且該移除組合物包含至少一種胺。 3 8 ·如請求jg 2 &lt; 項36之方法’其中該導電墨水包含導電金屬、 、電t 5物及/或被奈米管且該移除組合物包含至少 一種酸及/或至少一種氧化劑。 39. : °'求項38之方法,其中該至少一種酸包含選自由硫 &quot;對甲苯硫酸、羥基乙酸、HF、:HC1、硝酸及磷酸組 成之群的強酸。 '长項36之方法’其中該導電墨水包含導電金屬、碳 或V電聚合物且該移除組合物包含至少一種驗及至少 一種氧化劑。 4 1 ·如凊求項4 〇之方法,兑中 化録…H亥至少—種鹼包含選自由氫氧 、風氧化納、氫氧化鉀、具有式服 四氫氧化物及其組合組成之 Η之第 及w… 物物質,其中 可彼此相同或不同且係選自由氫、首 1-G烷基、支鏈C〗_C6烷基、經取代之 取代之C6-Cl0芳基組成之群。 丨。方基及未經 42·π求項36之方法’其中該導電墨水包含導電金屬_ 二卡f及/或導電聚合物且該移除组合物包含至 面活性劑及至少一種螯合劑。 #界 132222.doc200918664 or a conductive polymer and the removal composition comprises at least one amine. 3. The method of claim 36, wherein the conductive ink comprises a conductive metal, an electrical material, and/or is a nanotube and the removal composition comprises at least one acid and/or at least one oxidant . 39. The method of claim 38, wherein the at least one acid comprises a strong acid selected from the group consisting of sulfur &quot; p-toluene sulfuric acid, glycolic acid, HF,: HC1, nitric acid, and phosphoric acid. The method of 'long item 36' wherein the conductive ink comprises a conductive metal, carbon or V electropolymer and the removal composition comprises at least one of at least one oxidizing agent. 4 1 · For example, the method of 项 4 4 , ... ... ... H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H H And the w... material, wherein they may be the same or different from each other and are selected from the group consisting of hydrogen, a first 1-G alkyl group, a branched C-C6 alkyl group, and a substituted C6-Cl0 aryl group. Hey. A square base and a method without the 42. π method 36 wherein the conductive ink comprises a conductive metal _ two card f and/or a conductive polymer and the removal composition comprises a surfactant and at least one chelating agent. #界132222.doc
TW097122364A 2007-06-13 2008-06-13 Wafer reclamation compositions and methods TW200918664A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94373307P 2007-06-13 2007-06-13
US94373807P 2007-06-13 2007-06-13

Publications (1)

Publication Number Publication Date
TW200918664A true TW200918664A (en) 2009-05-01

Family

ID=40156915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097122364A TW200918664A (en) 2007-06-13 2008-06-13 Wafer reclamation compositions and methods

Country Status (2)

Country Link
TW (1) TW200918664A (en)
WO (1) WO2008157345A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI508996B (en) * 2013-08-14 2015-11-21 Far Eastern New Century Corp To reduce the color difference with the composition and conjugate polymer guide The method of forming an insulating region and a conductive region by a film and reducing the color difference between the regions
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI647337B (en) * 2015-03-31 2019-01-11 美商慧盛材料美國責任有限公司 Cleaning formula
TWI762979B (en) * 2014-10-31 2022-05-01 日商富士軟片股份有限公司 Removal solution for removing ruthenium

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010524208A (en) * 2007-03-31 2010-07-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Method for stripping material for wafer reclamation
US20090229629A1 (en) * 2008-03-14 2009-09-17 Air Products And Chemicals, Inc. Stripper For Copper/Low k BEOL Clean
US20100178887A1 (en) 2009-01-13 2010-07-15 Millam Michael J Blast shield for use in wireless transmission system
EP2387801A2 (en) * 2009-01-14 2011-11-23 Avantor Performance Materials B.V. Solution for increasing wafer sheet resistance and/or photovoltaic cell power density level
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
DE102011000322A1 (en) 2011-01-25 2012-07-26 saperatec GmbH Separating medium, method and system for separating multilayer systems
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
JP2015512971A (en) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド Post-CMP removal using composition and method of use
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
WO2014105765A1 (en) * 2012-12-31 2014-07-03 Nalco Company Improved control over hydrogen fluoride levels in oxide etchant
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN105683336A (en) * 2013-06-06 2016-06-15 高级技术材料公司 Compositions and methods for selectively etching titanium nitride
CN112442374A (en) 2013-07-31 2021-03-05 恩特格里斯公司 Aqueous formulations with Cu/W compatibility for removal of metal hardmask and post-etch residues
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (en) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
WO2015143056A1 (en) * 2014-03-18 2015-09-24 Specmat, Inc. Process and fabrication technology for oxide layers
US10619097B2 (en) 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
CN105388713A (en) * 2015-12-16 2016-03-09 无锡吉进环保科技有限公司 Aluminum film drainage photoresist stripper in thin-film liquid crystal display
JP2020508369A (en) * 2017-02-10 2020-03-19 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド Formulation for cleaning
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US20210242514A1 (en) * 2018-04-19 2021-08-05 Georgia Tech Research Corporation Systems and methods for recycling electrodes
KR102192954B1 (en) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 Composition for cleaning polymer
CN112967930B (en) * 2021-02-07 2023-05-12 西安微电子技术研究所 Metallized layer stripping method of SiC wafer
CN115465846B (en) * 2022-09-13 2023-10-27 宜都兴发化工有限公司 Preparation method of porous ferric phosphate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5073289A (en) * 1989-11-02 1991-12-17 The Curators Of The University Of Missouri Paint stripper composition having reduced volatility containing decanolactone, n-methylpyrrolidone and butyrolactone and method of use
JP3210800B2 (en) * 1994-04-12 2001-09-17 ワッカー・エヌエスシーイー株式会社 Semiconductor substrate cleaning method
US6586382B1 (en) * 1998-10-19 2003-07-01 The Procter & Gamble Company Process of bleaching fabrics
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US7651989B2 (en) * 2003-08-29 2010-01-26 Kimberly-Clark Worldwide, Inc. Single phase color change agents
JP4810928B2 (en) * 2004-08-18 2011-11-09 三菱瓦斯化学株式会社 Cleaning solution and cleaning method.
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI508996B (en) * 2013-08-14 2015-11-21 Far Eastern New Century Corp To reduce the color difference with the composition and conjugate polymer guide The method of forming an insulating region and a conductive region by a film and reducing the color difference between the regions
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI762979B (en) * 2014-10-31 2022-05-01 日商富士軟片股份有限公司 Removal solution for removing ruthenium
TWI647337B (en) * 2015-03-31 2019-01-11 美商慧盛材料美國責任有限公司 Cleaning formula

Also Published As

Publication number Publication date
WO2008157345A2 (en) 2008-12-24
WO2008157345A3 (en) 2009-04-16

Similar Documents

Publication Publication Date Title
TW200918664A (en) Wafer reclamation compositions and methods
TW200908148A (en) Methods for stripping material for wafer reclamation
TWI485110B (en) Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
TWI513799B (en) Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TWI233942B (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US20100112728A1 (en) Methods for stripping material for wafer reclamation
EP0674231B1 (en) Stripping compositions containing crown others
TW200846462A (en) Liquid cleaner for the removal of post-etch residues
TWI516573B (en) Composition and process for the selective removal of tisin
EP2028262B1 (en) Improved alkaline chemistry for post-cmp cleaning
TWI343945B (en) Slurry for metal polishing and polishing method of polished film
TW200829696A (en) Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) Formulations for cleaning memory device structures
JP2009013417A (en) Post chemical-mechanical planarization (cmp) cleaning composition
TWI434149B (en) Composition for cleaning and method for manufacturing semiconductor element
WO2006129538A1 (en) Semiconductor wafer cleaning composition containing phosphonic acid and method of cleaning
TW200903605A (en) Substrate cleaning solution for semiconductor device and method for manufacturing semiconductor device
TW200913046A (en) Method for removing contamination with fluorinated compositions
JP5622752B2 (en) Stripping composition for cleaning ion-implanted photoresist from semiconductor device wafers
EP2733724B1 (en) Method for cleaning metal gate semiconductor
JP2005194294A (en) Cleaning liquid and method for producing semiconductor device
CN109423290A (en) Etching solution for selectively removing tantalum nitride relative to titanium nitride in manufacturing semiconductor device
JPH10251695A (en) Detergent composition for use in cleaning wafer for manufacturing semiconductor device and cleaning method
US20090100764A1 (en) Composition for removing photoresist layer and method for using it
CN116286222A (en) TIN pullback and cleaning compositions