KR20130088847A - 에칭 후 잔류물을 제거하기 위한 수성 세정제 - Google Patents

에칭 후 잔류물을 제거하기 위한 수성 세정제 Download PDF

Info

Publication number
KR20130088847A
KR20130088847A KR1020137003769A KR20137003769A KR20130088847A KR 20130088847 A KR20130088847 A KR 20130088847A KR 1020137003769 A KR1020137003769 A KR 1020137003769A KR 20137003769 A KR20137003769 A KR 20137003769A KR 20130088847 A KR20130088847 A KR 20130088847A
Authority
KR
South Korea
Prior art keywords
acid
optionally
residue
cleaning composition
residues
Prior art date
Application number
KR1020137003769A
Other languages
English (en)
Inventor
제프리 반스
스티븐 리피
펭 장
레크하 라자람
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20130088847A publication Critical patent/KR20130088847A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

본 발명은 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하기 위한 세정 조성물 및 방법에 관한 것이다. 이 조성물은 미소전자 장치에 또한 존재하는 인터레벨(interlevel) 유전체, 금속 상호접속 물질 및/또는 캡핑 층을 손상시키지 않으면서, 티탄-함유, 구리-함유, 텅스텐-함유 및/또는 코발트-함유 플라즈마 에칭 후 잔류물을 포함하는 잔류물을 미소전자 장치로부터 매우 효과적으로 세정한다.

Description

에칭 후 잔류물을 제거하기 위한 수성 세정제{AQUEOUS CLEANER FOR THE REMOVAL OF POST-ETCH RESIDUES}
본 발명은 티탄-함유, 구리-함유 및/또는 텅스텐-함유 에칭 후 잔류물을 비롯한 에칭 후 잔류물을 미소전자 장치(microelectronic device)로부터 제거하기 위한 조성물 및 이를 제조 및 사용하는 방법에 관한 것이다.
반도체 회로의 상호접속 회로(interconnect circuitry)는 절연 유전성 물질에 의해 둘러싸인 전도성 금속 회로로 구성된다. 과거에는, 유전성 물질로서 테트라에틸오르토실리케이트(TEOS)로부터 증착된 실리케이트 유리를 광범위하게 사용하는 한편, 알루미늄의 합금을 금속 상호접속부에 사용하였다. 보다 높은 가공 속도가 요구됨으로 인해, 회로 소자의 크기가 더 작아진 동시에 TEOS 및 알루미늄 합금이 더 높은 성능의 물질로 대체되었다. 알루미늄 합금은 구리의 더 높은 전도율 때문에 구리 또는 구리 합금으로 대체되었다. TEOS 및 플루오르화된 실리케이트 유리(FSG)는 유기 중합체, 하이브리드 유기/무기 물질, 유기 실리케이트 유리(OSG) 및 탄소-도핑된 옥사이드(CDO) 유리 같은 낮은-극성의 물질을 비롯한 소위 낮은-k의 유전체로 대체되었다. 이들 물질에 다공성, 즉 공기-충전된 공극을 혼입시킴으로써 물질의 유전 상수를 더 낮춘다.
집적 회로의 듀얼 다마신(dual-damascene) 가공 동안, 장치 웨이퍼에 패턴의 상을 그리기 위하여 사진석판술을 이용한다. 사진석판술 기법은 코팅, 노출 및 현상 단계를 포함한다. 웨이퍼를 양성 또는 음성 포토레지스트 물질로 코팅한 다음, 후속 공정에서 유지되거나 제거되어야 하는 패턴을 한정하는 마스크로 덮는다. 마스크를 적절하게 위치시킨 후, 자외선(UV) 광 또는 단자외선(DUV) 광(약 250nm 또는 193nm) 같은 단색성 선의 빔을 통해 마스크를 통과시켜, 선택되는 헹굼 용액에서 더 가용성이거나 덜 가용성인 노출된 포토레지스트 물질을 생성시킨다. 이어, 가용성 포토레지스트 물질을 제거하거나 또는 "현상시켜" 마스크와 동일한 패턴을 남긴다.
그 후, 기상 플라즈마 에칭을 이용하여, 현상된 포토레지스트 코팅의 패턴을 아래에 놓인 층[이들은 하드마스크(hardmask), 인터레벨(interlevel) 유전체(ILD) 및/또는 에칭 중단 층을 포함할 수 있음]으로 전달한다. 플라즈마 에칭 후 잔류물은 전형적으로 BEOL(back-end-of-the-line) 구조체 상에 침착되어 있고, 제거되지 않는 경우 후속 실리사이드화 또는 접속부 형성을 방해할 수 있다. 플라즈마 에칭 후 잔류물은 전형적으로 기판 및 플라즈마 기체에 존재하는 화학적 원소를 포함한다. 예를 들어, ILD 상의 캡핑 층으로서 TiN 하드마스크가 사용되는 경우, 플라즈마 에칭 후 잔류물은 티탄-함유 화합물을 포함하는데, 이들 화합물은 통상적인 습식 세정 화학 약품을 이용하여 제거하기 어렵다. 더욱이, 통상적인 세정 화학 약품은 흔히 ILD를 손상시키고/시키거나 ILD의 공극 내로 흡수됨으로써 유전 상수를 증가시키고/시키거나 금속 구조체를 부식시킨다. 예를 들어, 완충된 플루오라이드 및 용매를 기제로 하는 화학 약품은 Ti-함유 잔류물을 완전히 제거하지 못하는 반면, 하이드록실아민-함유 및 암모니아-퍼옥사이드 화학 약품은 구리를 부식시킨다.
티탄-함유 플라즈마 에칭 후 잔류물의 바람직한 제거에 덧붙여, 패턴화된 장치의 측벽 상의 중합체 잔류물, 장치의 개방 비아 구조체 내의 구리-함유 잔류물 및 텅스텐-함유 잔류물과 같이 플라즈마 에칭 후 공정 동안 침착된 추가적인 물질도 바람직하게 제거한다. 현재까지, 하나의 습식 세정 조성물이 단독으로 ILD, 다른 낮은 k-의 유전성 물질 및 금속 상호접속 물질과 상용성인 동시에 모든 잔류물을 성공적으로 제거하지는 못하였다.
낮은-k의 유전체 같은 새로운 물질을 미소전자 장치에 혼입시키면 세정 성능이 새롭게 요구된다. 동시에, 장치 치수의 축소는 장치 소자의 임계 치수에서의 변화 및 장치 소자로의 손상에 대한 허용 한계를 감소시킨다. 새로운 물질의 요구를 충족시키기 위하여 에칭 조건을 변경시킬 수 있다. 마찬가지로, 플라즈마 에칭 후 세정 조성물을 변경해야 한다. 세정제는 아래에 놓인 유전성 물질을 손상시키지 않아야 하거나 장치상의 금속 상호접속 물질, 예컨대 구리, 텅스텐, 코발트, 알루미늄, 루테늄, 티탄 및 이들의 나이트라이드 및 실리사이드를 부식시키지 않아야 한다.
이 목표를 위해, 티탄-함유 잔류물, 중합체 측벽 잔류물, 구리-함유 비아 잔류물, 텅스텐-함유 잔류물 및/또는 코발트-함유 잔류물을 포함하는(이들로 한정되지는 않음) 플라즈마 에칭 후 잔류물을 미소전자 장치로부터 효과적으로 제거하기 위한 개선된 조성물(이 조성물은 ILD, 금속 상호접속 물질 및/또는 캡핑 층과 상용성임)을 제공하는 것이 본 발명의 목적이다.
본 발명은 일반적으로 세정 조성물, 및 이를 제조 및 사용하는 방법에 관한 것이다. 본 발명의 한 양태는, 미소전자 장치 표면상의 금속 물질 및 ILD 물질을 손상시키지 않으면서, 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하기 위한 조성물 및 방법에 관한 것이다.
하나의 양태에서는, 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함하는 수성 세정 조성물이 기재된다. 수성 세정 조성물은 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하는데 적합하다.
다른 양태에서는, 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제, 하나 이상의 부동화제, 임의적으로는 하나 이상의 킬레이트화제, 및 임의적으로는 하나 이상의 착화제를 포함하는 수성 세정 조성물이 기재된다. 수성 세정 조성물은 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하는데 적합하다.
또 다른 양태에서는, 하나 이상의 용기에 수성 세정 조성물을 제조하기 위한 하기 시약중 하나 이상을 포함하는 키트가 기재되며, 이 때 상기 하나 이상의 시약은 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제로 이루어진 군으로부터 선택되며, 상기 키트는 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하는데 적합한 수성 세정 조성물을 제조하기에 적합하다.
또 다른 양태에서는, 미소전자 장치를, 미소전자 장치로부터 물질을 적어도 부분적으로 제거하기에 충분한 시간동안 수성 세정 조성물과 접촉시킴을 포함하는, 상기 물질을 갖는 미소전자 장치로부터 상기 물질을 제거하는 방법이 기재되며, 이 때 상기 수성 세정 조성물은 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함한다.
다른 양태에서는, 미소전자 장치를, 미소전자 장치로부터 물질을 적어도 부분적으로 제거하기에 충분한 시간동안 수성 세정 조성물과 접촉시킴을 포함하는, 상기 물질을 갖는 미소전자 장치로부터 상기 물질을 제거하는 방법이 기재되며, 이 때 상기 수성 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제, 하나 이상의 부동화제, 임의적으로는 하나 이상의 킬레이트화제, 및 임의적으로는 하나 이상의 착화제를 포함한다.
본 발명의 다른 양태, 특징 및 이점은 계속되는 상세한 설명 및 첨부된 특허청구범위로부터 더욱 명확해질 것이다.
본 발명은 일반적으로 잔류물을 갖는 미소전자 장치로부터 상기 잔류물, 바람직하게는 에칭 후 잔류물, 더욱 바람직하게는 티탄-함유 에칭 후 잔류물, 중합체 측벽 잔류물, 구리-함유 비아 및 라인 잔류물 및/또는 텅스텐-함유 에칭 후 잔류물을 제거하기 위한 조성물에 관한 것으로, 상기 조성물은 바람직하게는 미소전자 장치 표면 상의 매우 낮은-k(ULK)의 ILD 물질(예를 들어, OSG 및 다공성-CDO), 금속 상호접속 물질(예를 들어, 구리 및 텅스텐), 하드마스크 캡핑 층(예컨대, TiN), 및 코발트 캡핑 층(예컨대, CoWP)과 상용성이다. 또한, 본 발명은 일반적으로, 바람직하게는 미소전자 장치 표면 상의 매우 낮은-k(ULK)의 ILD 물질, 금속 상호접속 물질 및 캡핑 층과 상용성인 조성물을 사용하여, 잔류물을 갖는 미소전자 장치로부터 상기 잔류물, 바람직하게는 에칭 후 잔류물, 더욱 바람직하게는 티탄-함유 에칭 후 잔류물, 중합체 측벽 잔류물, 구리-함유 비아 및 라인 잔류물, 텅스텐-함유 에칭 후 잔류물 및/또는 코발트-함유 에칭 후 잔류물을 제거하는 방법에 관한 것이다.
참조의 용이성을 위하여, "미소전자 장치"는 미소전자 용도, 집적 회로 용도, 에너지 수집 용도 또는 컴퓨터 칩 용도에 사용하기 위해 제조되는 반도체 기판, 평면 패널 디스플레이, 상 변화 메모리 장치, 태양전지판, 및 태양 전지 장치, 태양광 발전 시스템 및 미소전자기계 시스템(MEMS)을 비롯한 다른 제품에 상응한다. 용어 "미소전자 장치"는 어떠한 방식으로든 한정하고자 하는 의미가 아니며, 결국 미소전자 장치 또는 미소전자 어셈블리가 되는 임의의 기판 또는 구조체를 포함하는 것으로 이해되어야 한다. 특히, 미소전자 장치 기판은 패턴화될 수 있고/있거나 피복될 수 있고/있거나 시험 기판일 수 있다.
본원에 사용되는 "에칭 후 잔류물" 및 "플라즈마 에칭 후 잔류물"은 기상 플라즈마 에칭 공정, 예를 들어 BEOL 듀얼-다마신 가공 후에 잔류하는 물질에 상응한다. 에칭 후 잔류물은 본질상 유기, 유기 금속, 유기 규소 또는 무기일 수 있고, 예를 들어 규소-함유 물질, 티탄-함유 물질, 질소-함유 물질, 산소-함유 물질, 중합체 잔류물, 구리-함유 잔류물(산화구리 잔류물 포함), 텅스텐-함유 잔류물, 코발트-함유 잔류물, 에칭 기체 잔류물(예컨대, 염소 및 플루오르), 및 이들의 조합일 수 있다.
본원에서 정의되는 "낮은-k의 유전성 물질" 및 ULK는 층상 미소전자 장치에서 유전성 물질로서 사용되는 임의의 물질에 상응하며, 이 때 상기 물질은 약 3.5 미만의 유전 상수를 갖는다. 바람직하게는, 낮은-k의 유전성 물질은 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기 실리케이트 유리(OSG), TEOS, 플루오르화된 실리케이트 유리(FSG), 이산화규소 및 탄소-도핑된 옥사이드(CDO) 유리 같은 극성이 낮은 물질을 포함한다. 가장 바람직하게는, 낮은-k의 유전성 물질은 유기 실레인 및/또는 유기 실록세인 전구체를 사용하여 침착된다. 낮은-k의 유전성 물질이 다양한 밀도 및 다양한 공극률을 가질 수 있음을 알아야 한다.
본원에서 정의되는 용어 "중합체 측벽 잔류물"은 플라즈마 에칭 공정 후 패턴화된 장치의 측벽상에 잔류하는 잔류물에 상응한다. 잔류물은 본질상 실질적으로 중합체이지만, 무기 화합물, 예를 들어 티탄, 규소, 텅스텐, 코발트 및/또는 구리-함유 화합물도 측벽 잔류물에 존재할 수 있음을 알아야 한다.
본원에 사용되는 "약"은 언급된 값의 ±5%에 상응한다.
본원에 사용되는, 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정함에 있어서의 "적합성"은 미소전자 장치로부터의 상기 잔류물의 적어도 부분적인 제거에 상응한다. 바람직하게는, 제거되어야 하는 하나 이상의 물질의 약 90% 이상, 더욱 바람직하게는 하나 이상의 물질의 95% 이상, 가장 바람직하게는 하나 이상의 물질의 99% 이상이 미소전자 장치로부터 제거된다.
본원에 사용되는 "캡핑 층"은 플라즈마 에칭 단계 동안 유전성 물질 및/또는 금속 물질(예컨대, 코발트)을 보호하기 위하여 이들 물질 위에 침착된 물질에 상응한다. 하드마스크 캡핑 층은 전통적으로 규소, 질화규소, 실리콘 옥시나이트라이드, 질화티탄, 티타늄 옥시나이트라이드, 티탄, 탄탈, 질화탄탈, 몰리브덴, 텅스텐, 이들의 조합 및 기타 유사한 화합물이다. 코발트 캡핑 층은 CoWP, 및 다른 코발트-함유 물질 또는 텅스텐-함유 물질을 포함한다.
"실질적으로 함유하지 않는"은 본원에서 2중량% 미만, 바람직하게는 1중량% 미만, 더욱 바람직하게는 0.5중량% 미만, 가장 바람직하게는 0.1중량% 미만으로서 정의된다.
본원에 사용되는 용어 "반-수성"은 물과 유기 성분의 혼합물을 가리킨다.
본원에서 정의되는 "착화제"는 당 업자에 의해 착화제, 킬레이트화제, 금속 이온 봉쇄제 및 이들의 조합인 것으로 이해되는 화합물을 포함한다. 착화제는 본원에 기재된 조성물을 사용하여 제거되어야 하는 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 이들 금속 원자 및/또는 금속 이온을 물리적으로 보유한다.
본원에서 정의되는 "강염기"는 LiOH, NaOH, KOH, RbOH, CsOH, Mg(OH)2, Ca(OH)2, Sr(OH)2 및 Ba(OH)2 같은 알칼리금속 및 알칼리토금속 하이드록사이드 염, 및 화학식 NR1R2R3R4OH(여기에서, R1, R2, R3 및 R4는 서로 동일하거나 상이하고, C1-C6 알킬, C6-C10 아릴 및 이들의 조합으로 이루어진 군으로부터 선택됨)를 갖는 4급 암모늄 하이드록사이드를 포함한다.
본 발명의 조성물은 이후 더욱 상세하게 기재되는 바와 같이 매우 다양한 구체적인 배합물로 구체화될 수 있다.
조성물의 구체적인 성분이 0의 하한을 포함하는 중량% 범위를 참조하여 논의되는 이러한 모든 조성물에서, 이들 성분은 조성물의 다양한 구체적인 실시양태에 존재할 수 있거나 존재하지 않을 수 있으며, 이러한 성분이 존재하는 경우 이들은 이러한 성분이 사용되는 조성물의 총 중량에 기초하여 0.001중량%의 낮은 농도로 존재할 수 있음을 알게 될 것이다.
티탄-함유 에칭 후 잔류물은 종래 기술의 암모니아-함유 조성물을 사용하여 제거하기가 매우 어렵다. 본 발명자들은 암모니아 및/또는 강염기(예컨대, NaOH, KOH 등)를 실질적으로 함유하지 않고, 바람직하게는 산화제를 실질적으로 함유하지 않는 세정 조성물(이 조성물은 티탄-함유 잔류물을 갖는 미소전자 장치의 표면으로부터 상기 잔류물를 효과적이고도 선택적으로 제거함)을 발견하였다. 또한, 조성물은 아래에 놓인 ILD, 금속 상호접속 물질, 예를 들어 Cu, Al, Co 및 W, 및/또는 캡핑 층을 실질적으로 손상시키지 않으면서 중합체 측벽 잔류물, 구리-함유 잔류물, 코발트-함유 잔류물 및/또는 텅스텐-함유 잔류물을 실질적으로 제거한다. 뿐만 아니라, 조성물은 트렌치 또는 비아를 먼저 에칭하는지(즉, 트렌치-우선 또는 비아-우선 계획)의 여부에 관계없이 사용될 수 있다. 또한, 조성물은 TiN 층을 갖는 미소전자 장치의 표면으로부터 상기 TiN 층을 실질적으로 제거하도록 배합될 수 있다.
제 1 양태에서, 본원에 기재된 세정 조성물은 수성 또는 반-수성이고, 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치의 표면으로부터 상기 잔류물을 제거하기 위하여 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 착화제, 및 임의적으로는 하나 이상의 부동화제를 포함하며, 이 때 상기 플라즈마 에칭 후 잔류물은 티탄-함유 잔류물, 중합체 잔류물, 구리-함유 잔류물, 텅스텐-함유 잔류물, 코발트-함유 잔류물, 및 이들의 조합으로 이루어진 군으로부터 선택되는 화합물을 포함한다. 다른 실시양태에서, 본원에 기재된 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 착화제, 및 임의적으로는 하나 이상의 부동화제를 포함한다. 또 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 착화제, 및 임의적으로는 하나 이상의 부동화제를 포함한다. 또 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 착화제, 임의적으로는 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 금속-킬레이트화제를 포함한다. 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 부동화제, 임의적으로는 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 착화제 및 임의적으로는 하나 이상의 에칭제 공급원을 포함한다. 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 착화제를 포함한다. 또 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 하나 이상의 착화제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 금속-킬레이트화제를 포함한다. 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 착화제, 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 에칭제 공급원을 포함한다. 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 하나 이상의 부동화제, 임의적으로는 하나 이상의 착화제 및 임의적으로는 하나 이상의 금속-킬레이트화제를 포함한다. 또 다른 실시양태에서, 세정 조성물은 하나 이상의 부식 억제제, 물, 하나 이상의 착화제, 하나 이상의 금속-킬레이트화제, 및 하나 이상의 에칭제 공급원을 포함한다. 바람직하게는, 존재하는 물의 양은 조성물의 총 중량에 기초하여 약 50중량% 내지 약 99중량%이다. 각 실시양태에서는, 하나 이상의 계면활성제, 실리카의 공급원 및/또는 하나 이상의 유기 용매를 첨가할 수 있다.
하나의 실시양태에서, 티탄-함유 잔류물, 중합체 잔류물, 구리-함유 잔류물, 텅스텐-함유 잔류물, 코발트-함유 잔류물 및 이들의 조합으로 이루어진 군으로부터 선택되는 플라즈마 에칭 후 잔류물을 세정하기 위한 수성 조성물은 조성물의 총 중량을 기준으로 하여 하기 범위로 존재하는 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 금속 킬레이트화제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함한다.
Figure pct00001
대체적인 실행시, 세정 조성물은 (i) 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 착화제; (ii) 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 착화제; (iii) 하나 이상의 부식 억제제, 물, 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제; (iv) 하나 이상의 부식 억제제, 물, 하나 이상의 착화제, 임의적으로는 하나 이상의 에칭제 공급원, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 금속-킬레이트화제; (v) 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 착화제; (vi) 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 하나 이상의 착화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 금속-킬레이트화제; (vii) 하나 이상의 부식 억제제, 물, 하나 이상의 착화제, 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 에칭제 공급원; (viii) 하나 이상의 부식 억제제, 물, 하나 이상의 착화제, 하나 이상의 금속-킬레이트화제, 및 하나 이상의 에칭제 공급원; (ix) 하나 이상의 부식 억제제, 물, 하나 이상의 부동화제, 임의적으로는 하나 이상의 금속-킬레이트화제, 임의적으로는 하나 이상의 착화제 및 임의적으로는 하나 이상의 에칭제 공급원; (x) 하나 이상의 부식 억제제, 물, 하나 이상의 에칭제 공급원, 하나 이상의 부동화제, 임의적으로는 하나 이상의 착화제 및 임의적으로는 하나 이상의 금속-킬레이트화제를 포함할 수 있거나, 이들로 구성될 수 있거나, 또는 이들로 본질적으로 이루어질 수 있다.
물은 용매로서의 역할을 하기 위하여, 또한 잔류물, 예를 들어 수용성 산화구리 잔류물의 용해를 돕기 위하여 포함된다. 물은 바람직하게는 탈이온화된다.
바람직한 실시양태에서, 수성 세정 조성물은 퍼옥사이드-함유 화합물 및 질산 같은 산화제를 실질적으로 함유하지 않는다. 다른 바람직한 실시양태에서, 수성 세정 조성물은 세정되어야 하는 기판과 접촉하기 전에 연마제 물질을 실질적으로 함유하지 않는다.
수성 세정 조성물의 pH 범위는 약 0 내지 약 7, 바람직하게는 약 0 내지 약 5, 더욱 바람직하게는 약 0 내지 약 4, 가장 바람직하게는 약 0 내지 약 3이다.
에칭제 공급원은 에칭 후 잔류물 화합물의 파괴 및 가용화를 도와서, 중합체 측벽 잔류물 제거 및 TiN 하드마스크의 약간의 에칭에 일조한다. 본원에서 고려되는 에칭제 공급원은 플루오르화수소산(HF); 플루오로규산(H2SiF6); 플루오로붕산; 암모늄 플루오로실리케이트 염((NH4)2SiF6); 테트라메틸암모늄 헥사플루오로포스페이트; 암모늄 플루오라이드 염; 암모늄 바이플루오라이드 염; 각각 화학식 NR4BF4 및 PR4BF4[여기에서, R은 서로 동일하거나 상이할 수 있고, 수소, 직쇄, 분지쇄 또는 환상 C1-C6 알킬(예를 들어, 메틸, 에틸, 프로필, 뷰틸, 펜틸, 헥실), 및 직쇄 또는 분지쇄 C6-C10 아릴(예컨대, 벤질)로 이루어진 군으로부터 선택됨]를 갖는 4급 암모늄 테트라플루오로보레이트 및 4급 포스포늄 테트라플루오로보레이트; 테트라뷰틸암모늄 테트라플루오로보레이트(TBA-BF4); 약 90:10 내지 약 99:1, 바람직하게는 약 93:7 내지 약 98:2의 중량비를 갖는 프로필렌 글라이콜/HF; 약 75:25 내지 약 95:5, 바람직하게는 약 80:20 내지 약 90:10의 중량비를 갖는 프로필렌 글라이콜/테트라알킬암모늄 플루오라이드[여기에서, 알킬기는 서로 동일하거나 상이할 수 있고, 직쇄 또는 분지쇄 C1-C6 알킬기(예를 들어, 메틸, 에틸, 프로필, 뷰틸, 펜틸, 헥실)로 이루어진 군으로부터 선택됨]; 약 75:25 내지 약 95:5, 바람직하게는 약 80:20 내지 약 90:10의 중량비를 갖는 프로필렌 글라이콜/테트라뷰틸암모늄 플루오라이드; 약 75:25 내지 약 95:5, 바람직하게는 약 80:20 내지 약 90:10의 중량비를 갖는 프로필렌 글라이콜/벤질트라이메틸암모늄 플루오라이드; 및 이들의 조합을 포함하지만, 이들로 한정되지는 않는다. 바람직하게는, 에칭제 공급원은 암모늄 바이플루오라이드, 4급 암모늄 테트라플루오로보레이트(예를 들어, 테트라메틸암모늄 테트라플루오로보레이트, 테트라에틸암모늄 테트라플루오로보레이트, 테트라프로필암모늄 테트라플루오로보레이트, 테트라뷰틸암모늄 테트라플루오로보레이트), 4급 포스포늄 테트라플루오로보레이트 또는 이들의 조합을 포함한다. 바람직하게는, 에칭제 공급원은 암모늄 바이플루오라이드, 테트라뷰틸암모늄 테트라플루오로보레이트 또는 이들의 조합을 포함한다. 당 업자는 4급 암모늄 테트라플루오로보레이트와 4급 포스포늄 테트라플루오로보레이트가 동일 반응계 내에서 생성될 수 있음을 알아야 한다.
존재하는 경우 유기 용매는 수성 세정 조성물의 성분과 유기 잔류물의 가용화를 돕고/돕거나, 미소전자 장치 구조체의 표면을 습윤시켜 잔류물 제거를 용이하게 하고/하거나, 잔류물 재침착을 방지하고/하거나, 아래에 놓인 물질, 예컨대 ULK를 부동화시킨다. 본원에서 고려되는 유기 용매는 메탄올, 에탄올, 아이소프로판올, 뷰탄올 및 고급 알콜(예컨대, C2-C4 다이올 및 C2-C4 트라이올), 테트라하이드로퓨르퓨릴 알콜(THFA), 할로겐화된 알콜(예를 들어, 3-클로로-1,2-프로페인다이올, 3-클로로-1-프로페인티올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1,2-프로페인다이올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-아이오도-1-프로판올, 4-클로로-1-뷰탄올, 2-클로로에탄올), 다이클로로메테인, 클로로폼, 아세트산, 프로피온산, 트라이플루오로아세트산, 테트라하이드로퓨란(THF), N-메틸피롤리딘온(NMP), 사이클로헥실피롤리딘온, N-옥틸피롤리딘온, N-페닐피롤리딘온, 메틸다이에탄올아민, 메틸 폼에이트, 다이메틸 폼아마이드(DMF), 다이메틸설폭사이드(DMSO), 테트라메틸렌 설폰(설폴레인), 다이에틸 에터, 페녹시-2-프로판올(PPh), 프로피오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토나이트릴, 아세톤, 에틸렌 글라이콜, 프로필렌 글라이콜(PG), 1,3-프로페인다이올, 1,4-프로페인다이올, 다이옥세인, 뷰티릴 락톤, 뷰틸렌 카본에이트, 에틸렌 카본에이트, 프로필렌 카본에이트, 다이프로필렌 글라이콜, 다이에틸렌 글라이콜 모노메틸 에터, 트라이에틸렌 글라이콜 모노메틸 에터, 다이에틸렌 글라이콜 모노에틸 에터, 트라이에틸렌 글라이콜 모노에틸 에터, 에틸렌 글라이콜 모노프로필 에터, 에틸렌 글라이콜 모노뷰틸 에터, 다이에틸렌 글라이콜 모노뷰틸 에터(즉, 뷰틸 카비톨), 트라이에틸렌 글라이콜 모노뷰틸 에터, 에틸렌 글라이콜 모노헥실 에터, 다이에틸렌 글라이콜 모노헥실 에터, 에틸렌 글라이콜 페닐 에터, 프로필렌 글라이콜 메틸 에터, 다이프로필렌 글라이콜 메틸 에터(DPGME), 트라이프로필렌 글라이콜 메틸 에터(TPGME), 다이프로필렌 글라이콜 다이메틸 에터, 다이프로필렌 글라이콜 에틸 에터, 프로필렌 글라이콜 n-프로필 에터, 다이프로필렌 글라이콜 n-프로필 에터(DPGPE), 트라이프로필렌 글라이콜 n-프로필 에터, 프로필렌 글라이콜 n-뷰틸 에터, 다이프로필렌 글라이콜 n-뷰틸 에터, 트라이프로필렌 글라이콜 n-뷰틸 에터, 프로필렌 글라이콜 페닐 에터, 다이프로필렌 글라이콜 메틸 에터 아세테이트, 이염기성 에스터, 글라이세린 카본에이트, N-폼일 모폴린, 트라이에틸 포스페이트 및 이들의 조합을 포함하지만 이들로 한정되지는 않는 알콜, 에터, 피롤리딘온, 글라이콜, 아민 및 글라이콜 에터를 포함하지만, 이들로 국한되지는 않는다. 또한, 유기 용매는 다른 양친매성 화합물, 즉 계면활성제와 유사한 친수성 잔기 및 소수성 잔기를 둘 다 함유하는 화합물을 포함할 수 있다. 탄화수소 또는 플루오로카본기로 이루어진 분자 기를 포함시킴으로써 소수성을 통상적으로 부여할 수 있으며, 이온성 또는 하전되지 않은 극성 작용기를 포함시킴으로써 친수성을 통상적으로 부여할 수 있다. 바람직하게는, 유기 용매는 트라이프로필렌 글라이콜 메틸 에터(TPGME), 다이프로필렌 글라이콜 메틸 에터(DPGME), 프로필렌 글라이콜 및 이들의 조합을 포함한다. 존재하는 경우, 조성물은 조성물의 총 중량에 기초하여 0.01중량% 이상의 유기 용매를 포함한다.
금속 부식 억제제는 금속, 예를 들어 구리, 텅스텐 및/또는 코발트 상호접속 금속의 과-에칭을 없애는 역할을 한다. 적합한 부식 억제제는 벤조트라이아졸(BTA), 1,2,4-트라이아졸(TAZ), 5-아미노테트라졸(ATA), 1-하이드록시벤조트라이아졸, 5-아미노-1,3,4-티아다이아졸-2-티올, 3-아미노-1H-1,2,4-트라이아졸, 3,5-다이아미노-1,2,4-트라이아졸, 톨릴트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-아이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로는 F, Cl, Br 또는 I), 나프토트라이아졸, 1H-테트라졸-5-아세트산, 2-머캅토벤조티아졸(2-MBT), 1-페닐-2-테트라졸린-5-티온, 2-머캅토벤즈이미다졸(2-MBI), 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 이미다졸, 벤즈이미다졸, 트라이아진, 메틸테트라졸, 비스무티올 I, 1,3-다이메틸-2-이미다졸리딘온, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 5-아미노-1,3,4-티아다이아졸-2-티올, 벤조티아졸, 트라이톨릴 포스페이트, 인다졸 같은 아졸, DNA 염기(예컨대, 아데닌, 사이토신, 구아닌, 티민), 포스페이트 억제제, 아민, 피라졸, 이미노다이아세트산(IDA), 프로페인티올, 실레인, 2급 아민, 벤조하이드록삼산, 헤테로환상 질소 억제제, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 칼륨 에틸잔테이트, 글라이신, 도데실포스폰산(DDPA) 및 이들의 혼합물을 포함하지만, 이들로 한정되지는 않는다. 옥살산, 말론산, 석신산, 나이트릴로트라이아세트산 및 이들의 조합 같은 다이카복실산도 유용한 구리 부동화제 화합물이다. 아졸은 구리 표면 상으로 화학 흡착되어 불용성 제일구리 표면 착체를 형성하는 것으로 통상적으로 받아들여진다. 적합한 텅스텐 부식 억제제는 설폴레인, 2-머캅토티아졸린, 2,3,5-트라이메틸피라진, 2-에틸-3,5-다이메틸피라진, 퀸옥살린, 아세틸 피롤, 피리다진, 히스타딘, 피라진, 글라이신, 벤즈이미다졸, 벤조트라이아졸(BTA), 이미노다이아세트산(IDA), 글루타티온(환원됨), 시스테인, 2-머캅토벤즈이미다졸, 시스틴, 티오펜, 머캅토 피리딘 N-옥사이드, 티아민 HCl, 테트라에틸 티우람 다이설파이드, 1,2,4-트라이아졸, 2,5-다이머캅토-1,3-티아다이아졸아스코르브산, 아스코르브산 및 이들의 조합, 바람직하게는 설폴레인, 피라진, 글라이신, 히스티딘, 아스코르브산 및 이들의 조합을 포함하지만, 이들로 한정되는 것은 아니다. 바람직하게는, 부식 억제제는 BTA, TAZ, 5-아미노-1,3,4-티아다이아졸-2-티올, 도데실포스폰산, BTA와 TAZ의 조합, 또는 이들의 임의의 다른 조합을 포함한다. 존재하는 경우, 조성물은 조성물의 총 중량에 기초하여 0.01중량% 이상의 부식 억제제를 포함한다.
킬레이트화제를 포함시키면 산화된 구리 및/또는 텅스텐 금속을 에칭 후 잔류물 화합물 중에서 킬레이트화시키고/시키거나 TiN 및/또는 티탄-함유 잔류물과 반응시키는 역할을 한다. 적합한 킬레이트화제는 1,1,1,5,5,5-헥사플루오로-2,4-펜테인다이온(hfacH), 1,1,1-트라이플루오로-2,4-펜테인다이온(tfac) 및 아세틸아세토네이트(acac) 같은 플루오르화된 β-다이케톤 킬레이트화제; 이미노다이아세트산; 피라졸레이트; 아미딘에이트; 구아니딘에이트; 케토이민; 다이엔; 폴리아민; 에틸렌다이아민테트라아세트산(EDTA); 1,2-사이클로헥세인다이아민-N,N,N',N'-테트라아세트산(CDTA); 에티드론산; 메테인설폰산; 염산; 아세트산; 아세틸아세톤; 알킬아민; 아릴아민; 글라이콜아민; 알칸올아민; 트라이아졸; 티아졸; 테트라졸; 이미다졸; 1,4-벤조퀴논; 8-하이드록시퀴놀린; 살리실리덴 아닐린; 테트라클로로-1,4-벤조퀴논; 2-(2-하이드록시페닐)-벤족사졸; 2-(2-하이드록시페닐)-벤조티아졸; 하이드록시퀴놀린 설폰산(HQSA); 설포살리실산(SSA); 살리실산(SA); 테트라메틸암모늄 할라이드, 예를 들어 플루오라이드, 클로라이드, 브로마이드, 아이오다이드; 및 피리딘, 2-에틸피리딘, 2-메톡시피리딘 및 이들의 유도체(예컨대, 3-메톡시피리딘, 2-피콜린, 피리딘 유도체, 다이메틸피리딘), 피페리딘, 피페라진, 트라이에틸아민, 트라이에탄올아민, 에틸아민, 메틸아민, 아이소뷰틸아민, 3급-뷰틸아민, 트라이뷰틸아민, 다이프로필아민, 다이메틸아민, 다이글라이콜 아민, 모노에탄올아민, 메틸다이에탄올아민, 피롤, 아이속사졸, 1,2,4-트라이아졸, 바이피리딘, 피리미딘, 피라진, 피리다진, 퀴놀린, 아이소퀴놀린, 인돌, 이미다졸, N-메틸모폴린-N-옥사이드(NMMO), 트라이메틸아민-N-옥사이드, 트라이에틸아민-N-옥사이드, 피리딘-N-옥사이드, N-에틸모폴린-N-옥사이드, N-메틸피롤리딘-N-옥사이드, N-에틸피롤리딘-N-옥사이드, 1-메틸이미다졸, 다이아이소프로필아민, 다이아이소뷰틸아민, 아닐린, 아닐린 유도체, 펜타메틸다이에틸렌트라이아민(PMDETA)을 포함하지만 이들로 국한되지는 않는 아민 및 아민-N-옥사이드, 및 상기중 임의의 조합을 포함하지만 이들로 한정되지는 않는다. 바람직하게는, 킬레이트화제는 메테인설폰산, 염산, PMDETA 및 이들의 조합이다. 존재하는 경우, 조성물은 조성물의 총 중량을 기준으로 하여 0.01중량% 이상의 킬레이트화제를 포함한다.
착화제는 바람직하게는 알루미늄-함유 잔류물에 대해 높은 친화력을 갖는다. 고려되는 착화제는 뷰틸렌다이아민테트라아세트산, 다이에틸렌트라이아민펜타아세트산(DTPA), 에틸렌다이아민테트라프로피온산, (하이드록시에틸)에틸렌다이아민트라이아세트산(HEDTA), N,N,N',N'-에틸렌다이아민테트라(메틸렌포스폰)산(EDTMP), 트라이에틸렌테트라아민헥사아세트산(TTHA), 1,3-다이아미노-2-하이드록시프로페인-N,N,N',N'-테트라아세트산(DHPTA), 메틸이미노다이아세트산, 프로필렌다이아민테트라아세트산, 1,5,9-트라이아자사이클로도데케인-N,N',N"-트리스(메틸렌포스폰산)(DOTRP), 1,4,7,10-테트라아자사이클로도데케인-N,N',N",N"'-테트라키스(메틸렌포스폰산)(DOTP), 나이트릴로트리스(메틸렌)트라이포스폰산, 다이에틸렌트라이아민펜타(메틸렌포스폰산)(DETAP), 아미노트라이(메틸렌포스폰산), 1-하이드록시에틸리덴-1,1-다이포스폰산(HEDP), 비스(헥사메틸렌)트라이아민 포스폰산, 1,4,7-트라이아자사이클로노네인-N,N',N"-트리스(메틸렌포스폰산)(NOTP), 2-포스포노뷰테인-1,2,4-트라이카복실산, 나이트릴로트라이아세트산(NTA), 시트르산, 타르타르산, 글루콘산, 사카르산, 글라이세르산, 옥살산, 프탈산, 말레산, 만델산, 말론산, 락트산, 다이하이드록시벤조산, 카테콜, 갈산, 프로필 갈레이트, 피로갈롤, 시스테인, 다이하이드록시살리실산, 글라이포스페이트, N-(포스포노메틸)-이미노다이아세트산, 폼산, 프로판산, 뷰탄산, 설페이트 이온, N-(2-하이드록시에틸)-이미노다이아세트산, 피리딘-2,5-다이카복실산, 피리딘-2,6-다이카복실산, 7-아이오도-8-하이드록시퀴놀린-5-설폰산, 2-아미노-2-프로필포스폰산, 1,2-다이하이드록시벤젠-4-설폰산, 4,5-다이하이드록시-1,3-벤젠 다이설폰산[티론(Tiron)], 솔로크롬 바이올렛(solochrome violet) R, 3-하이드록시-2-나프토산, 크로모트로프산, 나이트로아세트산, 옥시다이아세트산, 티오다이아세트산, 8-하이드록시-7-(아릴아조)-퀴놀린-5-설폰산, 2-옥소뷰탄산, 아세토아세트산, 페닐세린, L-아스코르브산, 스쿠아르산, 아세토하이드록삼산, 3-하이드록시-5,7-다이설포-2-나프토산, 2,3-다이하이드록시나프탈렌-6-설폰산, 설폭신, 옥신, 석신산, 3,4-다이하이드록시벤조산, 2-(3,4-다이하이드록시페닐)-2-(1,1-벤조피란)-3,5,7-트라이올, 3-하이드록시-7-설포-2-나프토산, 1,2-다이하이드록시나프탈렌-4-설폰산, N,N-비스(2-하이드록시에틸)글라이신, N-(포스포노메틸)-이미노다이아세트산, 이미노비스(메틸렌포스폰산), D-글루콘산, 타르타르산, 1-옥소프로페인-1,2-다이카복실산, 프로페인-1,2,3-트라이카복실산, N,N',N"-트리스[2-(N-하이드록시카밤오일)에틸]-1,3,5-벤젠트라이카복스아마이드(BAMTPH), 데스페리페리옥사민-B, 1,7-다이하이드록시-4-설포-2-나프탄산, 아스파르트산, 글루탐산, 피리독살-5-(다이하이드로겐포스페이트), 피리독살, 아미노(페닐)메틸렌-다이포스포르산, 에틸렌 글라이콜 테트라아세트산(EGTA), 에틸렌비스(이미노-(2-하이드록시페닐)메틸렌(메틸)-포스폰산), N-(2-하이드록시에틸)-에틸렌다이나이트릴로-N,N',N'-트라이아세트산, 트라이메틸렌다이나이트릴로테트라아세트산, (2-다이하이드록시트라이메틸렌)-다이나이트릴로테트라아세트산, 자일렌올 오렌지, 메틸티몰 블루, 3-하이드록시글루탐산, L-포스포세린, DL-아미노-3-포스포프로판산 및 이들의 조합을 비롯하여, 아미노카복실산, 유기 산 및 이들의 유도체, 포스폰산 및 이들의 유도체, 및 이들의 조합을 포함하지만, 이들로 국한되지는 않는다.
조성물은 임의적으로는 계면활성제를 추가로 포함하여 잔류물 제거를 돕고/돕거나 표면을 습윤시키고/시키거나 잔류물 재침착을 방지할 수 있다. 예시적인 계면활성제는 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 플루오로알킬 계면활성제, 설폰일(SURFONYL)® 104, 트리톤(TRITON)® CF-21, 조닐(ZONYL)® UR, 조닐® FSO-100, 조닐® FSN-100, 3M 플루오라드(Fluorad) 플루오로계면활성제(즉, FC-4430 및 FC-4432), 다이옥틸설포석신에이트 염, 2,3-다이머캅토-1-프로페인설폰산 염, 도데실벤젠설폰산, 폴리에틸렌 글라이콜, 폴리프로필렌 글라이콜, 폴리에틸렌 또는 폴리프로필렌 글라이콜 에터, 카복실산 염, R1 벤젠 설폰산 또는 이들의 염(여기에서, R1은 직쇄 또는 분지쇄 C8-C18 알킬기임), 양친매성 플루오로중합체, 폴리에틸렌 글라이콜, 폴리프로필렌 글라이콜, 폴리에틸렌 또는 폴리프로필렌 글라이콜 에터, 카복실산 염, 도데실벤젠설폰산, 폴리아크릴레이트 중합체, 다이노닐페닐 폴리옥시에틸렌, 실리콘 또는 개질된 실리콘 중합체, 아세틸렌 다이올 또는 개질된 아세틸렌 다이올, 알킬암모늄 또는 개질된 알킬암모늄 염, 및 상기 계면활성제중 하나 이상을 포함하는 조합, 소듐 도데실 설페이트, 양쪽이온성 계면활성제, 에어로졸-OT(AOT) 및 그의 플루오르화된 유사체, 알킬 암모늄, 퍼플루오로폴리에터 계면활성제, 2-설포석신에이트 염, 포스페이트계 계면활성제, 황계 계면활성제 및 아세토아세테이트계 중합체를 포함하지만, 이들로 한정되지는 않는다. 존재하는 경우, 조성물은 조성물의 총 중량에 기초하여 0.01중량% 이상의 계면활성제를 포함한다.
조성물은 실리카의 공급원을 추가로 포함할 수 있다. 실리카를 미세 실리카 분말로서 또는 TEOS 같은 테트라알콕시실레인으로서, 바람직하게는 약 4:1 내지 약 5:1의 에칭제 대 실리카 공급원의 비로 조성물에 첨가할 수 있다. 특히 바람직한 실시양태에서, 에칭제 공급원은 플루오로규산이고, 실리카 공급원은 TEOS이다. 바람직한 실시양태는 글라이콜계 용매를 추가로 포함하여 조성물에서의 실리카 공급원의 용해를 촉진시킨다. 존재하는 경우, 조성물은 조성물의 총 중량에 기초하여 0.01중량% 이상의 실리카를 포함한다.
낮은-k의 부동화제를 포함시켜 낮은-k의 층의 화학적 공격을 감소시키고 추가적인 산화로부터 웨이퍼를 보호할 수 있다. 붕산이 현재 바람직한 낮은-k의 부동화제이나, 다른 하이드록실 첨가제, 예를 들어 3-하이드록시-2-나프토산, 말론산, 이미노다이아세트산 및 이들의 혼합물도 이러한 목적으로 유리하게 사용될 수 있다. 바람직하게는, 낮은-k의 부동화제는 이미노다이아세트산, 붕산, 또는 이들의 조합을 포함한다. 존재하는 경우, 조성물은 조성물의 총 중량에 기초하여 0.01중량% 이상의 낮은-k의 부동화제를 포함한다. 바람직하게는, 본원에 기재된 제거 조성물을 사용하여, 아래에 놓인 낮은-k의 물질의 총 중량에 기초하여 2중량% 미만, 더욱 바람직하게는 1중량% 미만, 가장 바람직하게는 0.5중량% 미만의 아래에 놓인 낮은-k의 물질을 에칭/제거한다.
특히 바람직한 실시양태에서, 수성 조성물은 BTA, TAZ, 암모늄 바이플루오라이드, 붕산 및 물을 포함하거나, 이들로 구성되거나 또는 이들로 본질적으로 이루어진다. 다른 특히 바람직한 실시양태에서, 수성 조성물은 BTA, TAZ, 암모늄 바이플루오라이드, 테트라뷰틸암모늄 테트라플루오로보레이트, 붕산 및 물을 포함하거나, 이들로 구성되거나 또는 이들로 본질적으로 이루어진다. 또 다른 특히 바람직한 실시양태에서, 수성 조성물은 암모늄 바이플루오라이드, 붕산, 도데실포스폰산 및 물을 포함하거나, 이들로 구성되거나 또는 이들로 본질적으로 이루어진다.
본원에 기재된 수성 조성물은 바람직하게는 연마제 물질(예를 들어, 실리카, 알루미나, 화학적 기계적 연마 공정 동안 사용되는 다른 연마제), 산화제, 암모니아, 강염기 및 아미독심 착화제를 함유하지 않는다. 임의적인 성분으로서 개시되어 있기는 하지만, 가장 바람직하게는 수성 조성물은 유기 용매 및 실리카 공급원을 실질적으로 함유하지 않는다.
다른 실시양태에서, 본원에 기재된 수성 조성물은 플라즈마 에칭 후 잔류물을 추가로 포함하는데, 이 때 플라즈마 에칭 후 잔류물은 티탄-함유 잔류물, 중합체-잔류물, 구리-함유 잔류물, 텅스텐-함유 잔류물, 코발트-함유 잔류물 및 이들의 조합으로 이루어진 군으로부터 선택되는 잔류물을 포함한다. 잔류물은 수성 조성물에 용해 및/또는 현탁될 수 있다.
또 다른 실시양태에서, 본원에 기재된 수성 조성물은 질화티탄 물질을 추가로 포함한다. TiN 물질은 수성 조성물에 용해 및/또는 현탁될 수 있다.
한 실시양태에서, 조성물은 패턴화되거나 피복된 텅스텐 층, 구리 층 및/또는 ULK 층을 실질적으로 에칭하지 않으면서, TiN, 측벽 잔류물 및/또는 에칭 후 잔류물을 선택적으로 제거하는데 유용하다. 다른 실시양태에서, 조성물은 패턴화되거나 피복된 텅스텐 층, TiN, 구리 층 및/또는 ULK 층을 실질적으로 에칭하지 않으면서, 측벽 잔류물 및/또는 에칭 후 잔류물을 선택적으로 제거하는데 유용하다.
액체 용액에 덧붙여, 본원에서는 본 발명의 두 양태의 조성물을 발포체, 연무, 임계 미만 또는 초임계 유체(즉, 용매가 물 대신 CO2 등임)로서 배합할 수 있음도 고려된다.
유리하게는, 본원에 기재된 세정 조성물은 미소전자 장치에 존재하는 ILD, 캡핑 층 및/또는 금속 상호접속 층을 손상시키지 않으면서 미소전자 장치의 상부 표면, 측벽, 및 비아와 라인으로부터 플라즈마 에칭 후 잔류물을 효과적으로 제거한다. 또한, 트렌치 또는 비아를 먼저 에칭하는지의 여부와 무관하게 조성물을 사용할 수 있다.
일반적인 세정 용도에서는, 고도로 농축된 형태를 제조하여 극도로 희석시켜 사용하는 것이 일반적인 관행인 것으로 알려져 있다. 예를 들면, 용해시키기 위하여 약 20중량% 이상의 물을 포함하는 더욱 농축된 형태로 세정 조성물을 제조한 다음, 제조공장에서, 사용 전에 및/또는 제조공장에서의 사용 동안 추가적인 용매(예컨대, 물 및/또는 유기 용매)로 희석시킬 수 있다. 희석비는 희석제 약 0.1부:제거 조성물 농축물 1부 내지 희석제 약 100부:제거 조성물 농축물 1부일 수 있다. 희석시, 제거 조성물의 다수의 성분의 중량% 비는 변하지 않고 유지되는 것으로 생각된다.
간단히 개별적인 구성성분을 첨가하고 균질한 상태로 혼합함으로써, 본원에 기재된 조성물을 용이하게 배합한다. 뿐만 아니라, 단일-포장 배합물로서 또는 사용 시점에 혼합되는 다-부분 배합물로서, 바람직하게는 다-부분 배합물로서 조성물을 용이하게 배합할 수 있다. 다-부분 배합물의 개별적인 부분을 도구에서 또는 도구 이전의 저장 탱크에서 혼합할 수 있다. 개별적인 구성성분의 농도는 조성물의 구체적인 배량(즉, 더욱 희석되는지 또는 더욱 농축되는지)에서 광범위하게 변할 수 있으며, 본원에 기재된 조성물은 본원의 개시내용과 일치되는 구성성분의 임의의 조합을 다양하게 택일적으로 포함하거나, 이들로 구성되거나, 또는 이들로 본질적으로 이루어질 수 있음을 알게 될 것이다.
따라서, 다른 양태는 하나 이상의 용기에 본원에 기재된 조성물을 생성시키는데 적합한 하나 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 키트는 제조공장에서 또는 사용 지점에서 물과 합치기 위하여 하나 이상의 용기에 하나 이상의 부식 억제제, 임의적으로는 물, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 부동화제 및 임의적으로는 하나 이상의 착화제의 바람직한 조합을 포함한다. 키트의 용기, 예를 들어 나우팩(NOWPak)® 용기[어드밴스드 테크놀로지 머티리얼즈, 인코포레이티드(Advanced Technology Materials, Inc.), 미국 코네티컷주 댄버리 소재]는 상기 세정 조성물 성분을 저장 및 수송하는데 적합해야 한다. 제거 조성물의 성분을 함유하는 하나 이상의 용기는 바람직하게는 블렌딩 및 분배하기 위하여 상기 하나 이상의 용기 내의 성분을 유체 연통시키기 위한 수단을 포함한다. 예를 들어, 나우팩® 용기를 참조하면, 상기 하나 이상의 용기의 라이너 외부에 기체 압력을 가하여, 라이너의 내용물중 적어도 일부를 방출시키고, 따라서 블렌딩 및 분배하기 위하여 유체 연통을 가능하게 할 수 있다. 다르게는, 통상적인 가압가능한 용기의 상부 공간에 기체 압력을 가할 수 있거나 또는 펌프를 사용하여 유체 연통을 가능케 할 수 있다. 또한, 시스템은 바람직하게는 블렌딩된 세정 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
고밀도 폴리에틸렌 같은 실질적으로 화학적으로 불활성이고 불순물을 함유하지 않으며 가요성이고 탄성인 중합체 필름 물질을 바람직하게 사용하여 상기 하나 이상의 용기의 라이너를 제조한다. 동시 압출 또는 차단 층을 필요로 하지 않고, 라이너에 배치되어야 하는 성분에 대한 순도 조건에 불리하게 영향을 끼칠 수 있는 임의의 안료, UV 억제제 또는 가공제 없이, 바람직한 라이너 물질을 가공한다. 바람직한 라이너 물질의 목록은 순수한(첨가제를 함유하지 않음) 폴리에틸렌, 순수한 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스타이렌, 폴리아크릴로나이트릴, 폴리뷰틸렌 등을 포함하는 필름을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5밀(0.005인치) 내지 약 30밀(0.030인치), 예를 들어 20밀(0.020인치)이다.
키트용 용기와 관련하여, 하기 특허 및 특허원의 개시내용을 본원에 참고로 인용한다: 미국 특허 제 7,188,644 호(발명의 명칭: "초순도 액체중의 입자의 생성을 최소화하기 위한 장치 및 방법"); 미국 특허 제 6,698,619 호(발명의 명칭: "회수가능하고 재사용가능한 백-인-드럼(bag-in-drum) 유체 저장 및 분배 용기 시스템"); 2007년 5월 9일자로 휴지스(John E.Q. Hughes)의 명의로 출원된 미국 특허원 제 60/916,966 호(발명의 명칭: "물질 블렌딩 및 분배를 위한 시스템 및 방법"), 및 2008년 5월 9일자로 어드밴스드 테크놀로지 머티리얼즈, 인코포레이티드의 명의로 출원된 PCT/US08/63276 호(발명의 명칭: "물질 블렌딩 및 분배를 위한 시스템 및 방법").
미소전자 장치 제조 작업에 적용되는 경우, 미소전자 장치의 표면으로부터 플라즈마 에칭 후 잔류물을 세정하는데 세정 조성물을 유용하게 사용하고, 장치의 표면으로부터 다른 물질을 제거하기 위해 배합된 다른 조성물의 적용 전 또는 후에 세정 조성물을 상기 표면에 가할 수 있다. 본원에 기재된 조성물은 장치 표면상의 ILD 물질을 손상시키지 않으며, 바람직하게는 제거 공정 전에 장치상에 존재하는 잔류물의 90% 이상, 더욱 바람직하게는 95% 이상, 가장 바람직하게는 제거되어야 하는 잔류물의 99% 이상을 제거한다.
플라즈마 에칭 후 잔류물 제거 용도에서는, 세정되어야 하는 장치의 표면상에 조성물을 분무하거나, 세정되어야 하는 장치를 정적 또는 동적 부피의 조성물에 침지시키거나, 세정되어야 하는 장치를 다른 물질, 예를 들어 조성물이 흡수된 패드 또는 섬유상 흡수성 어플리케이터 요소와 접촉시키거나, 또는 세정되어야 하는 장치와 조성물을 제거 접촉시키는 임의의 다른 적합한 수단, 방식 또는 기법에 의해, 조성물을 임의의 적합한 방식으로 세정되어야 하는 장치에 가할 수 있다. 또한, 본원에서는 회분식 또는 단일 웨이퍼 가공이 고려된다.
플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 제거하기 위한 조성물의 사용시, 조성물을 전형적으로는 약 20℃ 내지 약 90℃, 바람직하게는 약 40℃ 내지 약 70℃, 가장 바람직하게는 약 50℃ 내지 약 60℃에서 장치와 약 1분 내지 약 30분, 바람직하게는 약 1분 내지 약 10분동안 정적으로 또는 동적으로 접촉시킨다. 바람직하게는, 접촉은 정적이다. 이러한 접촉 시간 및 온도는 예이며, 장치로부터 에칭 후 잔류물을 적어도 부분적으로 제거하는데 효과적인 임의의 다른 적합한 시간 및 온도 조건을 사용할 수 있다. 미소전자 장치로부터의 잔류물의 "적어도 부분적인 제거"는 물질의 90% 이상의 제거, 바람직하게는 95% 이상의 제거에 상응한다. 가장 바람직하게는, 본원에 기재된 조성물을 사용하여 상기 잔류물의 99% 이상을 제거한다.
목적하는 제거 작용을 달성한 후에는, 본원에 기재된 조성물의 주어진 최종 사용 용도에서 요구되거나 효과적일 수 있는 바와 같이, 예를 들어 헹굼, 세척 또는 다른 제거 단계(들)에 의해, 조성물이 이전에 가해진 장치로부터 조성물을 용이하게 제거할 수 있다. 예를 들어, 탈이온수를 포함하는 헹굼 용액으로 장치를 헹구고/헹구거나 건조시킬 수 있다(예를 들어, 회전-건조, N2, 증기-건조 등).
필요한 경우, 낮은-k의 유전성 물질의 커패시턴스를 변화시키기 않도록 하기 위하여 ILD 물질의 공극 내로 흡수될 수 있는 비-휘발성 물질을 제거하는데, 세정후 소성 단계 및/또는 아이소프로판올 증기-건조 단계가 필요할 수 있다.
다른 양태는 본원에 기재된 방법에 따라 제조된 개선된 미소전자 장치 및 이러한 미소전자 장치를 포함하는 제품에 관한 것이다.
또 다른 양태는 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하기에 충분한 시간동안 상기 미소전자 장치를 조성물과 접촉시키고, 상기 미소전자 장치를 제품 내로 혼입시킴을 포함하는, 상기 미소전자 장치를 포함하는 제품을 제조하는 방법에 관한 것이며, 이 때 상기 조성물은 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함한다.
또 다른 양태는 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하기에 충분한 시간동안 상기 미소전자 장치를 조성물과 접촉시키고, 상기 미소전자 장치를 제품 내로 혼입시킴을 포함하는, 상기 미소전자 장치를 포함하는 제품을 제조하는 방법에 관한 것이며, 이 때 상기 조성물은 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함한다.
또 다른 양태에서는, 본원에 기재된 조성물을 미소전자 장치 제조 공정의 다른 양태(즉, 플라즈마 에칭 후 잔류물 세정 단계 후)에 사용할 수 있다. 예를 들어, 조성물을 사용하여 박리 후(post-ash) 잔류물을 제거할 수 있고/있거나 이들 조성물을 희석하여 화학적 기계적 연마(CMP) 후 세정제로서 사용할 수 있다. 다르게는, 본원에 기재된 조성물을 사용하여, 포토마스크의 재사용을 위하여 포토마스크 물질로부터 오염 물질을 제거할 수 있다.
또 다른 양태에서는, 미소전자 장치 기판, 잔류물 및 세정 조성물을 포함하는 제품이 기재되는데, 이 때 세정 조성물은 본원에 기재된 임의의 조성물일 수 있고, 상기 잔류물은 티탄-함유 잔류물, 중합체-잔류물, 구리-함유 잔류물, 텅스텐-함유 잔류물, 코발트-함유 잔류물 및 이들의 조합으로 이루어진 군으로부터 선택된다.
예시적인 실시양태 및 특징을 참조하여 본 발명을 다양하게 개시하였으나, 상기 기재된 실시양태 및 특징은 본 발명을 한정하고자 하지 않으며, 본원의 개시내용에 기초하여 당 업자가 다른 변화, 변형 및 다른 실시양태를 제안할 것임을 알게 될 것이다. 따라서, 본 발명은 이후 기재되는 특허청구범위의 원리 및 영역 내에 속하는 이러한 변화, 변형 및 다른 실시양태를 모두 포괄하는 것으로 넓게 간주되어야 한다.

Claims (20)

  1. 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함하는, 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하는데 적합한 수성 세정 조성물.
  2. 제 1 항에 있어서,
    플라즈마 에칭 후 잔류물이 티탄-함유 화합물, 중합체 화합물, 구리-함유 화합물, 텅스텐-함유 화합물, 코발트-함유 화합물 및 이들의 조합으로 이루어진 군으로부터 선택되는 잔류물을 포함하는 세정 조성물.
  3. 제 1 항 또는 제 2 항에 있어서,
    하나 이상의 에칭제를 포함하는 세정 조성물.
  4. 제 3 항에 있어서,
    하나 이상의 에칭제가 플루오르화수소산, 플루오로붕산, 테트라메틸암모늄 헥사플루오로포스페이트, 암모늄 플루오라이드 염, 암모늄 바이플루오라이드 염, 테트라뷰틸암모늄 테트라플루오로보레이트, 테트라메틸암모늄 테트라플루오로보레이트, 테트라에틸암모늄 테트라플루오로보레이트, 테트라프로필암모늄 테트라플루오로보레이트, 테트라뷰틸암모늄 테트라플루오로보레이트, 프로필렌 글라이콜/HF, 프로필렌 글라이콜/테트라알킬암모늄 플루오라이드, 프로필렌 글라이콜/벤질트라이메틸암모늄 플루오라이드 및 이들의 조합으로 이루어진 군으로부터 선택되는 플루오라이드 화합물을 포함하는 세정 조성물.
  5. 제 3 항에 있어서,
    하나 이상의 에칭제가 암모늄 바이플루오라이드, 테트라뷰틸암모늄 테트라플루오로보레이트 및 이들의 조합으로 이루어진 군으로부터 선택되는 플루오라이드를 포함하는 세정 조성물.
  6. 제 1 항 내지 제 5 항중 어느 한 항에 있어서,
    하나 이상의 부동화제를 포함하는 세정 조성물.
  7. 제 6 항에 있어서,
    하나 이상의 부동화제가 붕산, 3-하이드록시-2-나프토산, 말론산, 이미노다이아세트산 및 이들의 혼합물로 이루어진 군으로부터 선택되는 화합물을 포함하는 세정 조성물.
  8. 제 6 항에 있어서,
    하나 이상의 부동화제가 붕산을 포함하는 세정 조성물.
  9. 제 1 항 내지 제 8 항중 어느 한 항에 있어서,
    하나 이상의 금속 부식 억제제가 벤조트라이아졸(BTA), 1,2,4-트라이아졸(TAZ), 5-아미노테트라졸(ATA), 1-하이드록시벤조트라이아졸, 5-아미노-1,3,4-티아다이아졸-2-티올, 3-아미노-1H-1,2,4-트라이아졸, 3,5-다이아미노-1,2,4-트라이아졸, 톨릴트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-아이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로는 F, Cl, Br, I), 나프토트라이아졸, 1H-테트라졸-5-아세트산, 2-머캅토벤조티아졸(2-MBT), 1-페닐-2-테트라졸린-5-티온, 2-머캅토벤즈이미다졸(2-MBI), 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 이미다졸, 벤즈이미다졸, 트라이아진, 메틸테트라졸, 비스무티올 I, 1,3-다이메틸-2-이미다졸리딘온, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 5-아미노-1,3,4-티아다이아졸-2-티올, 벤조티아졸, 트라이톨릴 포스페이트, 인다졸, 아데닌, 사이토신, 구아닌, 티민, 포스페이트 억제제, 아민, 피라졸, 프로페인티올, 실레인, 2급 아민, 벤조하이드록삼산, 헤테로환상 질소 억제제, 시트르산, 아스코르브산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 칼륨 에틸잔테이트, 글라이신, 도데실포스폰산, 이미노다이아세트산, 붕산, 말론산, 석신산, 나이트릴로트라이아세트산, 설폴레인, 2,3,5-트라이메틸피라진, 2-에틸-3,5-다이메틸피라진, 퀸옥살린, 아세틸 피롤, 피리다진, 히스타딘, 피라진, 글루타티온(환원됨), 시스테인, 시스틴, 티오펜, 머캅토 피리딘 N-옥사이드, 티아민 HCl, 테트라에틸 티우람 다이설파이드, 2,5-다이머캅토-1,3-티아다이아졸아스코르브산, 아스코르브산 및 이들의 조합으로 이루어진 군으로부터 선택되는 화합물을 포함하는 세정 조성물.
  10. 제 1 항 내지 제 9 항중 어느 한 항에 있어서,
    하나 이상의 에칭제 및 하나 이상의 부동화제를 포함하는 세정 조성물.
  11. 제 1 항 내지 제 10 항중 어느 한 항에 있어서,
    물의 양이 조성물의 총 중량에 기초하여 약 50중량% 내지 약 99중량%인 세정 조성물.
  12. 제 1 항 내지 제 11 항중 어느 한 항에 있어서,
    pH가 약 0 내지 약 7인 세정 조성물.
  13. 제 1 항 내지 제 12 항중 어느 한 항에 있어서,
    조성물이 연마제 물질, 산화제, 암모니아, 강염기 및 아미독심 착화제를 실질적으로 함유하지 않는 세정 조성물.
  14. 제 1 항 내지 제 13 항중 어느 한 항에 있어서,
    유기 용매를 실질적으로 함유하지 않는 세정 조성물.
  15. 제 1 항 내지 제 14 항중 어느 한 항에 있어서,
    실리카 공급원을 실질적으로 함유하지 않는 세정 조성물.
  16. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    티탄-함유 잔류물, 중합체-잔류물, 구리-함유 잔류물, 텅스텐-함유 잔류물, 코발트-함유 잔류물 및 이들의 조합으로 이루어진 군으로부터 선택되는 플라즈마 에칭 후 잔류물을 추가로 포함하는 세정 조성물.
  17. 하나 이상의 용기에 수성 세정 조성물을 제조하기 위한 하기 시약중 하나 이상을 포함하는 키트로서, 상기 하나 이상의 시약이 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제로 이루어진 군으로부터 선택되며, 상기 키트가 플라즈마 에칭 후 잔류물을 갖는 미소전자 장치로부터 상기 잔류물을 세정하는데 적합한 수성 세정 조성물을 제조하기에 적합한 키트.
  18. 미소전자 장치를, 미소전자 장치로부터 물질을 적어도 부분적으로 제거하는데 충분한 시간동안 수성 세정 조성물과 접촉시킴을 포함하는, 상기 물질을 갖는 미소전자 장치로부터 상기 물질을 제거하는 방법으로서, 상기 수성 세정 조성물이 하나 이상의 부식 억제제, 물, 임의적으로는 하나 이상의 킬레이트화제, 임의적으로는 하나 이상의 에칭제, 임의적으로는 하나 이상의 부동화제, 및 임의적으로는 하나 이상의 착화제를 포함하는 방법.
  19. 제 18 항에 있어서,
    물질이 티탄-함유 화합물, 중합체-화합물, 구리-함유 화합물, 텅스텐-함유 화합물, 코발트-함유 화합물 및 이들의 조합으로 이루어진 군으로부터 선택되는 잔류물을 포함하는 플라즈마 에칭 후 잔류물을 포함하는 방법.
  20. 제 18 항 또는 제 19 항에 있어서,
    접촉이 약 1분 내지 약 30분의 시간, 약 40℃ 내지 약 70℃의 온도, 및 이들의 조합으로 이루어진 군으로부터 선택되는 조건을 포함하는 방법.
KR1020137003769A 2010-07-16 2011-07-15 에칭 후 잔류물을 제거하기 위한 수성 세정제 KR20130088847A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US36503410P 2010-07-16 2010-07-16
US61/365,034 2010-07-16
PCT/US2011/044191 WO2012009639A2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Publications (1)

Publication Number Publication Date
KR20130088847A true KR20130088847A (ko) 2013-08-08

Family

ID=45470097

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137003769A KR20130088847A (ko) 2010-07-16 2011-07-15 에칭 후 잔류물을 제거하기 위한 수성 세정제

Country Status (8)

Country Link
US (2) US9063431B2 (ko)
EP (1) EP2593964A4 (ko)
JP (1) JP2013533631A (ko)
KR (1) KR20130088847A (ko)
CN (1) CN103003923A (ko)
SG (2) SG187551A1 (ko)
TW (1) TWI548738B (ko)
WO (1) WO2012009639A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000388A (ko) * 2014-06-23 2016-01-04 삼성전자주식회사 금속 에천트 조성물 및 이를 이용한 반도체 장치의 제조 방법
KR20160037998A (ko) * 2013-07-31 2016-04-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR20160112643A (ko) * 2015-03-20 2016-09-28 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
KR20190092574A (ko) * 2016-12-28 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
KR20200096576A (ko) * 2017-12-08 2020-08-12 바스프 에스이 저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법
KR20230006034A (ko) * 2015-07-23 2023-01-10 샌트랄 글래스 컴퍼니 리미티드 웨트 에칭 방법 및 에칭액

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
BR112013003854A2 (pt) 2010-08-20 2016-06-07 Advanced Tech Materials processo sustentável para reivindicação de metais preciosos e metais de base oriundo de resíduo e
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
SG11201400840UA (en) * 2011-10-05 2014-04-28 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
CN102677072B (zh) * 2012-05-03 2016-06-15 中国石油大学(华东) 一种热浸镀锌及锌铝合金钢材用缓蚀清洗剂
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
WO2013187313A1 (ja) * 2012-06-13 2013-12-19 三菱瓦斯化学株式会社 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
JP6198672B2 (ja) * 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
JP6088999B2 (ja) * 2013-05-02 2017-03-01 富士フイルム株式会社 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
WO2014192266A1 (ja) * 2013-05-31 2014-12-04 日立化成株式会社 エッチング組成物
SG11201509933QA (en) * 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN104238287A (zh) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 一种去除光阻残留物的清洗液
CN104345583B (zh) * 2013-08-02 2020-07-03 安集微电子科技(上海)股份有限公司 一种用于去除光阻残留物的清洗液
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
KR102153113B1 (ko) 2013-10-21 2020-09-08 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
EP3104398B1 (en) 2013-12-06 2020-03-11 Fujifilm Electronic Materials USA, Inc. Cleaning formulation and method for removing residues on surfaces
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
CN103710180B (zh) * 2013-12-12 2016-04-13 内蒙古河西航天科技发展有限公司 一种硅酸盐污垢去除剂
WO2015095175A1 (en) * 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
EP3139402B1 (en) 2014-05-02 2018-08-15 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
CN106459850A (zh) 2014-05-13 2017-02-22 巴斯夫欧洲公司 Tin障碍和清洁组合物
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
KR102398801B1 (ko) 2014-11-13 2022-05-17 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 코발트의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
JP6589883B2 (ja) 2014-11-13 2019-10-16 三菱瓦斯化学株式会社 半導体素子を洗浄するためのアルカリ土類金属を含む洗浄液、およびそれを用いた半導体素子の洗浄方法
JP6555273B2 (ja) 2014-11-13 2019-08-07 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
CN104674223A (zh) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 有色金属清洁擦亮剂
CN104630780A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种铜器清洗光亮液
CN104630800A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 铜制品清洁光亮剂
CN104630776A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
CN104651843A (zh) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 不锈钢器皿清洗擦亮剂
CN104630802A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
US10647950B2 (en) * 2015-03-31 2020-05-12 Versum Materials Us, Llc Cleaning formulations
KR102427699B1 (ko) 2015-04-27 2022-08-01 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
CN109195720B (zh) 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) * 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
WO2018058341A1 (en) * 2016-09-28 2018-04-05 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
KR102434147B1 (ko) * 2016-10-06 2022-08-19 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 제형
KR102207306B1 (ko) 2016-11-25 2021-01-22 엔테그리스, 아이엔씨. 에칭 후 잔류물을 제거하기 위한 세정 조성물
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
EP3601514A4 (en) * 2017-03-24 2020-04-08 Fujifilm Electronic Materials USA, Inc. CLEANING COMPOSITIONS FOR REMOVING RESIDUES ON SEMICONDUCTOR SUBSTRATES
CN107357143B (zh) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
SG11202001057VA (en) 2017-08-22 2020-03-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions
KR102295991B1 (ko) * 2017-08-31 2021-09-01 후지필름 가부시키가이샤 처리액, 키트, 기판의 세정 방법
WO2019073931A1 (ja) 2017-10-10 2019-04-18 三菱ケミカル株式会社 洗浄液、洗浄方法及び半導体ウェハの製造方法
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US11377624B2 (en) 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
KR20230023820A (ko) 2017-12-18 2023-02-17 엔테그리스, 아이엔씨. 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
EP3774680A4 (en) 2018-03-28 2021-05-19 FUJIFILM Electronic Materials U.S.A, Inc. CLEANING COMPOSITIONS
TWI808162B (zh) * 2018-04-27 2023-07-11 日商三菱瓦斯化學股份有限公司 水性組成物及使用此組成物之清洗方法
EP3787009A4 (en) * 2018-04-27 2021-06-09 Mitsubishi Gas Chemical Company, Inc. AQUEOUS COMPOSITION AND PROCESS FOR USING CLEANING
EP3787008B1 (en) * 2018-04-27 2024-04-10 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
US11149235B2 (en) * 2018-07-20 2021-10-19 Entegris, Inc. Cleaning composition with corrosion inhibitor
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Cleaning products for residues after burning and methods of using them
US11091727B2 (en) 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
KR102669119B1 (ko) * 2018-11-14 2024-05-24 삼성디스플레이 주식회사 식각 조성물, 이를 이용한 패턴 형성 방법 및 표시 장치의 제조 방법
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
JP2022530147A (ja) 2019-04-24 2022-06-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板からフォトレジストを除去するための剥離組成物
KR20220011701A (ko) 2019-05-23 2022-01-28 바스프 에스이 로우-k 재료, 구리, 코발트 및/또는 텅스텐의 층의 존재 하에 하드 마스크 및/또는 에치-스탑 층을 선택적으로 에칭하기 위한 조성물 및 프로세스
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
KR20220012521A (ko) 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
TW202208607A (zh) * 2020-08-27 2022-03-01 美商富士軟片電子材料美國股份有限公司 清潔組成物
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN112941516A (zh) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 一种精控式蚀刻液及其蚀刻方法
WO2022146846A1 (en) * 2020-12-29 2022-07-07 Entegris, Inc. Selective removal of metal oxide hard masks
EP4323491A1 (en) * 2021-04-16 2024-02-21 Entegris, Inc. Cleaning composition
CN113150884B (zh) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186539B (zh) * 2021-04-27 2022-12-06 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液及其制备方法
CN113860914B (zh) * 2021-09-16 2023-06-27 湖北文理学院 去除白色鹅卵石锈黄斑的浸泡液及其制备方法、白色鹅卵石锈黄斑的去除方法
WO2024004980A1 (ja) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 半導体基板洗浄用組成物、半導体基板の洗浄方法、及び半導体基板の製造方法
CN115725369B (zh) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液组合物的应用

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
JP2005097715A (ja) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
ES2293340T3 (es) * 2003-08-19 2008-03-16 Mallinckrodt Baker, Inc. Composiciones decapantes y de limpieza para microelectronica.
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7888301B2 (en) * 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4456424B2 (ja) * 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7718590B2 (en) 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
SG161280A1 (en) 2005-04-15 2010-05-27 Advanced Tech Materials Removal of high-dose ion-implanted photoresist using self assembled monolayers in solvent systems
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
SG10201504423QA (en) * 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009516360A (ja) 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177915A1 (en) 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
CN101755324B (zh) * 2007-07-26 2011-10-12 三菱瓦斯化学株式会社 清洗和防腐用组合物及半导体元件或显示元件的制造方法
KR20100051839A (ko) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
WO2009026324A2 (en) 2007-08-20 2009-02-26 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
CN101597548A (zh) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
CN101685273B (zh) * 2008-09-26 2014-06-04 安集微电子(上海)有限公司 一种去除光阻层残留物的清洗液
WO2010048139A2 (en) 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160037998A (ko) * 2013-07-31 2016-04-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR20160000388A (ko) * 2014-06-23 2016-01-04 삼성전자주식회사 금속 에천트 조성물 및 이를 이용한 반도체 장치의 제조 방법
KR20160112643A (ko) * 2015-03-20 2016-09-28 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
KR20230006034A (ko) * 2015-07-23 2023-01-10 샌트랄 글래스 컴퍼니 리미티드 웨트 에칭 방법 및 에칭액
KR20190092574A (ko) * 2016-12-28 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
KR20200096576A (ko) * 2017-12-08 2020-08-12 바스프 에스이 저-k 물질, 구리 및/또는 코발트의 층의 존재하에서 알루미늄 화합물을 포함하는 층을 선택적으로 에칭하기 위한 조성물 및 방법

Also Published As

Publication number Publication date
US20150307818A1 (en) 2015-10-29
TW201209156A (en) 2012-03-01
EP2593964A4 (en) 2017-12-06
SG187551A1 (en) 2013-03-28
JP2013533631A (ja) 2013-08-22
WO2012009639A2 (en) 2012-01-19
TWI548738B (zh) 2016-09-11
US9063431B2 (en) 2015-06-23
US20130296214A1 (en) 2013-11-07
WO2012009639A9 (en) 2012-05-24
SG10201505535VA (en) 2015-09-29
CN103003923A (zh) 2013-03-27
WO2012009639A3 (en) 2012-04-26
EP2593964A2 (en) 2013-05-22

Similar Documents

Publication Publication Date Title
US9063431B2 (en) Aqueous cleaner for the removal of post-etch residues
JP5237300B2 (ja) エッチング後残留物を除去するための液体洗浄剤
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
KR102352465B1 (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
KR20100051839A (ko) 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
CN112771144A (zh) 用于铈粒子的化学机械研磨后(post cmp)清洁组合物
US11124746B2 (en) Post CMP cleaning composition
CN117295811A (zh) 清洁组合物

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid