SG10201505535VA - Aqueous cleaner for the removal of post-etch residues - Google Patents

Aqueous cleaner for the removal of post-etch residues

Info

Publication number
SG10201505535VA
SG10201505535VA SG10201505535VA SG10201505535VA SG10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA SG 10201505535V A SG10201505535V A SG 10201505535VA
Authority
SG
Singapore
Prior art keywords
post
removal
etch residues
aqueous cleaner
cleaner
Prior art date
Application number
SG10201505535VA
Inventor
Jeffrey Barnes
Steven Lippy
Peng Zhang
Rekha Rajaram
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of SG10201505535VA publication Critical patent/SG10201505535VA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
SG10201505535VA 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues SG10201505535VA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US36503410P 2010-07-16 2010-07-16

Publications (1)

Publication Number Publication Date
SG10201505535VA true SG10201505535VA (en) 2015-09-29

Family

ID=45470097

Family Applications (2)

Application Number Title Priority Date Filing Date
SG2013003736A SG187551A1 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues
SG10201505535VA SG10201505535VA (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG2013003736A SG187551A1 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Country Status (8)

Country Link
US (2) US9063431B2 (en)
EP (1) EP2593964A4 (en)
JP (1) JP2013533631A (en)
KR (1) KR20130088847A (en)
CN (1) CN103003923A (en)
SG (2) SG187551A1 (en)
TW (1) TWI548738B (en)
WO (1) WO2012009639A2 (en)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
TW201716588A (en) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 Sustainable process for reclaiming precious metals and base metals from e-waste
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
WO2013052809A1 (en) * 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
KR102105381B1 (en) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. Post-cmp removal using compositions and method of use
CN102677072B (en) * 2012-05-03 2016-06-15 中国石油大学(华东) A kind of galvanizing by dipping and allumen steel corrosion-retarding detergent
SG11201407650VA (en) 2012-05-18 2014-12-30 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
EP2863415B1 (en) * 2012-06-13 2016-11-16 Mitsubishi Gas Chemical Company, Inc. Liquid composition for cleaning, method for cleaning semiconductor element, and method for manufacturing semiconductor element
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
JP6363116B2 (en) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド Compositions and methods for selectively etching titanium nitride
JP6088999B2 (en) * 2013-05-02 2017-03-01 富士フイルム株式会社 Etching solution and etching solution kit, etching method using the same, and method for manufacturing semiconductor substrate product
KR101790090B1 (en) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
JP6198672B2 (en) * 2013-05-02 2017-09-20 富士フイルム株式会社 Etching method, etching solution used therefor, kit for etching solution, and method for manufacturing semiconductor substrate product
KR20160015228A (en) * 2013-05-31 2016-02-12 히타치가세이가부시끼가이샤 Etching composition
EP3004287B1 (en) * 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN104238287A (en) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 Cleaning solution for removing photoresist residues
US10138117B2 (en) * 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
CN104345583B (en) * 2013-08-02 2020-07-03 安集微电子科技(上海)股份有限公司 Cleaning solution for removing photoresist residues
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
CN108485840B (en) 2013-12-06 2020-12-29 富士胶片电子材料美国有限公司 Cleaning formulation for removing residues on surfaces
EP3080240A4 (en) * 2013-12-11 2017-07-19 FujiFilm Electronic Materials USA, Inc. Cleaning formulation for removing residues on surfaces
CN103710180B (en) * 2013-12-12 2016-04-13 内蒙古河西航天科技发展有限公司 A kind of Silicate dirt remover
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
SG10201805234YA (en) 2013-12-20 2018-08-30 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
WO2015166826A1 (en) 2014-05-02 2015-11-05 三菱瓦斯化学株式会社 Semiconductor element cleaning liquid and cleaning method
EP3143117B1 (en) * 2014-05-13 2019-09-04 Basf Se Tin pull-back and cleaning composition
CN115368982A (en) * 2014-06-04 2022-11-22 恩特格里斯公司 Anti-reflective coating cleaning and post etch residue removal composition with metal, dielectric and nitride compatibility
US20150368557A1 (en) * 2014-06-23 2015-12-24 Hyosan Lee Metal etchant compositions and methods of fabricating a semiconductor device using the same
US11978622B2 (en) * 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
KR102405637B1 (en) 2014-11-13 2022-06-07 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Semiconductor element cleaning solution that suppresses damage to tungsten-containing materials, and method for cleaning semiconductor element using same
WO2016076033A1 (en) 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
CN107148664B (en) 2014-11-13 2020-12-08 三菱瓦斯化学株式会社 Alkaline earth metal-containing cleaning liquid for cleaning semiconductor element, and method for cleaning semiconductor element using same
TWI690780B (en) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 Stripping compositions for removing photoresists from semiconductor substrates
CN104630800A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Copper product cleaning and brightening agent
CN104630780A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Cleaning and brightening solution for copper ware
CN104674223A (en) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 Non-ferrous metal cleaning polishing agent
CN104630802A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Metal product cleaning and brightening agent
CN104630776A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Metal product cleaning brightening agent
CN104651843A (en) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 Stainless steel ware cleaning and polishing agent
KR102269329B1 (en) * 2015-03-20 2021-06-25 동우 화인켐 주식회사 Etchant composition for copper-containing metal layer and preparing method of an array substrate for liquid crystal display using same
CN113214920A (en) * 2015-03-31 2021-08-06 弗萨姆材料美国有限责任公司 Cleaning preparation
KR102427699B1 (en) * 2015-04-27 2022-08-01 삼성전자주식회사 Compositions for removing photoresist and methods of manufacturing semiconductor devices using the same
JP6761166B2 (en) * 2015-07-23 2020-09-23 セントラル硝子株式会社 Wet etching method and etching solution
JP6681750B2 (en) * 2016-03-04 2020-04-15 東京応化工業株式会社 Cleaning liquid and cleaning method
KR102363336B1 (en) * 2016-05-23 2022-02-15 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Stripping Composition for Removing Photoresist from a Semiconductor Substrate
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) * 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
CN106479696A (en) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 Cleanout fluid for harsh the given birth to foulant of liquid crystal display panel glass and preparation method thereof
WO2018058341A1 (en) * 2016-09-28 2018-04-05 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
WO2018067763A1 (en) 2016-10-06 2018-04-12 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
CN110023477A (en) 2016-11-25 2019-07-16 恩特格里斯公司 For removing the cleaning compositions of post-etch residue
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
KR102320673B1 (en) * 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 Processing of laminated substrates
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
WO2018175222A1 (en) * 2017-03-24 2018-09-27 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions for removing residues on semiconductor substrates
CN107357143B (en) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
JP7235336B2 (en) * 2017-08-22 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド cleaning composition
KR102295991B1 (en) * 2017-08-31 2021-09-01 후지필름 가부시키가이샤 Treatment solution, kit, and substrate cleaning method
WO2019073931A1 (en) 2017-10-10 2019-04-18 三菱ケミカル株式会社 Cleaning fluids, cleaning method, and production method for semiconductor wafer
US10889757B2 (en) 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
IL274880B2 (en) 2017-12-08 2024-04-01 Basf Se Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
WO2019110681A1 (en) 2017-12-08 2019-06-13 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
TWI777911B (en) 2017-12-18 2022-09-11 美商恩特葛瑞斯股份有限公司 Chemical resistant multi-layer coatings applied by atomic layer deposition
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
SG11202008828VA (en) 2018-03-28 2020-10-29 Fujifilm Electronic Materials Usa Inc Cleaning compositions
KR20210003730A (en) 2018-04-27 2021-01-12 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Aqueous composition and cleaning method using the same
US11613720B2 (en) 2018-04-27 2023-03-28 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
EP3787010B1 (en) * 2018-04-27 2024-04-10 Mitsubishi Gas Chemical Company, Inc. Aqueous cleaning composition and cleaning method using same
CN112424327A (en) * 2018-07-20 2021-02-26 恩特格里斯公司 Cleaning compositions containing corrosion inhibitors
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Post etch residue cleaning compositions and methods of using the same
US11091727B2 (en) * 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
US11091694B2 (en) * 2018-11-14 2021-08-17 Samsung Display Co., Ltd. Etching composition, method for forming pattern and method for manufacturing a display device using the same
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
US11208616B2 (en) 2019-04-24 2021-12-28 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US20220220421A1 (en) 2019-05-23 2022-07-14 Basf Se Composition and process for electively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
CN113130292A (en) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 Plasma etching residue cleaning solution
KR20220012521A (en) 2020-07-23 2022-02-04 주식회사 케이씨텍 Cleaning solution composition and cleaning method using the same
TW202208607A (en) 2020-08-27 2022-03-01 美商富士軟片電子材料美國股份有限公司 Cleaning compositions
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
US20220208553A1 (en) * 2020-12-29 2022-06-30 Entegris, Inc. Selective removal of metal oxide hard masks
CN112941516A (en) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 Precise control type etching solution and etching method thereof
US20220336210A1 (en) * 2021-04-16 2022-10-20 Entegris, Inc. Cleaning composition
CN113150884B (en) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 Preparation method of fluorine-containing cleaning liquid composition
CN113186539B (en) * 2021-04-27 2022-12-06 上海新阳半导体材料股份有限公司 Post-chemical mechanical polishing cleaning solution and preparation method thereof
CN113860914B (en) * 2021-09-16 2023-06-27 湖北文理学院 Soaking liquid for removing white cobblestone yellow spots, preparation method thereof and method for removing white cobblestone yellow spots
WO2024004980A1 (en) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 Semiconductor substrate cleaning composition, method for cleaning semiconductor substrates, and method for producing semiconductor substrates
CN115725369B (en) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 Application of cleaning fluid composition

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (en) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド Organic stripping composition
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
WO1999060447A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (en) 2000-06-05 2003-09-29 삼성전자주식회사 Slurry for chemical mechanical polishing metal layer, method of preparing the same, and method of metallization for semiconductor device using the same
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
JP2005097715A (en) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp Etching solution for titanium-containing layer and method for etching titanium-containing layer
DE602004009595T2 (en) * 2003-08-19 2008-07-24 Mallinckrodt Baker, Inc. REMOVAL AND CLEANING COMPOSITIONS FOR MICROELECTRONICS
CN1875325B (en) * 2003-10-29 2011-01-26 马林克罗特贝克公司 Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
JP2007519942A (en) * 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Chemicals and methods for stripping resist, BARC, and gap fill materials
KR100795364B1 (en) * 2004-02-10 2008-01-17 삼성전자주식회사 Composition for cleaning a semiconductor substrate, method of cleaning and method for manufacturing a conductive structure using the same
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4456424B2 (en) * 2004-06-29 2010-04-28 関東化学株式会社 Photoresist residue and polymer residue removal composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
JP4909908B2 (en) 2005-02-25 2012-04-04 イーケイシー テクノロジー インコーポレーテッド Method for removing resist, etching residue, and copper oxide from a substrate having copper and a low-k dielectric material
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
SG161280A1 (en) 2005-04-15 2010-05-27 Advanced Tech Materials Removal of high-dose ion-implanted photoresist using self assembled monolayers in solvent systems
JP2008546036A (en) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Metal and dielectric compatible sacrificial antireflective coating purification and removal composition
EP1894230A2 (en) 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
WO2007044446A1 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009516360A (en) 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Metal-compatible photoresist and / or sacrificial antireflective coating removal composition
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
WO2008080096A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
US20100163788A1 (en) 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (en) 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
CN101755324B (en) * 2007-07-26 2011-10-12 三菱瓦斯化学株式会社 Composition for cleaning and rust prevention and process for producing semiconductor element or display element
US20100261632A1 (en) 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
SG183744A1 (en) 2007-08-20 2012-09-27 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
JP2011517328A (en) 2008-03-07 2011-06-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Non-selective oxide etching wet cleaning composition and method of use
EP2288965A4 (en) 2008-05-01 2011-08-10 Advanced Tech Materials Low ph mixtures for the removal of high density implanted resist
CN101597548A (en) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 A kind of plasma etching residual washing liquid
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
CN101685273B (en) * 2008-09-26 2014-06-04 安集微电子(上海)有限公司 Cleanout fluid for removing photoresist layer residue
KR101752684B1 (en) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. Copper cleaning and protection formulations
JP2012516380A (en) 2009-01-28 2012-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド In situ cleaning formulation for lithographic tools
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions

Also Published As

Publication number Publication date
TW201209156A (en) 2012-03-01
KR20130088847A (en) 2013-08-08
US9063431B2 (en) 2015-06-23
US20130296214A1 (en) 2013-11-07
TWI548738B (en) 2016-09-11
JP2013533631A (en) 2013-08-22
WO2012009639A2 (en) 2012-01-19
US20150307818A1 (en) 2015-10-29
EP2593964A2 (en) 2013-05-22
CN103003923A (en) 2013-03-27
WO2012009639A9 (en) 2012-05-24
EP2593964A4 (en) 2017-12-06
WO2012009639A3 (en) 2012-04-26
SG187551A1 (en) 2013-03-28

Similar Documents

Publication Publication Date Title
SG10201505535VA (en) Aqueous cleaner for the removal of post-etch residues
SG10201710240SA (en) Process for cleaning wafers
IL245191B (en) Cleaning formulations for removing residues on surfaces
AP2013006905A0 (en) Cleaning tool
EP2417894A4 (en) Robot cleaner
EP2433541A4 (en) Robot cleaner
GB2484134B (en) Cleaning compositions
SG11201402550XA (en) Cleaning tool
PT2526179T (en) Hydrochlorofluoroolefin-based cleaning compositions
SG11201400137WA (en) Composition for cleaning substrates post-chemical mechanical polishing
PL2414498T3 (en) Cleaning agent
EP2705833A4 (en) Cleaning agent composition
SG2014014997A (en) Cleaning robot
EP2705834A4 (en) Cleaning agent composition
EP2775892A4 (en) Universal connection for vacuum cleaner nozzle
PL2542327T3 (en) Method for cleaning filters
EP2650913A4 (en) Dry cleaning method
SG2014013791A (en) Cleaning robot
SG11201402577TA (en) Wet cleaning tool
GB2477598B (en) Cleaner having additional cleaning apparatus
GB201405476D0 (en) Process for the removal of contaminants
GB2496112B (en) Interior Surface Cleaning Device
GB201006032D0 (en) Hair removal design for vacuum cleaners
GB201115677D0 (en) Clean hand dirty hand
GB201119567D0 (en) Hand cleaner