CN112771144A - 用于铈粒子的化学机械研磨后(post cmp)清洁组合物 - Google Patents

用于铈粒子的化学机械研磨后(post cmp)清洁组合物 Download PDF

Info

Publication number
CN112771144A
CN112771144A CN201980062409.7A CN201980062409A CN112771144A CN 112771144 A CN112771144 A CN 112771144A CN 201980062409 A CN201980062409 A CN 201980062409A CN 112771144 A CN112771144 A CN 112771144A
Authority
CN
China
Prior art keywords
acid
composition
hydroxide
particles
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980062409.7A
Other languages
English (en)
Inventor
E·托马斯
M·怀特
D·怀特
A·达斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of CN112771144A publication Critical patent/CN112771144A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/222Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin
    • C11D3/225Natural or synthetic polysaccharides, e.g. cellulose, starch, gum, alginic acid or cyclodextrin etherified, e.g. CMC
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/362Phosphates or phosphites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/365Organic compounds containing phosphorus containing carboxyl groups
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3776Heterocyclic compounds, e.g. lactam
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/378(Co)polymerised monomers containing sulfur, e.g. sulfonate
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3953Inorganic bleaching agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Molecular Biology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本发明提供一种用于从微电子装置上清洁化学机械研磨CMP后污染物和铈粒子的去除组合物和方法,所述微电子装置上具有所述铈粒子和污染物。所述组合物达成所述微电子装置表面上的所述铈粒子和CMP副产物污染物材料的高效去除。

Description

用于铈粒子的化学机械研磨后(POST CMP)清洁组合物
技术领域
本发明大体上涉及用于从微电子装置上去除铈粒子和其它化学机械研磨浆液污染物的组合物,所述微电子装置上具有所述粒子和污染物。
背景技术
微电子装置晶片用于形成集成电路。微电子装置晶片包括例如硅的衬底,在其中区域经图案化用以沉积具有绝缘、传导性或半传导性特性的不同材料。
为获得正确的图案化,必须去除用于在衬底上形成层的过量材料。此外,为制造功能性的且可靠的电路,在后续工艺之前制备平整或平坦的微电子晶片表面为重要的。因此,有必要去除和/或研磨某些微电子装置晶片表面。
化学机械研磨或平坦化(“CMP”)为一种从微电子装置晶片表面去除材料的工艺,其中通过将物理工艺(例如磨耗)与化学工艺(例如氧化或螯合)耦联来研磨(例如平坦化)表面。在其最基本的形式中,CMP涉及将具有活性化学物质的磨耗浆液施加到在去除、平坦化和研磨工艺期间磨光微电子装置晶片表面的研磨垫。为实现快速、均一去除,使用纯物理或纯化学作用的去除或研磨工艺的效果不如两者的协同组合。此外,在集成电路的制造中,CMP浆液还应能够优先去除包含金属与其它材料的复合层的膜,使得可产生高度平坦的表面以用于后续光刻术或图案化、蚀刻和薄膜加工。
在使用浅沟槽隔离(STI)法在硅衬底中形成隔离区的前段工艺(front-end-of-the-line;FEOL)法中,将垫氧化物膜和垫氮化物膜沉积在半导体衬底上且图案化以暴露对应于隔离区的衬底的部分。接着,蚀刻衬底的暴露区域以形成沟槽。其后,使衬底经受牺牲氧化工艺以去除由衬底蚀刻、随后在沟槽表面上形成壁氧化物膜引起的损坏。其次,以埋入沟槽中的方式将沟槽内埋氧化物膜(例如,通过高密度等离子体化学气相沉积形成的氧化物膜,称为HDP-氧化物膜)沉积在衬底的表面上。接着,使HDP-氧化物膜的表面经受化学机械研磨,直到垫氮化物膜暴露为止。接着清洁所得衬底且去除在沟槽蚀刻期间用作蚀刻屏障的垫氮化物膜,从而完成隔离区的形成。
相对于含二氧化硅的浆液,使用铈粒子的CMP浆液一般达成对绝缘体的更快研磨速度。此外,铈基浆液因为达成具有最小氧化物侵蚀的STI图案平坦化的能力而被最常使用。不利地,铈基浆液因为相对于氧化硅和氮化硅表面的铈粒子的带相反电荷ζ电位而难以从STI结构去除。如果制造具有残留在晶片上的这些残余物的装置,那么所述残余物将导致短路和电阻增加。在使用铈浆液的CMP工艺之后,铈粒子还为FinFET结构的问题。
当前,用于去除铈粒子的最有效的湿洗调配物为稀释氢氟酸(DHF)。然而,DHF不利地蚀刻氧化硅和其它低k介电材料。
因此,仍需要一种铈粒子去除组合物和方法,其可有效地从微电子装置表面去除铈粒子,同时不损害底层材料(例如氮化硅、低k电介质(例如,氧化硅)和含钨层)。铈粒子去除组合物还应有效地从微电子装置表面去除CMP浆液污染物。
发明内容
本发明大体上涉及一种去除组合物和方法,其尤其适用于从微电子装置上清洁铈粒子和CMP污染物,所述微电子装置上具有所述粒子和CMP污染物(尤其具有PETEOS、氮化硅和多晶硅衬底)。在一个方面中,本发明提供使用氧化剂来处理微电子衬底,其上具有带正电铈粒子。在另一方面中,描述一种从微电子装置上去除铈粒子和CMP污染物的方法,所述微电子装置上具有所述粒子和污染物,所述方法包含使所述微电子装置与去除组合物接触充足时间以从所述微电子装置至少部分清洁所述粒子和污染物,其中所述去除组合物包含(i)至少一种氧化剂;(ii)至少一种络合剂;(iii)至少一种清洁剂;(iv)至少一种季铵或季鏻化合物或无机氢氧化物和(v)水;以及任选地其它成分。
具体实施方式
本发明大体上涉及适用于从微电子装置上去除带正电的铈粒子和CMP污染物的组合物,所述微电子装置上具有此类材料。使用组合物来有效去除铈粒子和CMP污染物且此外组合物与氮化硅和低k介电(例如,氧化硅)层兼容。
在第一方面中,本发明提供一种组合物,其包含如本文中所阐述的组合物、由其组成或基本上由其组成。在一个实施例中,本发明提供一种组合物,其包含:
(i)至少一种氧化剂;
(ii)至少一种络合剂;
(iii)至少一种清洁剂;
(iv)至少一种季铵或季鏻化合物或无机氢氧化物;以及
(v)水。
如本文中所使用,术语“氧化剂”包括但不限于:过氧化氢;其它过化合物,例如含有过氧单硫酸盐的盐和酸、过硼酸盐、高氯酸盐、高碘酸盐、过硫酸盐、高锰酸盐和阴离子过乙酸盐;以及胺-N-氧化物。其它实例包括FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、臭氧(2KHSO5.KHSO4.K7SO4)、碘酸、五氧化二钒(V)(vanadium(V)oxide)、氧化钒(IV,V)(vanadium(IV,V)oxide)、钒酸铵、多原子铵盐(例如,过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、钨酸铵((NH4)10H2(W2O7))、多原子钠盐(例如,过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠)、多原子钾盐(例如,碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、多原子四甲铵盐(例如,四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8))、多原子四丁铵盐(例如,四丁基过氧单硫酸铵)、过氧单硫酸、硝酸铁(Fe(NO3)3)、过氧化脲((CO(NH2)2)H2O2)、过乙酸(CH3(CO)OOH)、1,4-苯醌、甲醌(toluquinone)、二甲基-1,4-苯醌、四氯醌、四氧嘧啶(alloxan)、N-甲基吗啉N-氧化物、三甲胺N-氧化物和其组合。氧化剂的其它实例包括高溴酸、碲酸、三氟过乙酸、间氯过苯甲酸、叔丁基过氧化氢、二苯甲酰基过氧化物、过氧硫酸钾(例如,
Figure BDA0002988472420000031
DuPont)、过氧化甲基乙基酮、过氧化丙酮、乙基过氧化氢和异丙苯过氧化氢。
在一个实施例中,氧化剂的量以组合物的总重量计在约0.001wt%到5wt%范围内,且在另一实施例中,在约0.001wt%到约2wt%范围内。
如本文中所使用,术语“络合剂”包括由熟习所属领域者理解为络合剂、螯合剂和/或钳合剂或以其它方式与铈相互作用的那些化合物。络合剂将以化学方式合并或以物理方式保持金属原子和/或金属离子,所述金属原子和/或金属离子将经使用本文中所描述的组合物来去除。络合剂包括具有通式NR1R2R3的物种,其中R1、R2和R3可彼此相同或不同且选自氢、直链或支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基和己基)、直链或支链C1-C6羟烷基(例如,羟甲基、羟乙基、羟丙基、羟丁基、羟戊基和羟己基)和如上文所定义的直链或支链C1-C6羟烷基的C1-C6烷基醚。在某些实施例中,R1、R2和R3中的至少一者为直链或支链C1-C6羟烷基。实例包括但不限于烷醇胺,例如氨基乙基乙醇胺、N-甲基氨基乙醇胺、氨基乙氧基乙醇胺、二甲基氨基乙氧基乙醇、二乙醇胺、N-甲基二乙醇胺、单乙醇胺(MEA)、三乙醇胺(TEA)、1-氨基-2-丙醇、2-氨基-1-丁醇、异丁醇胺、三亚乙基二胺、其它C1-C8烷醇胺和其组合。(在下表1中的说明性实例中,在“胺”行中列出烷醇胺)。当胺包括烷基醚组分时,胺可视为烷氧基胺,例如1-甲氧基-2-氨基乙烷。可替代地或除NR1R2R3胺以外,络合剂还可为包括但不限于以下物质的多官能胺:4-(2-羟乙基)吗啉(HEM)、1,2-环己基二胺-N,N,N',N'-四乙酸(CDTA)、乙二胺四乙酸(EDTA)、间苯二甲胺(MXDA)、亚氨基二乙酸(IDA)、2-(羟乙基)亚氨基二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、丙氨酸、精氨酸、天冬酰胺、天冬氨酸、半胱氨酸、谷氨酸、谷氨酰胺、组氨酸、异亮氨酸、亮氨酸、赖氨酸、甲硫氨酸、苯丙氨酸、脯氨酸、丝氨酸、苏氨酸、色氨酸、酪氨酸、缬氨酸和其组合。可替代地除前述络合剂以外,额外络合剂可包括膦酸盐(例如,1-羟基亚乙基-1,1-二膦酸(HEDP)、1,5,9-三氮杂环十二烷-N,N',N"-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N',N",N"'-四(亚甲基膦酸)(DOTP)、氮基三(亚甲基)三膦酸、二亚乙基三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺五亚甲基膦酸、1,4,7-三氮杂环壬烷-N,N',N"-三(亚甲基膦酸)(NOTP)、羟乙基二磷酸盐、氮基三(亚甲基)膦酸、2-膦酰基-丁烷-1,2,3,4-四甲酸、羧基乙基膦酸、氨基乙基膦酸、草甘膦、乙二胺四(亚甲基膦酸)苯基膦酸、其盐和其衍生物)和/或羧酸(例如,草酸、丁二酸、磺化丁二酸、磺基邻苯二甲酸、顺丁烯二酸、苹果酸、丙二酸、己二酸、邻苯二甲酸、柠檬酸、柠檬酸钠、柠檬酸钾、柠檬酸铵、丙三羧酸、三羟甲基丙酸、酒石酸、葡糖醛酸、2-羧基吡啶、依替膦酸、氨基三(亚甲基膦酸))和/或例如钛铁试剂(tiron;4,5-二羟基-1,3-苯二磺酸二钠盐)的磺酸。在某些实施例中,至少一种络合剂包含选自单乙醇胺、三乙醇胺、硫酸、柠檬酸和其组合的物种。在一个实施例中,去除组合物中的络合剂的量以去除组合物的总重量计在约0.01wt%到约10wt%范围内。
组合物还包含至少一种清洁剂。所述清洁剂选自(i)一或多种水混溶性溶剂和/或(ii)一或多种聚合物中的至少一者。
水混溶性溶剂的实例包括二醇和二醇醚,包括但不限于甲醇、乙醇、异丙醇、丁醇和高级醇(例如C2-C4二醇和C2-C4三醇)、四氢糠醇(THFA)、卤化醇(例如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、3-氨基-4-辛醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氢呋喃N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲亚砜(DMSO)、四亚甲基砜(环丁砜)、二***、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二噁烷、丁酰基内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚(即,丁基卡必醇)、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇***、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、乙二醇单苯醚、二乙二醇单苯醚、六乙二醇单苯醚、二丙二醇甲醚乙酸酯、四乙二醇二甲醚(TEGDE)、二元酯、丙三醇碳酸酯、N-甲酰基吗啉、磷酸三乙酯和其组合。当存在时,聚合物包括但不限于具有例如以下的甲基丙烯酸均聚物和共聚物:丙烯酰胺基甲基丙烷磺酸与顺丁烯二酸;顺丁烯二酸/乙烯醚共聚物;聚(乙烯基吡咯烷酮)/乙酸乙烯酯;均聚物,例如膦酸化聚乙二醇寡聚物、聚(丙烯酸)(PAA)、聚(丙烯酰胺)、聚(乙酸乙烯酯)、聚(乙二醇)(PEG)、聚(丙二醇)(PPG)、聚(苯乙烯磺酸)、聚(乙烯基磺酸)、聚(乙烯基膦酸)、聚(乙烯基磷酸)、聚(亚乙亚胺)、聚(亚丙亚胺)、聚烯丙胺、聚环氧乙烷(PEO)、聚乙烯吡咯烷酮(PVP)、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、聚(乙烯醇)、聚(羟乙基)丙烯酸酯、聚(羟乙基)甲基丙烯酸脂、羟乙基纤维素、甲基羟乙基纤维素、羟丙基纤维素、甲基羟丙基纤维素、三仙胶、海藻酸钾、果胶、羧基甲基纤维素、葡糖胺、聚(二烯丙基二甲基铵)氯化物、聚乙二醇化(PEGylated)(即,聚乙二醇化(polyethyleneglycol-ated))甲基丙烯酸脂/丙烯酸酯共聚物、聚MADQuat和其共聚物、二甲氨基甲基丙烯酸酯聚合物和其共聚物、三甲铵甲基丙烯酸甲酯聚合物(即水混溶性溶剂)和其共聚物和其组合。上述共聚物可为无规或嵌段共聚物。当存在时,组合物中的聚合物的量以组合物的总重量计在约0.0001重量%到约5重量%范围内。在另一实施例中,组合物中的聚合物的量以组合物的总重量计在约0.0001重量%到约20重量%范围内。
在某些实施例中,组合物的pH大于8、大于9、大于10或大于11、小于14、小于13、小于12或小于11。在某些实施例中,pH为约8到11.5;稀释之后,某些实施例中的pH大于7、大于8、大于9或大于10、小于13、小于12或小于11。在某些实施例中,稀释后组合物的pH为约8到12。
为了易于参考,“微电子装置”对应于半导体衬底、平板显示器、相变存储器装置、太阳电池板和其它产品,包括制造用于微电子、集成电路或计算机芯片应用的太阳能衬底、光伏打装置和微机电***(MEMS)。太阳能衬底包括但不限于硅、非晶硅、多晶硅、单晶硅、CdTe、铜铟硒化物(copper indium selenide)、铜铟硫化物和镓上砷化镓(galliumarsenide on gallium)。太阳能衬底可经掺杂或未经掺杂。应理解,术语“微电子装置”并不意谓以任何方式受限制,且包括最终将变为微电子装置或微电子组件的任何衬底。
如本文中所使用,“铈粒子”对应于可用于化学机械研磨浆液中的铈基研磨粒子,包括例如具有式Ce2O3和CeO2的氧化铈。应了解,“铈粒子”可包含氧化铈、由其组成或基本上由其组成。
如本文中所使用,“污染物”对应于存在于CMP浆液中的化学物质、研磨浆液的反应副产物、CMP后残余物、存在于湿式蚀刻组合物中的化学物质、湿式蚀刻组合物的反应副产物和作为CMP工艺、湿式蚀刻、等离子体蚀刻或等离子体灰化工艺的副产物的任何其它材料。
如本文中所使用,“CMP后残余物”对应于来自研磨浆液的粒子,例如,存在于浆液中的化学物质、研磨浆液的反应副产物、富碳粒子、研磨垫粒子、刷涂减载粒子、构造粒子的设备材料、金属、有机物、有机金属、有机硅或自然界中的无机物,例如含硅材料、含钛材料、含氮材料、含氧材料、聚合残余物材料、含铜残余物材料(包括氧化铜残余物)、含钨残余物材料、含钴残余物材料、蚀刻气体残余物(例如氯气和氟气)和其组合以及为CMP工艺的副产物的任何其它材料。
如本文中所使用,术语“低k介电材料”对应于在分层微电子装置中用作介电材料的任何材料,其中材料的介电常数小于约3.5。在某些实施例中,低κ介电材料包括低极性材料,例如含硅有机聚合物、含硅混合有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅、碳氧化硅、氮氧化硅、氮化硅、掺碳氧化物(CDO)或掺碳玻璃,例如,来自诺发***(Novellus Systems)公司的CORALTM、来自应用材料(Applied Materials)公司的BLACK DIAMONDTM(例如,指定用于PECVD的BD1、BD2和BD3)、来自陶氏公司(Dow)的SiLKTM介电树脂(基于通过多官能环戊二烯酮与含乙炔材料的反应的经交联聚苯的聚合物;参见例如美国专利第5,965,679号,以引用的方式并入本文中)和纳米孔公司(Nanopore,Inc)的NANOGLASSTM(二氧化硅气凝胶/干凝胶(已知为纳米多孔二氧化硅))等。应了解,低k介电材料可具有不同的密度和不同的孔隙率。
如本文中所使用,术语“蚀刻剂”是指:氢氟酸(HF);氟硅酸(H2SiF6);氟硼酸;氟硅酸铵盐((NH4)2SiF6);四甲基六氟磷酸铵;氟化铵、二氟化铵、四氟硼酸季铵和四氟硼酸季鏻以及其组合。
如其中所使用,术语“金属腐蚀抑制剂”是指非离子表面活性剂,例如PolyFox PF-159(OMNOVA溶液)、聚(乙二醇)(“PEG”)、聚(丙二醇)(“PPG”)、环氧乙烷/环氧丙烷嵌段共聚物(例如Pluronic F-127(BASF))、聚山梨醇酯聚环氧乙烷(20)脱水山梨糖醇单油酸酯(Tween 80)、聚环氧乙烷(20)脱水山梨糖醇单硬脂酸酯(Tween 60)、聚环氧乙烷(20)脱水山梨糖醇单棕榈酸酯(Tween 40)、聚环氧乙烷(20)脱水山梨糖醇单月桂酸酯(Tween 20)、聚环氧丙烷/聚环氧乙烷嵌段共聚物(例如,Pluronic L31、Plutonic 31R1、Pluronic 25R2和Pluronic 25R4)和其组合;以及与唑组合的此类化合物,例如5-氨基四唑、5-苯基-苯并***、1H-四唑-5-乙酸、1-苯基-2-四唑啉-5-硫酮、苯并咪唑、甲基四唑、试铋硫醇I(Bismuthiol I)、胞嘧啶、鸟嘌呤、胸腺嘧啶、吡唑、亚氨基二乙酸(IDA)、丙硫醇、苯并异羟肟酸、柠檬酸、抗坏血酸、5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、1,2,4-***(TAZ)、甲苯基***、5-甲基-苯并***(mBTA)、5-苯基-苯并***、5-硝基-苯并***、苯并***羧酸、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***(3-ATA)、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯硫醇-苯并***、卤基-苯并***(卤基=F、Cl、Br或I)、萘***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基-1,2,4-***(5-ATA)、十二烷基硫酸钠(SDS)、ATA-SDS、3-氨基-5-巯基-1,2,4-***、戊四唑(pentylenetetrazole)、5-苯基-1H-四唑、5-苄基-1H-四唑、安利新宿(Ablumine O)、2-苄基吡啶、丁二酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、4-氨基-4H-1,2,4-***、3-氨基-5-甲硫基-1H-1,2,4-***、苯并噻唑、咪唑、苯并异二唑(indiazole)、腺嘌呤、丁二酰亚胺、腺苷、咔唑、糖精、尿酸、苯偶姻肟(benzoinoxime)、阳离子季盐(例如,氯苄烷铵、苄基二甲基十二烷基氯化铵、肉豆蔻基三甲基溴化铵、十二烷基三甲基溴化铵、十六烷基氯化吡锭、试样336(科宁公司(Cognis))、苄基二甲基苯基氯化铵、Crodaquat TES(禾大公司(Croda.Inc))、Rewoquat CPEM(威科公司(Witco))、十六烷基三甲基对甲苯磺酸铵、十六烷基三甲基氢氧化铵、1-甲基-1'-十四烷基-4,4'-二氯化联吡锭、烷基三甲基溴化铵、盐酸安普罗利、苄索氢氧化铵、苄索氯铵、苄基二甲基十六烷基氯化铵、苄基二甲基十四烷基氯化铵、苄基十二烷基二甲基溴化铵、苄基十二烷基二甲基氯化铵、氯化十六烷基吡锭、对甲苯磺酸胆碱、二甲基二(十八烷基)溴化铵、十二烷基乙基二甲基溴化铵、十二烷基三甲基氯化铵、乙基十六烷基二甲基溴化铵、吉拉尔特试剂(Girard's reagent)、十六烷基(2-羟乙基)二甲基磷酸二氢铵、十六烷基溴化吡锭、十六烷基三甲基溴化铵、十六烷基三甲基氯化铵、甲基苄索氯铵、
Figure BDA0002988472420000071
1622、LuviquatTM、N,N',N'-聚环氧乙烷(10)-N-动物脂-1,3-二氨基丙烷液体、奥芬溴铵、四庚基溴化铵、四(癸基)溴化铵、通佐溴铵(thonzoniumbromide)、三(十二烷基)氯化铵、三甲基十八烷基溴化铵、1-甲基-3-正辛基四氟硼酸咪唑鎓、1-癸基-3-甲基四氟硼酸咪唑鎓、1-癸基-3-甲基氯化咪唑鎓、三(十二烷基)甲基溴化铵、二甲基二(十八烷基)氯化铵、十六烷基三甲基溴化铵、肉豆蔻基三甲基溴化铵和氯化六羟季铵)、阴离子表面活性剂(例如,十二烷基苯磺酸、十二烷基苯磺酸钠、十二烷基膦酸(DDPA)和其组合)。
如本文中所使用,术语“低k钝化剂”是指减少低k层的化学侵蚀以保护晶片免于额外氧化的化合物。硼酸为低k钝化剂的一个实例,尽管已知其它羟基添加剂也用于此类目的,所述添加剂例如3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸、五硼酸铵、脲、甲基三乙氧基硅烷和其混合物。
在某些实施例中,“大体上不含”在本文中定义为小于2wt.%、小于1wt.%、小于0.5wt.%或少于0.1wt.%。在某些实施例中,“不含”打算对应于小于0.001wt%以解释环境污染,且在另一实施例中,小于0.0wt.%。
在一些实施例中,组合物大体上不含(a)腐蚀抑制剂;(b)蚀刻剂;以及(c)钝化剂。在其它实施例中,组合物不含(a)腐蚀抑制剂;(b)蚀刻剂;以及(c)钝化剂。
如本文中所使用,“约”打算对应于所述值的+/-0.5%。
如本文中所使用,术语“缓冲液”是指常见缓冲液,例如磷酸盐(例如,磷酸氢二铵、磷酸二氢铵、磷酸铵)和碳酸盐,例如碳酸氢钾和碳酸钾。当存在时,组合物包含以组合物的总重量计约0.1wt%到约20wt%缓冲物种。
如本文中所使用,对于具有铈粒子和CMP污染物的微电子装置,从其上去除所述粒子和污染物的“适合性”对应于从微电子装置至少部分去除所述粒子/污染物。清洁效果由微电子装置上的对象的减少来评定。举例来说,可使用原子力显微镜来进行清洁前分析和清洁后分析。样品上的粒子可记录为像素范围。可施加直方图(例如,Sigma Scan Pro)以某一强度(例如,231-235)和计数的粒子数目来过滤像素。可使用以下公式计算粒子减少:
Figure BDA0002988472420000081
值得注意地,清洁效果的确定方法仅提供为实例且并不打算受限于所述实例。可替代地,清洁效果可视为由颗粒物质所覆盖的总表面的百分比。举例来说,可对AFM进行编程以执行z-平面扫描以在某一高度阈值以上鉴别所关注形貌区域,且接着计算由所述所关注区域覆盖的总表面面积。熟习所属领域者将容易理解,清洁后由所述所关注区域覆盖的面积越小,去除组合物越有效。在某些实施例中,使用本文中所描述的组合物来从微电子装置去除至少75%、至少90%、至少95%或至少99%的粒子/污染物。
如下文更充分地描述,本文中所描述的组合物可实施于广泛多种特定调配物中。
在所有此类组合物中,其中参考重量百分比范围(包括零下限)论述组合物的特定组分,应理解,此类组分可存在或不存在于组合物的各种特定实施例中,且在其中存在此类组分的例子中,以其中采用此类组分的组合物的总重量计,所述组合物可以低到0.00001重量百分比的浓度存在。
为将pH调节到所需端点,可利用季铵化合物和/或季鏻化合物。如本文中所使用,术语“季铵化合物”是指其普通含义且包括具有式NR4R5R6R7OH的四烷基氢氧化铵化合物,其中R4、R5、R6和R7可彼此相同或不同且选自氢、直链或支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基和己基)、C1-C6羟烷基(例如,羟甲基、羟乙基、羟丙基、羟丁基、羟戊基和羟己基)、甲基三乙基氢氧化铵、二乙基二甲基氢氧化铵、乙基三甲基氢氧化铵、氢氧化胆碱、甲基三(羟乙基)氢氧化铵、氢氧化钾、氢氧化铯和经取代或未经取代的C6-C10芳基(例如,苄基)。可商购的四烷基氢氧化铵包括四甲基氢氧化铵(TMAH)、四乙基氢氧化铵(TEAH)、四丙基氢氧化铵(TPAH)、四丁基氢氧化铵(TBAH)、三丁基甲基氢氧化铵(TBMAH)、苯甲基三甲基氢氧化铵(BTMAH)、氢氧化胆碱、乙基三甲基氢氧化铵、三(2-羟乙基)甲基氢氧化铵、二乙基二甲基氢氧化铵和其组合,所述四烷基氢氧化铵可被使用。
可替代地或另外,可使用具有式(PR8R9R10R11)OH的季鏻化合物来调节pH,其中R8、R9、R10和R11可彼此相同或不同且选自由以下组成的群组:氢、直链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基和己基)、支链C1-C6烷基、C1-C6羟烷基(例如,羟甲基、羟乙基、羟丙基、羟丁基、羟戊基和羟己基)、经取代C6-C10芳基、未经取代C6-C10芳基(例如,苄基)和其任何组合;可商购的四烷基氢氧化鏻包括四甲基氢氧化鏻(TMPH)、四乙基氢氧化鏻(TEPH)、四丙基氢氧化鏻(TPPH)、四丁基氢氧化鏻(TBPAH)、三丁基甲基氢氧化鏻(TBMPAH)、苯甲基三甲基氢氧化鏻(BTMPH)、乙基三甲基氢氧化鏻、三(2-羟乙基)甲基氢氧化鏻、二乙基二甲基氢氧化鏻和其组合,所述四烷基氢氧化鏻可被使用。酸包括但不限于硝酸、硫酸、磷酸、盐酸、氢溴酸、甲磺酸、苯磺酸、对甲苯磺酸、三氟甲磺酸、乙酸、乳酸、乙醇酸和其任何组合。在一个实施例中,pH调节剂包含KOH。在另一实施例中,pH调节剂包含氢氧化胆碱。在又另一实施例中,pH调节剂包含氢氧化铵。可替代地,除季铵化合物和/或季鏻化合物以外,pH调节剂可还包含至少一种碱金属氢氧化物。在另一实施例中,pH调节剂包含KOH和至少一种本文中所列举的额外氢氧化物。在再一实施例中,pH调节剂包含KOH和氢氧化胆碱以及氢氧化铵中的至少一者。对这些所列举的实施例中的任一者而言,pH调节剂可还包括至少一种酸,例如硫酸、柠檬酸或柠檬酸与硫酸的组合。
可替代地或另外,可使用为碱金属氢氧化物的无机氢氧化物化合物来调节pH,所述无机氢氧化物化合物包括KOH、CsOH和/或氢氧化铵。
另外,组合物可视需要含有其它添加剂,例如含氟化合物和/或表面活性剂。
如本文中所使用,“含氟化合物”对应于包含以离子方式键结到另一原子的氟离子(F-)的盐或酸化合物(例如HF)。
如本文所使用,术语“表面活性剂”是指降低两种液体之间或液体与固体之间的表面张力(或界面张力)的有机化合物,通常是指含有疏水性基团(例如,烃(例如,烷基)“尾端”)和亲水性基团的有机两亲性化合物。当存在时,用于本文中所描述的组合物中的表面活性剂包括但不限于两性盐、阳离子表面活性剂、阴离子表面活性剂、两性离子表面活性剂、非离子表面活性剂和其组合,包括但不限于癸基膦酸、十二烷基膦酸(DDPA)、十四烷基膦酸、十六烷基膦酸、双(2-乙基己基)磷酸盐、十八烷基膦酸、过氟庚酸、过氟癸酸、三氟甲磺酸、磷酰乙酸、十二烷基苯磺酸(DDBSA)、其它R1苯磺酸或其盐(其中R1为直链或支链C8-C18烷基)、十二烯基丁二酸、二(十八烷基)磷酸氢盐、十八烷基磷酸二氢盐、十二烷胺、十二烯基丁二酸单二乙醇酰胺、月桂酸、棕榈酸、油酸、杜松酸、12羟基硬脂酸、十八烷基膦酸(ODPA)、十二烷基磷酸盐。所涵盖的非离子表面活性剂包括但不限于聚环氧乙烷月桂基醚、十二烯基丁二酸单二乙醇酰胺、乙二胺四(乙氧基化物-嵌段-丙氧基化物)四醇、聚乙二醇、聚丙二醇、聚乙烯或聚丙二醇醚、基于环氧乙烷和环氧丙烷的嵌段共聚物、聚环氧丙烷蔗糖醚、叔辛基苯氧基聚乙氧基乙醇、10-乙氧基-9,9-二甲基癸-1-胺、聚环氧乙烷(9)壬基苯基醚、支链聚环氧乙烷(40)壬基苯基醚、支链二壬基苯基聚环氧乙烷、壬基苯酚烷氧基化物、聚环氧乙烷山梨糖醇六油酸酯、聚环氧乙烷山梨糖醇四油酸酯、聚乙二醇脱水山梨糖醇单油酸酯、脱水山梨糖醇单油酸酯、醇烷氧基化物、烷基-多葡萄糖苷、全氟丁酸乙酯、1,1,3,3,5,5-六甲基-1,5-双[2-(5-降冰片烯-2-基)乙基]三硅氧烷、单体十八烷基硅烷衍生物、硅氧烷修饰的聚硅氮烷、硅酮-聚醚共聚物和乙氧基化氟表面活性剂。所涵盖的阳离子表面活性剂包括但不限于十六烷基三甲基溴化铵(CTAB)、十七氟辛烷磺酸、四乙基铵、十八烷基三甲基氯化铵、4-(4-二乙基氨基苯偶氮基)-1-(4-硝基苄基)溴化吡啶鎓、氯化十六烷基吡锭单水合物、氯苄烷铵、苄索氯铵苄基二甲基十二烷基氯化铵、苄基二甲基十六烷基氯化铵、十六烷基三甲基溴化铵、二甲基二(十八烷基)氯化铵、十二烷基三甲基氯化铵、十六烷基三甲基对甲苯磺酸铵、二(十二烷基)二甲基溴化胺、二(氢化动物脂)二甲基氯化铵、四庚基溴化铵、四(癸基)溴化铵和奥芬溴铵、盐酸胍(C(NH2)3Cl)或三氟甲磺酸盐(例如四丁基三氟甲烷磺酸铵)、二甲基二(十八烷基)氯化铵、二甲基二(十六烷基)溴化铵、二(氢化动物脂)二甲基氯化铵和聚环氧乙烷(16)动物脂乙基甲基乙基硫酸铵。所涵盖的阴离子表面活性剂包括但不限于聚(丙烯酸钠盐)、聚丙烯酸铵、聚环氧乙烷月桂基醚钠、磺基丁二酸二己基钠、十二烷基硫酸钠、磺基丁二酸二辛盐、2-磺基丁二酸盐、2,3-二巯基-1-丙磺酸盐、二环己基磺基丁二酸盐钠盐、7-乙基-2-甲基-4-十一烷基硫酸钠、磷酸酯氟表面活性剂、氟表面活性剂和聚丙烯酸酯。两性离子表面活性剂包括但不限于炔属二醇或经修饰炔属二醇、环氧乙烷烷基胺、N,N-二甲基十二胺N-氧化物、椰油胺丙酸钠、3-(N,N-二甲基十四烷基铵基)丙磺酸盐和(3-(4-庚基)苯基-3-羟丙基)二甲基铵基丙磺酸盐。
就组成量而言,在一个实施例中,其它添加剂与组分(i)、(ii)、(iii)、(iv)、(v)的重量百分比比率在约0.001:1到约10:1范围内,且在其它实施例中,在约0.1:1到约5:1范围内。pH调节剂(即,季铵或鏻化合物)的量取决于在基于本文中所公开的pH值和熟习所属领域者的知识来制备供使用的去除组合物时所寻求的最终pH。
组分的重量百分比比率范围将覆盖组合物的所有可能的浓缩或稀释的实施例。朝向所述目的,在一个实施例中,提供可经稀释以用作清洁溶液的经浓缩去除组合物。经浓缩组合物或“浓缩物”宜准许用户(例如CMP工艺工程师)在使用时将浓缩物稀释到所需强度和pH。经浓缩水性组合物的稀释度可在约1:1到约2500:1、约5:1到约200:1或约20:1到约120:1范围内,其中在工具处或仅在工具之前用溶剂(例如,去离子水)稀释水性组合物。熟习所属领域者应了解,在稀释之后,本文中所公开的组分的重量百分比的范围应保持不变。
就衬底而言,相信本发明的组合物适用于清洁如本文中所阐述的低k介电材料。
由本发明提供且相信适用于从低k介电材料去除铈的说明性组合物包括以下物质:
表1
Figure BDA0002988472420000111
Figure BDA0002988472420000121
在又另一实施例中,本文中所描述的组合物还包含铈粒子和/或CMP污染物。铈粒子和污染物在清洁已开始之后变为组合物的组分且将溶解和/或悬浮于组合物中。
通过简单添加各自成分且混合到均质状态来容易地调配出去除组合物。此外,组合物可易于调配为单封装调配物或在使用时或使用前混合的多部分调配物,例如,多部分调配物的个别部分可在工具处或在工具上游的储槽中混合。各自成分的浓度可以组合物的特定倍数广泛变化,即更稀释或更浓缩的,且应了解,本文中所描述的组合物可不同且替代地包含与本文中的公开内容一致的成分的任何组合、由其组成或基本上由其组成。
因此,另一方面涉及一种试剂盒,所述试剂盒在一或多个容器中包括经调适以形成本文中所描述的组合物的一或多种组分。试剂盒可在一或多个容器中包括一种适用于从其上具有铈粒子和化学机械研磨(CMP)污染物的微电子装置去除所述粒子和CMP污染物的试剂盒,其中所述试剂盒的一或多个容器含有至少一种氧化剂;至少一种络合剂;至少一种清洁剂;至少一种季铵化合物;以及水以用于在工厂处或在使用时与额外溶剂和/或水组合。试剂盒的容器必须适用于存储和运送组合物,且可为例如
Figure BDA0002988472420000131
容器(美国马萨诸塞州比勒卡市英特格公司(Entegris,Inc.,Billerica,Mass.,USA))。
在一个实施例中,含有水性去除组合物的组分的一或多个容器包括用于使所述一或多个容器中的组分呈用于掺混和分配的流体连通的方式。举例来说,参看
Figure BDA0002988472420000132
容器,可向所述一或多个容器中的衬垫外部施加气体压力以使得衬垫的内含物的至少一部分释放且因此能够实现用于掺混和分配的流体连通。可替代地,可向可用于能够实现流体连通的常规可加压容器或泵的顶部空间施加气体压力。另外,在某些实施例中,***包括分配端口用以将经掺混去除组合物分配到处理工具。
当应用于微电子制造操作时,本文中所描述的去除组合物经有效采用以从微电子装置的表面清洁铈粒子和/或CMP污染物(例如,CMP后残余物和污染物)。在某些实施例中,水性去除组合物去除至少85%、至少90%、至少95%或至少99%的在粒子去除之前存在于装置上的铈粒子。
在CMP后粒子和污染物去除应用中,本文中所描述的水性去除组合物可与各种各样的常规清洁工具(例如超声波和电刷洗涤)一起使用,所述工具包括但不限于Verteq单晶片超声波Goldfinger、OnTrak***DDS(双边洗涤器)、SEZ或其它单晶片喷雾冲洗液、应用材料Mirra-MesaTM/ReflexionTM/Reflexion LKTM和超声波分批湿式清洗台***(Megasonicbatch wet bench systems)。
在使用本文中所描述的组合物从其上具有铈粒子和CMP污染物的微电子装置去除所述铈粒子和污染物时,通常在约20℃到约90℃或约20℃到约50℃的范围内的温度下使水性去除组合物与装置接触约5秒到约10分钟,或约1秒到20分钟,或约15秒到约5分钟的时段。此类接触时间和温度为说明性的,且可在方法的广泛实践内采用从装置有效地至少部分去除铈粒子和CMP污染物的任何其它适合的时间和温度条件。“至少部分清洁”与“基本上去除”两者在某些实施例中都对应于去除至少85%、至少90%、至少95%或至少99%的在粒子去除之前存在于装置上的铈粒子。
正如可在本文中所描述的组合物的给定最终用途应用中所需且有效的,在达成所需粒子去除作用之后,可容易地从先前已施加的装置去除水性去除组合物。在一个实施例中,冲洗溶液包括去离子水。其后,可使用氮气或旋转干燥循环来干燥装置。
本发明的又另一方面涉及根据本文中所描述的方法制得的经改进的微电子装置和含有此类微电子装置的产品。
如熟习所属领域者容易地确定,另一方面涉及一种再循环水性去除组合物,其中所述去除组合物可经再循环直到粒子和/或污染物负载达到水性去除组合物可容纳的最大量。
又一方面涉及制造包含微电子装置的物品的方法,所述方法包含使微电子装置与水性去除组合物接触持续充足时间以从其上具有铈粒子和CMP污染物的微电子装置去除所述粒子和污染物,以及使用本文所描述的去除组合物来将所述微电子装置并入到所述物品中。
在另一方面中,提供一种从其上具有铈粒子和CMP污染物的微电子装置去除所述铈粒子和污染物的方法。因此在另一方面中,本发明提供一种用于从其上具有铈粒子和化学机械研磨污染物的微电子装置去除所述粒子和污染物的方法,所述方法包含:
(i)使微电子装置与本发明的组合物接触;以及
(ii)用包含去离子水的水溶液从所述微电子装置至少部分去除所述粒子和污染物。
本发明可进一步通过其优选实施例的以下实例来说明,但应理解,除非另外具体指示,否则仅出于说明的目的包括这些实例且不打算限制本发明的范围。
实验部分
烧杯浸渍实验过程
1.将衬底浸渍在稀释铈浆液中5分钟,随后浸渍在DIW(去离子水)冲洗液中30秒
2.将浆液暴露的衬底浸渍在稀释清洁器中1分钟,随后浸渍在DIW冲洗液中30秒
性能评估(计量学):
性能评估计量学:由SEM收集的试片后清洁图像
·由图像分析软件(Image J)计算的铈粒子的总面积
Figure BDA0002988472420000151

Claims (15)

1.一种组合物,其包含,
(i)至少一种氧化剂;
(ii)至少一种络合剂;
(iii)至少一种清洁剂;
(iv)至少一种季铵或季鏻化合物或无机氢氧化物;以及
(v)水。
2.根据权利要求1所述的组合物,其中所述氧化剂是选自过氧化氢、高碘酸、叔丁基过氧化氢、过乙酸、过硫酸钾、过硫酸铵、过氧硫酸钾、高锰酸钾和过氧化脲。
3.根据权利要求1所述的组合物,其中所述络合剂是选自通式NR1R2R3的化合物,其中R1、R2和R3可彼此相同或不同且是选自氢、直链或支链C1-C6烷基、直链或支链C1-C6羟烷基和直链或支链C1-C6羟烷基的C1-C6烷基醚。
4.根据权利要求1所述的组合物,其中所述络合剂是选自C1-C8烷醇胺。
5.根据权利要求1所述的组合物,其中所述络合剂是选自4-(2-羟乙基)吗啉(HEM)、1,2-环己烷二胺-N,N,N',N'-四乙酸(CDTA)、乙二胺四乙酸(EDTA)、间苯二甲胺(MXDA)、亚氨基二乙酸(IDA)、2-(羟乙基)亚氨基二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、丙氨酸、精氨酸、天冬酰胺、天冬氨酸、半胱氨酸、谷氨酸、谷氨酰胺、组氨酸、异亮氨酸、亮氨酸、赖氨酸、甲硫氨酸、苯丙氨酸、脯氨酸、丝氨酸、苏氨酸、色氨酸、酪氨酸、缬氨酸和其组合。
6.根据权利要求1所述的组合物,其中所述络合剂是选自1-羟基亚乙基-1,1-二膦酸(HEDP)、1,5,9-三氮杂环十二烷-N,N',N"-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N',N",N"'-四(亚甲基膦酸)(DOTP)、氮基三(亚甲基)三膦酸、二亚乙基三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺五亚甲基膦酸、1,4,7-三氮杂环壬烷-N,N',N"-三(亚甲基膦酸)(NOTP)、羟乙基二膦酸酯、氮基三(亚甲基)膦酸、2-膦酰基-丁烷-1,2,3,4-四甲酸、羧基乙基膦酸、氨基乙基膦酸、草甘膦、乙二胺四(亚甲基膦酸)苯基膦酸、其盐。
7.根据权利要求1所述的组合物,其中所述络合剂是选自单乙醇胺、三乙醇胺、硫酸、柠檬酸和其组合。
8.根据权利要求1中任一权利要求所述的组合物,其中所述去除组合物中的所述络合剂的量以所述组合物的总重量计在约0.01wt%到约10wt%范围内。
9.根据权利要求1所述的组合物,其中水混溶性有机溶剂是选自甲醇、乙醇、异丙醇、丁醇、四氢糠醇(THFA)、3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、3-氨基-4-辛醇、4-氯-1-丁醇、2-氯乙醇、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氢呋喃N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲亚砜(DMSO)、四亚甲基砜(环丁砜)、二***、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二噁烷、丁酰基内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯、二丙二醇、二乙二醇单甲醚和其组合。
10.根据权利要求1所述的组合物,其还包含聚合物。
11.根据权利要求1所述的组合物,其中所述季铵化合物是选自氢氧化胆碱、四甲基氢氧化铵、四乙基氢氧化铵和四丁基氢氧化铵。
12.根据权利要求1所述的组合物,其中所述组合物中的水混溶性有机溶剂以所述组合物的总重量计以约0.0001重量%到约5重量%的范围存在。
13.一种用于从微电子装置上去除铈粒子和化学机械研磨污染物的方法,所述微电子装置上具有所述粒子和污染物,所述方法包含:
(i)使所述微电子装置与根据权利要求1所述的组合物接触;以及
(ii)用包含去离子水的水溶液从所述微电子装置至少部分去除所述粒子和污染物。
14.根据权利要求13所述的方法,其中低k电介质是选自多晶硅、PETEOS、碳化硅、聚合物膜、碳氧化硅、氮氧化硅和氮化硅、含硅有机聚合物、含硅混合有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和掺碳氧化物(CDO)玻璃以及掺碳玻璃。
15.根据权利要求13所述的方法,其还包含在使用时或之前用包含水和/或水混溶性有机溶剂的溶剂,以及任选地一或多种表面活性剂稀释所述组合物的步骤。
CN201980062409.7A 2018-08-28 2019-08-02 用于铈粒子的化学机械研磨后(post cmp)清洁组合物 Pending CN112771144A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862723759P 2018-08-28 2018-08-28
US62/723,759 2018-08-28
PCT/US2019/044948 WO2020046539A1 (en) 2018-08-28 2019-08-02 Post cmp cleaning compositions for ceria particles

Publications (1)

Publication Number Publication Date
CN112771144A true CN112771144A (zh) 2021-05-07

Family

ID=69642103

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980062409.7A Pending CN112771144A (zh) 2018-08-28 2019-08-02 用于铈粒子的化学机械研磨后(post cmp)清洁组合物

Country Status (6)

Country Link
US (1) US11085011B2 (zh)
JP (1) JP7212764B2 (zh)
KR (1) KR102522365B1 (zh)
CN (1) CN112771144A (zh)
TW (1) TWI754163B (zh)
WO (1) WO2020046539A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11124746B2 (en) * 2018-11-08 2021-09-21 Entegris, Inc. Post CMP cleaning composition
CN113496868B (zh) * 2020-04-03 2023-03-10 重庆超硅半导体有限公司 一种硅片的抛光后清洗方法
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
EP4204506A4 (en) * 2020-08-28 2024-04-10 FUJIFILM Electronic Materials U.S.A, Inc. CLEANING COMPOSITIONS AND METHODS OF USE THEREOF
EP4225881A1 (en) * 2020-10-05 2023-08-16 Entegris, Inc. Microelectronic device cleaning composition
KR20230079429A (ko) * 2020-10-05 2023-06-07 엔테그리스, 아이엔씨. Cmp 후 세정 조성물
US20230033363A1 (en) * 2021-07-23 2023-02-02 Ascend Performance Materials Operations Llc Aqueous solutions containing amino carboxylic acid chelators
KR102668584B1 (ko) * 2021-09-30 2024-05-24 주식회사 케이씨텍 Cmp 후 세정액 조성물
US20230323248A1 (en) * 2022-03-23 2023-10-12 Entegris, Inc. Post cmp cleaning composition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
CN101366107A (zh) * 2005-10-05 2009-02-11 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
TW201221640A (en) * 2010-10-13 2012-06-01 Advanced Tech Materials Composition for and method of suppressing titanium nitride corrosion
CN104508072A (zh) * 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
TW201627497A (zh) * 2014-10-31 2016-08-01 安堤格里斯公司 無胺之化學機械研磨後(post cmp)組成物及其使用方法
US20180037852A1 (en) * 2015-01-05 2018-02-08 Entegric, Inc. Post chemical mechanical polishing formulations and method of use
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208049B2 (en) 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP4620680B2 (ja) * 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド ハロゲン化金属の腐食阻害剤を含有するアルカリ性のプラズマエッチング/灰化後の残渣の除去剤およびフォトレジスト剥離組成物
JP4736445B2 (ja) 2004-02-09 2011-07-27 三菱化学株式会社 半導体デバイス用基板洗浄液及び洗浄方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
WO2009058272A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
CN101910057A (zh) * 2007-10-29 2010-12-08 Ekc技术公司 稳定的含羟胺溶液和其制备方法
US7947130B2 (en) * 2009-10-24 2011-05-24 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
WO2014123126A1 (ja) * 2013-02-06 2014-08-14 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
CN111394100A (zh) * 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US20150104952A1 (en) 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
KR102372109B1 (ko) * 2017-01-17 2022-03-08 엔테그리스, 아이엔씨. 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
CN101366107A (zh) * 2005-10-05 2009-02-11 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
TW201221640A (en) * 2010-10-13 2012-06-01 Advanced Tech Materials Composition for and method of suppressing titanium nitride corrosion
CN104508072A (zh) * 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
TW201627497A (zh) * 2014-10-31 2016-08-01 安堤格里斯公司 無胺之化學機械研磨後(post cmp)組成物及其使用方法
US20180037852A1 (en) * 2015-01-05 2018-02-08 Entegric, Inc. Post chemical mechanical polishing formulations and method of use
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Also Published As

Publication number Publication date
KR20210038690A (ko) 2021-04-07
US11085011B2 (en) 2021-08-10
TW202016277A (zh) 2020-05-01
JP7212764B2 (ja) 2023-01-25
TWI754163B (zh) 2022-02-01
WO2020046539A1 (en) 2020-03-05
KR102522365B1 (ko) 2023-04-18
JP2021536669A (ja) 2021-12-27
US20200071642A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
TWI754163B (zh) 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物
TWI713458B (zh) 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
US11124741B2 (en) Ceria removal compositions
TWI821455B (zh) 化學機械研磨後清潔組合物
US11124746B2 (en) Post CMP cleaning composition
CN117295811A (zh) 清洁组合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination