TWI387049B - 半導體積體電路裝置之製造方法 - Google Patents

半導體積體電路裝置之製造方法 Download PDF

Info

Publication number
TWI387049B
TWI387049B TW095142475A TW95142475A TWI387049B TW I387049 B TWI387049 B TW I387049B TW 095142475 A TW095142475 A TW 095142475A TW 95142475 A TW95142475 A TW 95142475A TW I387049 B TWI387049 B TW I387049B
Authority
TW
Taiwan
Prior art keywords
film
insulating film
wiring
forming
integrated circuit
Prior art date
Application number
TW095142475A
Other languages
English (en)
Other versions
TW200805563A (en
Inventor
Hiroyuki Hayashi
Takayuki Oshima
Hideo Aoki
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of TW200805563A publication Critical patent/TW200805563A/zh
Application granted granted Critical
Publication of TWI387049B publication Critical patent/TWI387049B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體積體電路裝置之製造方法
本發明係關於半導體積體電路裝置之製造方法,特別為關於具有多層埋入配線之半導體積體電路裝置之製造方法。
埋入配線構造藉由稱為鑲嵌(Damascene)技術(單一鑲嵌(Single-Damascene)技術及雙道鑲嵌(Dual-Damascene)技術)之配線形成技術,在絕緣膜上形成之如配線溝槽或連接孔等之配線開口部內埋入配線材料而形成。
近年來,該等埋入配線之間隔伴隨半導體積體電路裝置之高積體化而逐漸減小。藉此,配線間之寄生電容增大,從而產生信號延遲。因此,期待降低配線間之寄生電容。
專利文獻1中揭示了在埋入配線之間形成空洞之技術。在本文獻之圖1A~1E中,按照步驟順序顯示了1層埋入配線之製造方法。圖示之技術由於在介隔於相鄰埋入配線間之絕緣膜上包含空洞,故具有可降低相鄰之埋入配線間之寄生電容之特徵。
[專利文獻]美國專利第6,159,845號説明書
專利文獻1中,沒有記載關於具有空洞構造之多層埋入配線之製造方法。本發明人等之檢討中已了解在以專利文獻1之技術形成多層埋入配線之情形,產生起因於導孔(Via)部之金屬埋入不良之導孔部之高電阻化之問題及在空洞上金屬成膜、無法降低相鄰埋入配線間之寄生電容之問題。該等原因如圖2所示,係由於在通常之光學微影術步驟之下層埋入配線(單一鑲嵌配線)65和上層埋入配線(雙道鑲嵌配線)68之導孔部66之間之重合偏差,導孔部66與下層之埋入配線65間之空洞67相接觸,在包含導孔部66之上層之埋入配線68之金屬成膜時,產生朝空洞之金屬滲入69及導孔部之金屬填入不良70。
以下,簡單地說明在本發明所揭示之發明中具有代表性者之概要。
本發明之半導體積體電路裝置之製造方法具有以下之步驟:(a)在半導體基板上方形成第1絕緣膜之步驟;(b)在上述第1絕緣膜上形成複數之配線溝槽之步驟;(c)在包含上述複數之配線溝槽之各個內部之上述第1絕緣膜上形成第1導體膜之步驟;(d)藉由去除上述複數之配線溝槽之外部之上述第1導體膜,在上述複數之配線溝槽之各個內部形成由上述第1導體膜構成之配線之步驟;(e)在上述第1絕緣膜及上述配線上形成由與上述第1絕緣膜不同之材料構成之第2絕緣膜之步驟;(f)採用覆蓋露出在後面步驟中形成之上述配線之上面之連接孔之形成區域之掩膜,蝕刻上述第2絕緣膜,藉此在上述連接孔之形成區域形成由上述第2絕緣膜構成之犧牲膜柱之步驟;(g)選擇性地去除未以上述犧牲膜柱覆蓋之區域之上述第1絕緣膜,在上述犧牲膜柱之下部留有上述第1絕緣膜之步驟;(h)在去除上述第1絕緣膜之上述配線間之空隙區域保留空洞之同時,在上述配線及上述犧牲膜柱上形成由與上述第2絕緣膜不同之材料構成之第3絕緣膜之步驟;(i)去除上述犧牲膜柱上之上述第3絕緣膜,露出上述犧牲膜柱上面之步驟;(j)去除上述犧牲膜柱,形成露出上述配線之上面之連接孔之步驟;(k)在上述連接孔之內部形成第2導體膜之步驟。
本發明之半導體積體電路裝置之製造方法具有以下之步驟:(a)在半導體基板上方形成第1絕緣膜之步驟;(b)藉由去除上述第1絕緣膜之一部分,形成在後面步驟中形成之第1鑲嵌配線用之複數之第1配線溝槽之步驟;(c)在包含上述複數之第1配線溝槽之各個內部之上述第1絕緣膜上形成上述第1導體膜之步驟;(d)藉由去除上述複數之第1配線溝槽之外部之上述第1導體膜,在上述複數之第1配線溝槽之各個內部形成由上述第1導體膜構成之上述第1鑲嵌配線之步驟;(e)在上述第1絕緣膜及上述第1鑲嵌配線上,形成由與上述第1絕緣膜不同之材料構成之第2絕緣膜之步驟;(f)採用覆蓋在後面步驟中形成之第2鑲嵌配線之導孔部用之複數之連接孔之形成區域之掩膜,蝕刻上述第2絕緣膜,藉此,在上述複數之連接孔之形成區域,形成由上述第2絕緣膜構成之複數之犧牲膜柱之步驟;(g)選擇性地去除未以上述犧牲膜柱覆蓋之區域之上述第1絕緣膜,並在上述犧牲膜柱之下部留有上述第1絕緣膜之步驟;(h)在去除上述第1絕緣膜之上述配線間之空隙區域保留空洞之同時,在上述配線及上述犧牲膜柱上,形成由與上述第2絕緣膜不同之材料構成之第3絕緣膜之步驟;(i)去除上述犧牲膜柱上之上述第3絕緣膜,並露出上述複數之犧牲膜柱上面之步驟;(j)藉由去除上述第3絕緣膜之一部分及上述複數之犧牲膜柱之上部,形成在後面步驟中形成之上述第2鑲嵌配線之配線部用之複數之第2配線溝槽之步驟;(k)藉由去除上述複數之犧牲膜柱之下部,形成上述複數之連接孔之步驟;(l)在包含上述複數之第2配線溝槽及上述複數之連接孔之各個內部之上述第3絕緣膜上,形成第2導體膜之步驟;(m)藉由去除上述複數之第2配線溝槽及上述複數之連接孔之外部之上述第2導體膜,在上述複數之第1配線溝槽及上述複數之連接孔之各個內部,形成由上述第2導體膜構成之上述第2鑲嵌配線之步驟。
以下,簡單地說明本專利申請所揭示之發明中,藉由具有代表性者而取得之效果。
根據本發明,可形成具有高可靠性之導孔連接、藉由空洞而降低寄生電容之多層埋入配線。
以下,參照圖式詳細説明本發明之實施例。另,在用於説明實施例之全圖上,對具有相同功能之構件標示相同之符號,並省略其重複説明。並且,在以下之實施例中,除特別必要時以外,原則上不重複説明同一或同樣之部分。
[實施例1]
圖1係顯示本發明之實施例1之半導體裝置之重要部分之剖面圖。
藉由場絕緣膜2,將半導體基板1主面分離在各元件區域,在各元件區域形成源極區域、漏極區域等擴散層3,在源極區域、漏極區域3之間之半導體基板1主面上,經由閘絕緣膜(未圖示)形成由多晶矽構成之閘極4,閘極4之側面則藉由侧壁绝緣膜5而覆蓋。
在半導體基板1主面上形成之擴散層3或閘極4,連接於貫通層間絕緣膜6之插栓7之一端,而插栓7之另一端與經由層間絕緣膜6積層之單一鑲嵌配線10連接。層間絕緣膜6依次堆積P-SiN膜(50 nm)、HDP-SiO膜(400 nm)、P-SiO膜(400 nm)之後,藉由CMP技術研磨500 nm左右(大面積配線上之研磨量),將藉由閘極4等產生之元件段差平坦化。
插栓7依次藉由濺鍍堆積鈦膜(10 nm)、氮化鈦膜(50 nm)、藉由CVD堆積鎢膜之後,藉由CMP進行加工。
單一鑲嵌配線10依次藉由濺鍍堆積氮化鉭膜(15 nm)、鉭膜(15 nm)、銅膜(80 nm)、藉由電鍍法堆積銅膜(500 nm)之後,在氫氣環境下在400℃進行2分鐘之熱處理,藉由CMP技術而形成。
在單一鑲嵌配線10之相鄰間空隙細小部分,貫通具有空洞(Air-Gap)17之層間絕緣膜16,雙道鑲嵌配線23連接於單一鑲嵌配線10上。此時,在位於偏離單一鑲嵌配線10處之雙道鑲嵌配線23之導孔部之下方,殘留有在形成單一鑲嵌配線10時使用之絕緣膜之一部分之膜15。
雙道鑲嵌配線23、27、30與單一鑲嵌配線10同樣,依次藉由濺鍍堆積氮化鉭膜(15 nm)、鉭膜(15 nm)、銅膜(80 nm)、藉由電鍍法堆積銅膜(500 nm)之後,在氫氣環境下在400℃進行2分鐘之熱處理,藉由CMP技術而形成。
在雙道鑲嵌配線23之相鄰間空隙為細小部分,貫通具有空洞25之層間絕緣膜26,雙道鑲嵌配線27與雙道鑲嵌配線23連接。此時,在位於偏離雙道鑲嵌配線23處之雙道鑲嵌配線27之導孔部之下方,殘留有層間絕緣膜16之一部分之膜24。
在雙道鑲嵌配線27之相鄰間空隙為細小部分,貫通具有空洞28之層間絕緣膜29,雙道鑲嵌配線30與雙道鑲嵌配線27連接。此時,在位於偏離雙道鑲嵌配線27處之雙道鑲嵌配線30之導孔部之下方,殘留有層間絕緣膜26之一部分之膜31。
在本實施例中,因為導孔部與空洞不接觸,故可防止因導孔部之金屬埋入不良所致之高電阻化及因金屬朝空洞滲入所致之寄生電容之增大問題。
下面,關於本實施例1之半導體裝置之製造方法,以圖3至11為例,逐步驟加以説明。
首先,將半導體基板1主面藉由場絕緣膜2分離成各元件區域後,在各元件區域形成源極區域、漏極區域等擴散層3,在源極區域、漏極區域3之間之半導體基板1主面上,經由閘極絕緣膜(未圖示)形成由多晶矽構成之閘極4之後,藉由側壁絕緣膜5覆蓋閘極4之側面。
然後,在依次堆積P-SiN膜(50 nm)、HDP-SiO膜(400 nm)、P-SiO膜(400 nm)之後,藉由CMP技術研磨500 nm左右(大面積閘極上之研磨量),使藉由閘極4等產生之元件段差平坦化,形成層間絕緣膜6。
然後,藉由通常之光學成像及乾式成像技術使連接孔開孔後,用Ar電漿去除連接孔之底部之自然氧化膜後,依次以濺鍍堆積Ti/TiN膜7a(10/50 nm)、CVDW膜7b(300 nm)之後,藉由CMP技術去除連接孔以外之Ti/TiN膜及CVDW膜,形成插栓7。
其次,堆積SiCN/SiC膜8(25/25 nm)、為無機絕緣膜之FSG膜(第1絕緣膜)9(300 nm)後,藉由通常之光學成像及乾式成像技術形成用於形成配線10之溝槽。
然後,用Ar電漿去除露出在溝槽底部之插栓7表面之自然氧化膜之後,依次堆積障壁金屬膜即藉由濺鍍之氮化鉭/鉭膜10 a(15/15 nm)、主導體膜即濺鍍銅膜/藉由電鍍法之銅膜(第1導體膜)10b(80/500 nm)後,在氫氣環境下在400℃進行2分鐘之熱處理,藉由CMP技術去除溝槽以外之氮化鉭/鉭/銅膜,形成單一鑲嵌配線10。該狀態如圖3所示。
其次,堆積有機絕緣膜即SiLK膜(第2絕緣膜)11(700 nm)、P-TEOS膜12(100 nm)後,形成凸形狀之抗蝕層13。抗蝕層13為柱狀,形成為覆蓋用於露出在後面步驟中形成之單一鑲嵌配線10上面之連接孔之形成區域。該狀態如圖4所示。
然後,將抗蝕層13為掩膜蝕刻加工P-TEOS膜12,連續地以抗蝕層13及P-TEOS膜12為掩膜蝕刻加工SiLK膜11,並形成由SiLK膜構成之犧牲膜柱14。在該階段,殘留有SiLK膜表面之P-TEOS膜12。
其次,各向異性地蝕刻加工單一鑲嵌配線10間之FSG膜9,去除未被犧牲膜柱覆蓋之區域之FSG膜9。此時,殘留位於犧牲膜柱14之下方之FSG膜9之一部分,形成FSG膜15。該狀態如圖5所示。
其次,形成無機絕緣膜之FSG膜(第3絕緣膜)16(1200 nm)。此時,為在單一鑲嵌配線10之相鄰間空隙為細小部分形成空洞17,FSG膜16採用CVD法以低覆蓋之成膜條件堆積。並且,利用CVD法形成FSG膜16,形成初期以低覆蓋之成膜條件進行並形成空洞17,形成空洞17之後,以高覆蓋之成膜條件進行,亦可埋入犧牲膜柱14間。另,FSG膜16有必要堆積至其表面比犧牲膜柱14之上面還高之膜厚。該狀態如圖6所示。
其次,藉由CMP使FSG膜16之表面平坦化之同時,使犧牲膜柱14之表面露出,形成由FSG膜構成之雙道鑲嵌配線形成用之層間絕緣膜18。在該階段,P-TEOS膜12不殘留於犧牲膜柱14之表面。該狀態如圖7所示。
其次,形成雙道鑲嵌配線形成用之抗蝕層圖案20。該狀態如圖8所示。
然後,以抗蝕層圖案20為掩膜,以大致相同之蝕刻條件蝕刻加工犧牲膜柱14和層間絕緣膜18,從而形成雙道鑲嵌配線之配線部用之溝槽21。該狀態如圖9所示。
然後,用NH3 電漿選擇性地去除犧牲膜柱14,從而形成雙道鑲嵌配線之導孔部用之連接孔22。該狀態如圖10所示。
然後,將蝕刻聚合物去除洗淨後,與單一鑲嵌配線10之形成同樣,用Ar電漿去除露出於連接孔22之底部之單一鑲嵌配線10表面之自然氧化膜後,依次堆積障壁金屬膜即藉由濺鍍之氮化鉭/鉭膜23a(15/15 nm)、主導體膜即濺鍍銅膜/藉由電鍍法之銅膜(第2導體膜)23b(80/500 nm)後,在氫氣環境下在400℃進行2分鐘之熱處理,藉由CMP去除連接孔22及溝槽21以外之氮化鉭/鉭/銅膜,形成單一鑲嵌配線23。該狀態如圖11所示。
圖1所示之狀態係藉由重複以上之步驟,顯示在相鄰之雙道鑲嵌配線23間或雙道鑲嵌配線27間之空隙狹小部分亦形成空洞25、28之多層之鑲嵌配線者。
在上述之實施例,顯示作為單一鑲嵌配線10或雙道鑲嵌配線23之主導體膜使用Cu之例,但並非僅限於此,亦可將Al、W、Ag、Au之內之至少一種金屬作為主導體膜而使用。
在本實施例,由於藉由製作犧牲膜柱,可將形成於相鄰之鑲嵌配線間之空洞從導孔部分離而形成,故即使產生重疊偏差等,也因為空洞和導孔部不會接觸,所以可穩定進行向具有空洞構造之下層鑲嵌配線上之導孔連接。
在上述之實施例,形成鑲嵌配線10、23等後,作為金屬蓋膜在鑲嵌配線表面選擇性地形成CoWB合金32、33,藉此可提高鑲嵌配線10、23等之可靠性。另,作為金屬蓋膜,非僅限於上述CoWB合金,亦可使用Co、W、Ni、Cr、Au中之任意一種金屬或金屬化合物。該狀態如圖12(a)、(b)所示。
又,在上述之實施例,在圖9中,以大致相同之蝕刻條件蝕刻加工犧牲膜柱14和層間絕緣膜18,形成雙道鑲嵌配線23之配線部用之溝槽21,但是,如圖13(a)~(c)所示,僅將犧牲膜柱14之上部回蝕至溝槽深度後,亦可在層間絕緣膜18形成溝槽35。然後,選擇性地去除犧牲膜柱之下部34而形成連接孔36。該方法在難以使犧牲膜柱14與層間絕緣膜18之蝕刻速率相等之情形下有效。
此外,在圖9或圖13(b)所示之溝槽加工中,有抗蝕層20不足之情形,但此時,如圖14所示,追加P-SiN膜37而形成SiN硬掩膜38,亦可以此為掩膜加工溝槽。
[實施例2]
圖15係顯示本發明之實施例2之半導體裝置之重要部分之剖面圖。
藉由場絕緣膜2將半導體基板1主面分離成各元件區域,在各元件區域形成源極區域、漏極區域等擴散層3,在源極區域、漏極區域3之間之半導體基板1主面上,經由閘極絕緣膜(未圖示)形成由多晶矽構成之閘極4,閘極4之側面則藉由側壁絕緣膜5而覆蓋。
在半導體基板1主面形成之擴散層3或閘極4,連接於貫通層間絕緣膜6之插栓7之一端,插栓7之另一端連接於經由層間絕緣膜6積層之單一鑲嵌配線10上。層間絕緣膜6依次堆積P-SiN膜(50 nm)、HDP-SiO膜(400 nm)、P-SiO膜(400 nm)後,藉由CMP技術研磨500 nm左右(大面積配線上之研磨量),使藉由閘極4等產生之元件段差平坦化。
插栓7依次堆積藉由濺鍍之鈦膜(10 nm)、氮化鈦膜(50 nm)、藉由CVD之鎢膜後,藉由CMP進行加工。
單一鑲嵌配線10依次堆積藉由濺鍍之氮化鉭膜(15 nm)、鉭膜(15 nm)、銅膜(80 nm)、藉由電鍍法之銅膜(500 nm)後,在氫氣環境下在400℃進行2分鐘之熱處理,藉由CMP而形成。
在單一鑲嵌配線10之相鄰間空隙細小部分,經由貫通具有空洞(Air-Gap)45之層間絕緣膜50之導孔46,單一鑲嵌配線49與單一鑲嵌配線10相連接。此時,在位於偏離單一鑲嵌配線10處之導孔46之下部,殘留有形成單一鑲嵌配線10時使用之絕緣膜之一部分之膜43。
在本實施例,因為導孔和空洞不接觸,所以能夠防止因導孔之金屬填入不良所致之高電阻化及因金屬向空洞之滲入所致之寄生電容增大之問題。
以下,關於本實施例2之半導體裝置之製造方法,以圖16至圖18為例,逐步驟加以説明。
在實施例1之圖3所示步驟之後,堆積有機絕緣膜之SiLK膜(第2絕緣膜)39(400 nm)、P-TEOS膜40(100 nm)後,形成凸形狀之抗蝕層41。抗蝕層41成柱狀,形成為覆蓋用於露出在後面步驟中形成之單一鑲嵌配線10之上面之連接孔之形成區域。該狀態如圖16所示。
然後,以抗蝕層41為掩膜蝕刻加工P-TEOS膜40,連續地,以抗蝕層41及P-TEOS膜40為掩膜蝕刻加工SiLK膜39,並形成由SiLK膜構成之犧牲膜柱42。在該階段,殘留有SiLK膜表面之P-TEOS膜40。
其次,各向異性地蝕刻加工單一鑲嵌配線10間之FSG膜9,去除未被犧牲膜柱覆蓋之區域之FSG膜9。此時,殘留位於犧牲膜柱42之下方之FSG膜9之一部分,形成有FSG膜43。
然後,形成無機絕緣膜之FSG膜(第3絕緣膜)(800 nm)。此時,為在單一鑲嵌配線10之相鄰間空隙細小部分形成空洞45,FSG膜採用CVD法以低覆蓋之成膜條件堆積。並且,用CVD法形成FSG膜,形成初期以低覆蓋之成膜條件進行,並形成空洞45,空洞45形成後,以高覆蓋之成膜條件進行,亦可將犧牲膜柱42埋入。另,FSG膜有必要堆積至其表面比犧牲膜柱42之上面高之膜厚。
然後,藉由CMP使FSG膜之表面平坦化之同時,使犧牲膜柱42之表面露出,形成由FSG膜構成之導孔形成用之層間絕緣膜44。該狀態如圖17所示。
然後,用NH3 電漿選擇性地去除犧牲膜柱42,從而使連接孔開孔到達單一鑲嵌配線10。
然後,將蝕刻聚合物去除洗淨後,用Ar電漿去除在連接孔之底部露出之單一鑲嵌配線10表面之自然氧化膜之後,依次堆積障壁金屬膜即藉由濺鍍之氮化鉭/鉭膜(15/15 nm)、主導體膜即濺鍍銅膜/藉由電鍍法之銅膜(第2導體膜)(80/500 nm)後,在氫氣環境下在400℃進行2分鐘之熱處理,並藉由CMP去除連接孔以外之氮化鉭/鉭/銅膜,形成導孔46。該狀態如圖18所示。
然後,用形成單一鑲嵌配線10之相同方法,堆積SiCN/SiC膜47和無機絕緣膜之FSG膜48後,形成溝槽,並在該溝槽內形成單一鑲嵌配線49者為上述之圖15。
另,雖然未圖示,但是藉由重複以上步驟,亦可形成3層以上之多層鑲嵌配線。
在上述之實施形態,顯示了作為單一鑲嵌配線10、49及導孔46之主導體膜採用了Cu之例,但並非僅限於此,亦可以將Al、W、Ag、Au中至少任意一種金屬作為主導體膜使用。
在本實施例,藉由製作犧牲膜柱,可將在相鄰鑲嵌配線間形成之空洞從導孔分離而形成,故即使產生重疊偏差等,因為空洞和導孔不接觸,所以可穩定實施向具有空洞構造之下層之鑲嵌配線上之導孔連接。
另,在上述之實施例,形成鑲嵌配線10、49等後,作為金屬頂蓋膜,在鑲嵌配線表面選擇性地形成CoWB合金51、52,藉此,可提高鑲嵌配線10、49等之可靠性。另,作為金屬頂蓋膜,非僅限於上述CoWB合金,亦可使用Co、W、Ni、Cr、Au中之至少任意一種金屬或金屬化合物。該狀態如圖19所示。
並且,雖然未圖示,但是在導孔46表面亦可以形成CoWB合金。
此外,如圖14所示,亦可應用採用P-SiN膜之硬掩膜加工。
[實施例3]
圖20係顯示本發明之實施例3之半導體裝置之重要部分之剖面圖。
藉由場絕緣膜2將半導體基板1主面分離成各元件區域,在各元件區域形成源極區域、漏極區域等擴散層3,在源極區域、漏極區域3之間之半導體基板1主面上,經由閘極絕緣膜(未圖示),形成由多晶矽構成之閘極4,閘極4之侧面藉由侧壁絕緣膜5覆盖。
在半導體基板1主面形成之擴散層3或閘極4,與貫通層間絕緣膜6之插栓7之一端連接,插栓7之另一端與經由層間絕緣膜6積層之單一鑲嵌配線10連接。層間絕緣膜6依次堆積P-SiN膜(50 nm)、HDP-SiO膜(400 nm)、P-SiO膜(400 nm)之後,藉由CMP技術研磨500 nm左右(大面積配線上之研磨量),使藉由閘極4等產生之元件段差平坦化。
插栓7依次堆積藉由濺鍍之鈦膜(10 nm)、氮化鈦膜(50 nm)、藉由CVD之鎢膜之後,藉由CMP進行加工。
單一鑲嵌配線10依次堆積藉由濺鍍之氮化鉭膜(15 nm)、鉭膜(15 nm)、銅膜(80 nm)、藉由電鍍法之銅膜(500 nm)後,在氫氣環境下在400℃進行2分鐘之熱處理,藉由CMP技術而形成。
在單一鑲嵌配線10之相鄰間空隙細小部分,經由貫通具有空洞(Air-Gap)55之層間絕緣膜54之導孔56,單一鑲嵌配線59與單一鑲嵌配線10連接。此時,在位於偏離單一鑲嵌配線10處之導孔56之下部,殘留有形成單一鑲嵌配線10時使用之絕緣膜9之一部分之膜43。
並且,層間絕緣膜54和單一鑲嵌配線10及導孔56之間存在絕緣膜53。
在本實施例,因為導孔和空洞不接觸,故可以防止因導孔之金屬埋入不良而引起之高電阻化或金屬向空洞之滲入而引起之寄生電容增大之問題。
以下,關於本實施例3之半導體裝置之製造方法,以圖21至23為例,逐步驟加以説明。
在實施例2之圖16所示步驟之後,以抗蝕層41為掩膜蝕刻加工P-TEOS膜40,以抗蝕層41及P-TEOS膜40為掩膜蝕刻加工SiLK膜39,形成由SiLK膜構成之犧牲膜柱60。在該階段,殘留有SiLK膜表面之P-TEOS膜40。
然後,各向異性地蝕刻加工單一鑲嵌配線10之間之FSG膜9,去除未被犧牲膜柱覆蓋之區域之FSG膜9。此時,殘留位於犧牲膜柱60之下方之FSG膜9之一部分,形成FSG膜43。
然後,堆積SiC膜61(10 nm)及多孔SiOC膜(第3絕緣膜)62(80 nm)。該狀態如圖21所示。此時,為使在單一鑲嵌配線10之鄰接間空隙細小部分形成空洞63,多孔SiOC膜62之形成初期以低覆蓋之成膜條件堆積。並且,多孔SiOC膜62有必要堆積至其表面比犧牲膜柱60之上面高之膜厚。
其次,藉由CMP使多孔SiOC膜62及SiC膜61之表面平坦化之同時,使犧牲膜柱60之表面露出。該狀態如圖22所示。犧牲膜柱60之表面之SiC膜61之去除,亦可用CMP加工,亦可採用選擇性的蝕刻加工。
其次,用NH3 電漿選擇性地去除犧牲膜柱60,藉此,使連接孔開孔到達單一鑲嵌配線10。
然後,洗淨蝕刻聚合物後,用Ar電漿去除在連接孔之底部露出之單一鑲嵌配線10表面之自然氧化膜後,依次堆積金屬頂蓋膜即藉由濺鍍之氮化鉭/鉭膜(15/15 nm)、主導體膜即濺鍍銅膜/藉由電鍍法之銅膜(第2導體膜)(80/500 nm)後,在氫氣環境下在400℃進行2分鐘之熱處理,並藉由CMP去除連接孔以外之氮化鉭/鉭/銅膜,形成導孔64。該狀態如圖23所示。
然後,以形成單一鑲嵌配線10之相同方法,堆積SiCN/SiC膜57、無機絕緣膜之FSG膜58後,形成溝槽,並在該溝槽內形成單一鑲嵌配線59者為上述之圖20。
另,雖然未圖示,但是藉由重複以上之步驟,亦可形成3層以上之多層鑲嵌配線。
在本實施例,由於藉由製作犧牲膜柱,可將形成於相鄰之鑲嵌配線間之空洞從導孔部分離並形成,故即使產生重疊偏差等,空洞和導孔也不會接觸,所以可穩定進行向具有空洞構造之下層鑲嵌配線上之導孔連接。
此外,因為導孔64係不直接與多孔SiOC膜62接觸之結構,故可防止因從多孔SiOC膜62除氣所導致之導孔毒化不良。
另,關於向鑲嵌配線表面之金屬頂蓋膜形成及硬掩膜加工等雖未揭示,但其當然可與實施例1及實施例2同樣適用。
以上,基於上述實施例就本發明者完成之發明具體加以説明,但本發明並非僅限於上述實施例,在不脫離其要旨之範圍內,當然可進行各種變更。
例如,閘極並不僅限於多晶矽,即使使用採用Ti及Co之矽化物之閘極,本發明亦可實施。
1...半導體基板
2...場絕緣膜
3...擴散層
4...閘極
5...側壁絕緣膜
6,18,26,29,44,48,50,54,58...層間絕緣膜
7...插栓
8,47,57...SiCN/SiC膜
9,15,16,43...FSG膜
10,49,59,65...單一鑲嵌配線
11,39...SiLK膜
12,40...P-TEOS膜
13,20,41...抗蝕層
14,42,60...犧牲膜柱
17,25,28,45,55,63,67...空洞
21,35...溝槽
22,36...連接孔
23,27,30...雙道鑲嵌配線
24...層間絕緣膜16之一部分膜
31...層間絕緣膜26之一部分膜
32,33,51,52...CoWB合金
34...犧牲膜柱之下部
37...P-SiN膜
38...SiN硬掩膜
46,56,64...導孔
53...絕緣膜
61...SiC膜
62...多孔SiOC膜
66...導孔部
圖1係顯示本發明之實施例1之半導體裝置之主要部分之縱剖面圖。
圖2係顯示具有先前之空洞構造之多層埋入配線之問題點之縱剖面圖。
圖3係逐步驟顯示本發明之實施例1之半導體裝置之配線層形成之縱剖面圖。
圖4係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖5係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖6係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖7係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖8係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖9係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖10係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖11係逐步驟顯示本發明實施例1之半導體裝置之配線層形成之縱剖面圖。
圖12(a)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖12(b)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖13(a)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖13(b)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖13(c)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖14(a)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖14(b)係顯示本發明之實施例1之半導體裝置之重要部分之縱剖面圖。
圖15係顯示本發明之實施例2之半導體裝置之重要部分之縱剖面圖。
圖16係逐步驟顯示本發明之實施例2之半導體裝置之配線層形成之縱剖面圖。
圖17係逐步驟顯示本發明之實施例2之半導體裝置之配線層形成之縱剖面圖。
圖18係逐步驟顯示本發明之實施例2之半導體裝置之配線層形成之縱剖面圖。
圖19係顯示本發明之實施例2之半導體裝置之重要部分之縱剖面圖。
圖20係顯示本發明之實施例3之半導體裝置之重要部分之縱剖面圖。
圖21係逐步驟顯示本發明之實施例3之半導體裝置之配線層形成之縱剖面圖。
圖22係逐步驟顯示本發明之實施例3之半導體裝置之配線層形成之縱剖面圖。
圖23係逐步驟顯示本發明之實施例3之半導體裝置之配線層形成之縱剖面圖。
42...犧牲膜柱
43...FSG膜
44...層間絕緣膜
45...空洞

Claims (20)

  1. 一種半導體積體電路裝置之製造方法,其特徵為具有如下之步驟:(a)在半導體基板上方形成第1絕緣膜之步驟;(b)在上述第1絕緣膜上形成複數之配線溝槽之步驟;(c)在包含上述複數之配線溝槽各自之內部之上述第1絕緣膜上,形成第1導體膜之步驟;(d)藉由去除上述複數之配線溝槽外部之上述第1導體膜,在上述複數之配線溝槽各自之內部形成由上述第1導體膜構成之配線之步驟;(e)在上述第1絕緣膜及上述配線上,形成由與上述第1絕緣膜不同之材料構成之第2絕緣膜之步驟;(f)採用覆蓋在後面步驟中形成之露出上述配線上面之連接孔的形成區域之掩膜而蝕刻上述第2絕緣膜,藉此,在上述連接孔之形成區域形成由上述第2絕緣膜構成之犧牲膜柱之步驟;(g)選擇性地去除未以上述犧牲膜柱覆蓋之區域之上述第1絕緣膜,並在上述犧牲膜柱之下部殘留上述第1絕緣膜之步驟;(h)在去除上述第1絕緣膜之上述配線間之空隙區域保留空洞之下,在上述配線及上述犧牲膜柱上,形成由與上述第2絕緣膜不同之材料構成之第3絕緣膜之步驟;(i)去除上述犧牲膜柱上之上述第3絕緣膜,露出上述犧牲膜柱之上面之步驟;(j)去除上述犧牲膜柱,並形成露出上述配線之上面之連接孔之步驟;(k)在上述連接孔之內部形成第2導體膜之步驟。
  2. 如請求項1之半導體積體電路裝置之製造方法,其中上述第1絕緣膜和上述第3絕緣膜係由相同材料構成。
  3. 如請求項2之半導體積體電路裝置之製造方法,其中上述第1絕緣膜和上述第3絕緣膜係無機絕緣膜,上述第2絕緣膜係有機絕緣膜。
  4. 如請求項3之半導體積體電路裝置之製造方法,其中上述第1絕緣膜和上述第3絕緣膜係FSG膜,上述第2絕緣膜係SiLK膜。
  5. 如請求項1之半導體積體電路裝置之製造方法,其中上述第3絕緣膜係多孔SiOC膜,在上述(g)步驟與上述(h)步驟之間,還包含在上述配線及上述犧牲膜柱上形成SIC膜之步驟。
  6. 如請求項5之半導體積體電路裝置之製造方法,其中上述第1絕緣膜係FSG膜,上述第2絕緣膜係SiLK膜。
  7. 如請求項1之半導體積體電路裝置之製造方法,其中上述第1導體膜由Al、Cu、W、Ag、Au內之至少任意一種金屬構成。
  8. 如請求項1之半導體積體電路裝置之製造方法,其中上述第2導體膜由Al、Cu、W、Ag、Au內之至少任意一種金屬構成。
  9. 如請求項1之半導體積體電路裝置之製造方法,其中在上述(d)步驟與(e)步驟之間,還包含在上述配線上形成金屬頂蓋膜之步驟,上述金屬頂蓋膜由Co、W、Ni、Cr、Au內之至少任意一種金屬或金屬化合物構成。
  10. 如請求項1之半導體積體電路裝置之製造方法,其中上述(h)步驟之上述第3絕緣膜之形成,在形成初期以低覆蓋之成膜條件進行,並在形成上述空洞後,以高覆蓋之成膜條件進行。
  11. 一種半導體積體電路裝置之製造方法,其特徵為具有如下之步驟:(a)在半導體基板上方形成第1絕緣膜之步驟;(b)藉由去除上述第1絕緣膜之一部分,形成在後面步驟中形成之第1鑲嵌配線用之複數之第1配線溝槽之步驟;(c)在包含上述複數之第1配線溝槽之各個內部之上述第1絕緣膜上,形成第1導體膜之步驟;(d)藉由去除上述複數之第1配線溝槽之外部之上述第1導體膜,在上述複數之第1配線溝槽之各個內部形成由上述第1導體膜構成之上述第1鑲嵌配線之步驟;(e)在上述第1絕緣膜及上述第1鑲嵌配線上,形成由與上述第1絕緣膜不同之材料構成之第2絕緣膜之步驟;(f)用覆蓋在後面步驟中形成之第2鑲嵌配線之導孔部用複數連接孔的形成區域之掩膜,蝕刻上述第2絕緣膜,藉此,在上述複數之連接孔形成區域形成由上述第2絕緣膜構成之複數之犧牲膜柱之步驟;(g)選擇性地去除未以上述犧牲膜柱覆蓋之區域之上述第1絕緣膜,並在上述犧牲膜柱之下部殘留上述第1絕緣膜之步驟;(h)在去除上述第1絕緣膜之上述配線間之空隙區域保留空洞,並在上述配線及上述犧牲膜柱上,形成由與上述第2絕緣膜不同材料構成之第3絕緣膜之步驟;(i)去除上述犧牲膜柱上之上述第3絕緣膜,露出上述複數之犧牲膜柱上面之步驟;(j)藉由去除上述第3絕緣膜之一部分及上述複數之犧牲膜柱之上部,形成在後面步驟中形成之上述第2鑲嵌配線之配線部用之複數之第2配線溝槽之步驟;(k)藉由去除上述複數之犧牲膜柱之下部,形成上述複數之連接孔之步驟;(l)在包含上述複數之第2配線溝槽及上述複數之連接孔各自之內部之上述第3絕緣膜上形成第2導體膜之步驟;(m)藉由去除上述複數之第2配線溝槽及上述複數之連接孔之外部之上述第2導體膜,在上述複數之第2配線溝槽及上述複數之連接孔各自之內部,形成由上述第2導體膜構成之上述第2鑲嵌配線之步驟。
  12. 如請求項11之半導體積體電路裝置之製造方法,其中上述第1絕緣膜和上述第3絕緣膜係由相同材料構成。
  13. 如請求項12之半導體積體電路裝置之製造方法,其中上述第1絕緣膜和上述第3絕緣膜係無機絕緣膜,上述第2絕緣膜係有機絕緣膜。
  14. 如請求項13之半導體積體電路裝置之製造方法,其中上述第1絕緣膜和上述第3絕緣膜係FSG膜,上述第2絕緣膜係SiLK膜。
  15. 如請求項11之半導體積體電路裝置之製造方法,其中上述第1導體膜及上述第2導體膜係由Al、Cu、W、Ag、Au中之至少任意一種金屬構成。
  16. 如請求項11之半導體積體電路裝置之製造方法,其中在上述(d)步驟與(e)步驟之間及(m)步驟之後,還包含在上述第1鑲嵌配線及上述第2鑲嵌配線上形成金屬頂蓋膜之步驟,上述金屬頂蓋膜係由Co、W、Ni、Cr、Au中之至少任意一種金屬或金屬化合物構成。
  17. 如請求項11之半導體積體電路裝置之製造方法,其中上述(h)步驟之上述第3絕緣膜之形成,在形成初期以低覆蓋之成膜條件實施,在形成上述空洞後以高覆蓋之成膜條件實施。
  18. 如請求項11之半導體積體電路裝置之製造方法,其中上述(i)步驟之上述複數之第2配線溝槽之形成,在去除上述複數之犧牲膜柱之上部後,去除上述第3絕緣膜之一部分。
  19. 如請求項11之半導體積體電路裝置之製造方法,其中上述(i)步驟之上述複數之第2配線溝槽之形成,係採用由第4絕緣膜構成之硬掩膜進行。
  20. 如請求項19之半導體積體電路裝置之製造方法,其中上述第4絕緣膜係SiN膜。
TW095142475A 2005-11-16 2006-11-16 半導體積體電路裝置之製造方法 TWI387049B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005331020A JP4918778B2 (ja) 2005-11-16 2005-11-16 半導体集積回路装置の製造方法

Publications (2)

Publication Number Publication Date
TW200805563A TW200805563A (en) 2008-01-16
TWI387049B true TWI387049B (zh) 2013-02-21

Family

ID=38041482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095142475A TWI387049B (zh) 2005-11-16 2006-11-16 半導體積體電路裝置之製造方法

Country Status (4)

Country Link
US (1) US7553756B2 (zh)
JP (1) JP4918778B2 (zh)
CN (1) CN100477160C (zh)
TW (1) TWI387049B (zh)

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0714148D0 (en) * 2007-07-19 2007-08-29 Lipman Steven interacting toys
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP2009267347A (ja) * 2008-03-31 2009-11-12 Toshiba Corp 半導体装置およびその製造方法
JP2010258213A (ja) * 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012038961A (ja) * 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
KR20120067525A (ko) 2010-12-16 2012-06-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5957840B2 (ja) * 2011-10-04 2016-07-27 ソニー株式会社 半導体装置の製造方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5898991B2 (ja) 2012-02-10 2016-04-06 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9490163B2 (en) * 2012-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Tapered sidewall conductive lines and formation thereof
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103066014B (zh) * 2012-11-06 2017-11-07 上海集成电路研发中心有限公司 一种铜/空气隙的制备方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10043706B2 (en) 2013-01-18 2018-08-07 Taiwan Semiconductor Manufacturing Company Limited Mitigating pattern collapse
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015060918A (ja) * 2013-09-18 2015-03-30 株式会社東芝 半導体装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
EP3238237A4 (en) * 2014-12-22 2018-08-08 Intel Corporation Via self alignment and shorting improvement with airgap integration capacitance benefit
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9852987B2 (en) 2015-02-23 2017-12-26 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9431343B1 (en) * 2015-03-11 2016-08-30 Samsung Electronics Co., Ltd. Stacked damascene structures for microelectronic devices
KR102403741B1 (ko) 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10879165B2 (en) * 2015-10-16 2020-12-29 Sony Corporation Semiconductor device and method for manufacturing semiconductor device with low-permittivity layers
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
KR102460075B1 (ko) * 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10211146B2 (en) 2016-05-12 2019-02-19 Globalfoundries Inc. Air gap over transistor gate and related method
US10157777B2 (en) * 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
TWI647807B (zh) * 2017-01-24 2019-01-11 旺宏電子股份有限公司 內連線結構及其製造方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108550564B (zh) * 2018-06-12 2024-06-07 长江存储科技有限责任公司 形成导电互连结构的方法、导电互连结构以及三维存储器
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10707120B1 (en) * 2019-04-03 2020-07-07 Tower Semiconductor Ltd. SOI devices with air gaps and stressing layers
CN110148583B (zh) * 2019-05-14 2021-06-18 上海华虹宏力半导体制造有限公司 形成金属互连结构的方法
US11417749B2 (en) * 2019-06-14 2022-08-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with airgap and method of forming
US11049768B2 (en) * 2019-10-29 2021-06-29 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
JP2021150341A (ja) * 2020-03-16 2021-09-27 キオクシア株式会社 半導体装置および半導体装置の製造方法
KR20220143253A (ko) * 2021-04-16 2022-10-25 주식회사 디비하이텍 알에프 스위치 소자
US20230154852A1 (en) * 2021-11-17 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Forming Dielectric Film With High Resistance to Tilting

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093633A (en) * 1996-02-29 2000-07-25 Nec Corporation Method of making a semiconductor device
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04271121A (ja) * 1991-01-21 1992-09-28 Nippon Steel Corp コンタクトホールの形成方法
JP4492982B2 (ja) * 1997-11-06 2010-06-30 パナソニック株式会社 多層配線を有する半導体装置の製造方法
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
FR2803438B1 (fr) * 1999-12-29 2002-02-08 Commissariat Energie Atomique Procede de realisation d'une structure d'interconnexions comprenant une isolation electrique incluant des cavites d'air ou de vide
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
JP3442064B2 (ja) * 2000-10-13 2003-09-02 松下電器産業株式会社 半導体装置の製造方法
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6890828B2 (en) * 2003-06-05 2005-05-10 International Business Machines Corporation Method for supporting a bond pad in a multilevel interconnect structure and support structure formed thereby

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093633A (en) * 1996-02-29 2000-07-25 Nec Corporation Method of making a semiconductor device
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications

Also Published As

Publication number Publication date
JP2007141985A (ja) 2007-06-07
US7553756B2 (en) 2009-06-30
US20070111508A1 (en) 2007-05-17
CN100477160C (zh) 2009-04-08
TW200805563A (en) 2008-01-16
JP4918778B2 (ja) 2012-04-18
CN1967800A (zh) 2007-05-23

Similar Documents

Publication Publication Date Title
TWI387049B (zh) 半導體積體電路裝置之製造方法
KR100558009B1 (ko) 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
JP5089575B2 (ja) 相互接続構造体及びその製造方法
US7741228B2 (en) Method for fabricating semiconductor device
TWI412104B (zh) 用以改善效能與提升可靠度之混成內連線結構
TWI491004B (zh) 內連線結構與其形成方法
JP5106933B2 (ja) 半導体装置
US7214594B2 (en) Method of making semiconductor device using a novel interconnect cladding layer
KR100812731B1 (ko) 조화된 응력을 갖는 상호 접속물들 및 그의 제조 방법
JP5134193B2 (ja) 半導体装置及びその製造方法
KR20050013823A (ko) Mim 커패시터 및 배선 구조를 포함하는 반도체 장치의제조 방법
US8102051B2 (en) Semiconductor device having an electrode and method for manufacturing the same
KR20090011201A (ko) 반도체 소자의 구리배선 형성 방법
JP2007294625A (ja) 半導体装置の製造方法
JP2006216787A (ja) 半導体装置およびその製造方法
JP2001135723A (ja) 半導体装置及びその製造方法
US7732326B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
JP4525534B2 (ja) 半導体装置の製造方法
JP2006114724A (ja) 半導体装置及びその製造方法
TWI322471B (en) A semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
KR100791694B1 (ko) 듀얼 다마신을 이용한 금속 배선의 제조 방법
JP2004247337A (ja) 半導体装置及びその製造方法
KR100774651B1 (ko) 반도체 소자의 구리배선 형성방법 및 구조
JP5424551B2 (ja) 半導体装置
JP2008103575A (ja) 半導体装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees