TWI412104B - 用以改善效能與提升可靠度之混成內連線結構 - Google Patents

用以改善效能與提升可靠度之混成內連線結構 Download PDF

Info

Publication number
TWI412104B
TWI412104B TW097101696A TW97101696A TWI412104B TW I412104 B TWI412104 B TW I412104B TW 097101696 A TW097101696 A TW 097101696A TW 97101696 A TW97101696 A TW 97101696A TW I412104 B TWI412104 B TW I412104B
Authority
TW
Taiwan
Prior art keywords
dielectric
interconnect structure
dielectric material
conductor
barrier layer
Prior art date
Application number
TW097101696A
Other languages
English (en)
Other versions
TW200837881A (en
Inventor
Chih Chao Yang
Thomas M Shaw
Keith Kwong Hon Wong
Haining S Yang
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200837881A publication Critical patent/TW200837881A/zh
Application granted granted Critical
Publication of TWI412104B publication Critical patent/TWI412104B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

用以改善效能與提升可靠度之混成內連線結構
本發明是關於半導體結構及其製造方法。更明確地,本發明是關於展現較佳效能與可靠度的混成內連線結構。
半導體元件一般包括複數個在半導體基材上組成積體電路(IC)的電路。複雜的傳訊網絡通常按路線連接基材表面的電路元件。為有效安排整個裝置的訊號線路,需形成多階級或多層結構,例如單嵌或雙嵌接線結構。接線結構一般包括銅(Cu),因相較於鋁(Al)系內連線,Cu內連線提供複雜半導體晶片上之大量電晶體更快的傳訊速度。
在典型的內連線中,金屬通孔(via)垂直穿過半導體基材,而金屬線則平行橫越半導體基材。另外,將金屬線和金屬通孔(如,傳導特徵)埋設在介電常數(k)小於4.0的介電材料中,可提高現今IC產品晶片的傳訊速度及減少相鄰金屬線的雜訊(已知為「串音」)。
即,為減緩電路延遲內連線部分,密實之低k介電材料已取代介電常數約為4.0或以上之傳統介電材料(如二氧化矽);低k介電材料的介電常數為小於4.0,較佳為小於3.5。應注意此申請案所提之介電常數皆相應真空而定。為進一步改善先進裝置的效能,尚需降低介電電容。
以多孔性低k介電材料代替密實低k介電材料可改善電容問題。然儘管改善了電容問題,多孔性低k介電材料 的機械性質係差於密實介電材料。此外,結合多孔性低k介電材料與其他模組製程對目前內連線製程而言仍是一大挑戰。
例如,傳統化學機械研磨(CMP)製程難以平坦化低機械模數之多孔性介電質,而傳統物理氣相沉積(PVD)擴散阻障沉積技術無法適當覆蓋多孔性低k介電材料的表面。即,傳統PVD製程會於多孔性低k介電材料表面形成不連續的PVD襯層。應注意若埋置於多孔性低k介電材料內之傳導特徵附近有不連續的PVD襯層,將嚴重影響電路的可靠度。
話說密實低k介電材料,本申請人已發現密實之低k介電材料與含氧化物之硬罩材料的蝕刻速率不同,如此將造成如第1A圖所示的底切輪廓。某些多孔性低k介電材料也有類似的情形。明確地說,第1A圖局部繪示習知內連線結構10,包括下內連線層12A和上內連線層12B,二者由介電覆蓋層20隔開。下內連線層12A包括第一介電材料14A,其內含導體特徵(導體材料18A)。擴散阻障層16A隔開導體材料18A與第一介電材料14A。介電覆蓋層20上為上內連線層12B,其在先前技術中包括圖案化的密實低k介電材料14B和圖案化的含氧化物之硬罩22,硬罩22位於低k介電材料14B的表面。底切區標示成第1A圖的元件符號24。
底切輪廓將導致導體材料不易填充至最終內連線結構,因而在擴散阻障層與內連線導體材料間留下孔隙 (void)。此可清楚見於第1B圖(習知內連線結構的實際截面圖)和第1C圖(實際上視圖)。「ILD」表示上述第二介電材料14B,「阻障層」表示形成於圖案化ILD之開口中的第二擴散阻障層,「Cu」代表填入開口的導體材料。內連線結構中出現孔隙會引發可靠度相關的問題。
有鑑於此,亟需能克服上述缺失的內連線結構。即,需要能改善效能與提升可靠度、又不需大幅改變現有材料或製造流程的混成內連線結構。
本發明提出內連線結構(即單嵌型或雙嵌型)和其形成方法,其中密實(即,非多孔性)介電間隙壁形成在介電材料之側壁上。相較於不含有密實介電間隙壁的習知內連線結構,含有密實介電間隙壁的混成內連線結構具有較佳的可靠度和效能。再者,本發明之混成內連線結構有更佳的製程控制性,故具大量生產的潛力。
應注意「提升可靠度」在此是指本發明混成內連線結構具有較佳的阻障層覆蓋性與導體/阻障層之黏著性。良好的阻障層覆蓋性可減少本發明內連線結構的漏電,良好的黏著性則可改善本發明內連線結構的電漂移現象。
本發明提出的混成內連線結構包含位於內連線介電材料之圖案化側壁上的密實介電間隙壁,其可避免最終內連線結構中形成底切區。在一些實施例中,本發明還提出埋置內連線結構的氣隙,此有助於減低內連線結構的整體電 容及增進電路效能。
在一實施例中,本發明提出一種混成內連線結構,包含:一具導體材料之介電材料,導體材料埋置在介電材料的至少一開口內,其中擴散阻障層和密實介電間隙壁側向隔開導體材料與介電材料,且擴散阻障層接觸導體材料。
在另一實施例中,本發明提出一種混成內連線結構,包含:一具導體材料之介電材料,導體材料埋置在介電材料的至少一開口內,其中擴散阻障層、密實介電間隙壁、和氣隙側向隔開導體材料與介電材料,且擴散阻障層接觸導體材料。
在又一實施例中,本發明提出一種內連線結構,包括:一下內連線層,包含一第一介電材料,第一介電材料內含一第一導體材料;以及一上內連線層,包含一具至少一開口的第二介電材料,且該至少一開口接觸下內連線層的第一導體材料,其中第二介電材料具有埋置在至少一開口內的第二導體材料,擴散阻障層和密實介電間隙壁側向隔開第二導體材料與第二介電材料,且擴散阻障層至少接觸第二導體材料。
在一些實施例中,上內連線層亦可包含選擇性的氣隙。
在上述任一實施例中,介電材料包括內連線結構的任一介電層。介電材料可為密實或多孔性介電材料,較佳為多孔性。任一實施例採用之介電材料的介電常數約為4.0 或更少。介電材料的例子包括二氧化矽(SiO2 )、聚倍半矽氧烷(silsesquioxane)、摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物(即有機矽酸鹽)、SiC(N,H)、熱固性聚芳香醚(polyarylene ether)或上述之多層結構。較佳地,介電材料的介電常數小於二氧化矽的介電常數。
本發明提出之介電間隙壁包含任一介電材料,其組成通常(但非必要)不同於包括埋置導體材料的介電材料。可用於本發明之介電間隙壁的例子包括二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳化矽(SiC)、聚倍半矽氧烷、摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物(即有機矽酸鹽)、SiC(N,H)、或熱固性聚芳香醚,但不以此為限。多層密實介電間隙壁亦落入本發明之範圍。
除了上述混成內連線結構外,本發明尚關於製造混成內連線結構的方法。此方法可與當前內連線製程相容,因此不會大幅增加製造成本。另外,本發明之方法(和內連線結構)並不限定ILD材料的種類,故本發明之方法(和內連線結構)的技術應用面更寬。
總言之,本發明之方法包含:使用一介電材料表面的一圖案化硬罩做為遮罩,以在介電材料中形成至少一開口,其中一底切區存在於圖案化硬罩下方;形成一密實介電間隙壁於至少一開口內之介電材料的露出側壁上;形成一擴散阻障層於至少一開口內之密實介電間隙壁 上;以及形成一導體材料於至少一開口內之擴散阻障層上。
在本發明之一些方法實施例中,氣隙留在密實介電間隙壁與介電材料之間。氣隙通常位在上述底切區附近。
本發明提出包括圖案化介電材料側壁上密實介電間隙壁的混成內連線結構和製造混成內連線結構的方法,其現將參照所附圖式詳述於後。本申請案的圖式僅為說明本發明之用,故並未按比例繪製。
為充分了解本發明,以下敘述提及許多細節,例如特殊的結構、組成、材料、尺寸、步驟、和技術。然熟諳此技藝者當可理解,本發明也可不依這些細節實施。在其他例子中,熟知的結構或步驟未再詳述,以免造成本發明晦澀難懂。
將可理解,當指稱某一諸如膜層、區域、或基材之元件位於另一元件「上」或「上方」時,代表該元件直接位在另一元件上、或者二者間夾有中間元件。反之,若指稱某一元件「直接」位於另一元件「上」或「上方」,則表示二者之間無中間元件。亦可理解,當指稱某一元件「連接」或「耦接」另一元件時,代表該元件直接連接或耦接至另一元件、或者二者間設有中間元件。反之,若指稱某一元件「直接連接」或「直接耦接」另一元件,則表示二者之間無中間元件。
本發明大體上提出一種混成內連線結構(例如參見第2A-2B圖),其包括具導體材料60B之介電材料56B,導體材料60B埋置在介電材料56B的至少一開口內,其中擴散阻障層58B、密實介電間隙壁66'、和選擇性氣隙68側向隔開導體材料60B與介電材料56B。
更明確地,第2A及2B圖繪示本發明之不同實施例。第2A圖之實施例包括氣隙,而第2B圖之實施例不含氣隙。二實施例包括下列元件:下內連線層52A,包含第一介電材料56A,第一介電材料56A內含第一導體材料60A。二實施例還包括上內連線層52B。明確地說,上內連線層52B包括具至少一開口的第二介電材料56B,且接觸下內連線層52A的第一導體材料60A。第二介電材料56B具有埋置在至少一開口內的第二導體材料60B,其中擴散阻障層58B、密實介電間隙壁66'、和選擇性氣隙68側向隔開導體材料60B與介電材料56B。若存在氣隙68,則氣隙68位於用來圖案化第二介電材料56B之硬罩底下的底切區。
第2A-2B圖的其他元件符號將配合方法步驟詳細說明於下。
現參照第3A-3F圖,其繪示本發明用來製造第2A圖之混成內連線結構的基本方法步驟。雖然所述基本方法步驟是用來形成第2A圖之內連線結構,但其也可用來形成第2B圖之內連線結構,除了在形成密實介電襯層66時,採行共形效果較佳的沉積技術以完全填充底切特徵64。另一加大氣隙68體積的方法為在蝕刻之後/期間,特意擴大 底切特徵64。
根據本發明,處理流程開始於提供如第3A圖所示之初始內連線結構50。明確地說,第3A圖之初始內連線結構50包含多層內連線,其包括下內連線層52A和上內連線層52B,二者通常(但非必要)由介電覆蓋層54隔開。下內連線層52A位於含有一或多個半導體元件的半導體基材上,且包含第一介電材料56A,其中第一介電材料56A具有至少一導體特徵結構(第一導體材料60A),且第一擴散阻障層58A隔開第一導體材料60A與第一介電材料56A。上內連線層52B包含具至少一開口的第二介電材料56B。第3A圖尚繪示位於第二介電材料上方的圖案化硬罩62、和位於圖案化硬罩62底下的底切區64。
第3A圖顯示二開口:開口106代表用於單嵌結構的接線開口;開口108代表用於雙嵌結構並結合通孔與接線的開口。然本申請案並不局限在此結構。本申請案亦可應用到包括至少一下導體特徵開口(即,第一導體材料60A)的結構。此至少一開口一般為接線開口下方的通孔開口。
第3A圖之初始內連線結構50可用此技藝所熟知的標準內連線製程加以製得。例如,形成初始內連線結構50可先形成第一介電材料56A至基材(未繪示)的表面。基材(未繪示)可包含半導體材料、絕緣材料、導體材料、或其組合物。若基材含有半導體材料,則可使用任一半導體,例如矽(Si)、矽鍺(SiGe)、碳化矽鍺(SiGeC)、碳化矽(SiC)、鍺合金、砷化鎵(GaAs)、砷化銦(InAs)、磷化銦(InP)、和 其他III/V族或II/VI族半導體化合物。除了列出的半導體材料外,本發明採用之半導體基材亦可為疊層半導體,例如Si/SiGe、Si/SiC、絕緣層覆矽(SOI)、或絕緣層覆矽鍺(SGOI)。
若基材為絕緣材料,則絕緣材料可為有機絕緣體、無機絕緣體、或其多層組合物。若基材為導體材料,則基材例如包括多晶矽、金屬元素、金屬元素合金、金屬矽化物、金屬氮化物、或其多層組合物。倘若基材含有半導體材料,則可在其上製作一或多個半導體元件,例如互補式金氧半導體(CMOS)裝置。
下內連線層52A的第一介電材料56A可包含任何包括有機介電質或無機介電質的層間或層內介電質。第一介電材料56A可為多孔性或非多孔性。適合做為第一介電材料56A的介電質例子包括二氧化矽(SiO2 )、聚倍半矽氧烷、摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物(即有機矽酸鹽)、SiC(N,H)、熱固性聚芳香醚、或其多層結構,但不以此為限。本申請案提及之「聚芳香醚」是指因諸如氧、硫、碸、亞碸、羰基等鍵結、併合環(fused ring)或惰性連接官能基連結在一起的芳基基團(moiety)或惰性取代芳基部分。
第一介電材料56A的介電常數一般為約4.0或以下,較佳為約2.8或以下。應注意低k介電質(介電常數小於4.0)的寄生串音效應比介電常數大於4.0的介電材料小。第一介電材料56A的厚度視介電材料種類與下內連線層52A所 含之介電質數量而定。就正規內連線結構而言,第一介電材料56A的厚度為約200-450奈米(nm)。
下內連線層52A還具有至少一埋置於(即,位於)第一介電材料56A內的導體特徵結構。導體特徵結構包含第一導體材料60A,且第一擴散阻障層58A隔開第一導體材料60A與第一介電材料56A。形成導體特徵結構包括進行微影製程(即塗佈光阻至第一介電材料56A的表面、以預定圖案曝照光阻、及使用傳統光阻顯影劑顯影經曝照之光阻)、蝕刻(乾蝕刻或濕蝕刻)第一介電材料56A以形成開口、及依序將第一擴散阻障層58A與第一導體材料60A填入經蝕刻之區域而構成傳導區域。第一擴散阻障層58A可包含鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、釕(Ru)、銥鉭(Ir(Ta))、氮化銥鉭(Ir(TaN))、釕鉭(Ru(Ta))、氮化釕鉭(Ru(TaN))、鎢(W)、氮化鎢(WN)、或其他當作阻障層以免導體材料擴散通過的材料,其可利用沉積製程形成,例如原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍、化學溶液沉積、或電鍍。
第一擴散阻障層58A的厚度視沉積製程與材料種類而定。第一擴散阻障層58A的厚度通常為約4-40nm,較佳為約7-20nm。
形成第一擴散阻障層58A後,接著以第一導體材料60A填滿第一介電材料56A的開口。導體材料60A例如包括多晶矽、金屬導體、含至少一金屬導體之合金、金屬矽 化物導體、或其組合物。較佳地,導體材料60A為金屬導體,例如銅(Cu)、鎢(W)、或鋁(Al);在本發明中,更佳為Cu或Cu合金(如AlCu)。利用傳統沉積製程可將導體材料60A填入第一介電材料56A的開口;沉積製程包括CVD、PECVD、濺鍍、化學溶液沉積、或電鍍,但不以此為限。沉積後,可進行如化學機械研磨(CMP)之傳統平坦化製程,使第一擴散阻障層58A和導體材料60A的頂面實質上與第一介電材料56A的頂面齊平。
應注意下述之本發明介電間隙壁66'可形成在下內連線層52A。若有,則介電間隙壁66'將沿著含有第一導體材料60A之至少一開口的側壁配置。
形成至少一導體材料60A後,利用諸如CVD、PECVD、化學溶液沉積、或蒸鍍之傳統沉積製程來形成介電覆蓋層54於下內連線層52A的表面。應注意不一定所有的實施例皆需要介電覆蓋層。介電覆蓋層54包含任何適合的介電覆蓋材料,例如碳化矽(SiC)、Si4 NH3 、二氧化矽(SiO2 )、氮化矽(Si3 N4 )、摻雜碳之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))、或其多層結構。介電覆蓋層54的厚度視形成技術與材料種類而定。介電覆蓋層54的厚度通常為約15-55nm,較佳為約25-45nm。
接著,形成第二介電材料56B於介電覆蓋層54的露出表面,藉以形成上內連線層52B。第二介電材料56B包含的介電材料可與下內連線層52A之第一介電材料56A相同或不同,較佳為相同。一實施例中,第二介電材料56B 的介電常數最好小於4.0。第二介電材料56B可為多孔性或非多孔性,較佳為具多孔性。第一介電材料56A採取的製程技術與厚度範圍亦適用第二介電材料56B。
利用標準沉積製程來形成硬罩材料毯覆層(如含氧化物之材料)至第二介電材料56B上;沉積製程例如包括CVD、PECVD、化學溶液沉積、和ALD。或者,硬罩材料可藉由諸如氧化之熱製程形成。
接著,進行上述微影及蝕刻製程,以在第二介電材料56B中形成至少一開口。硬罩材料當作蝕刻製程的圖案化遮罩。微影製程包括塗佈光阻至硬罩材料上、以預定圖案曝照光阻、及顯影經曝照之光阻。光阻經顯影後,圖案會先轉移到硬罩材料(因而形成圖案化硬罩62),再轉移到第二介電材料56B。圖案轉移到硬罩材料後,通常(但非必要)會移除經微影圖案化之光阻。蝕刻製程可包含乾蝕刻、濕蝕刻、或其組合法。「乾蝕刻」在此是指如反應離子蝕刻、離子束蝕刻、電漿蝕刻、或電漿剝除之蝕刻技術。
應注意在上述蝕刻製程期間,由於硬罩材料與第二介電材料56B的蝕刻速率不同,因此圖案化硬罩62底下將形成底切區64。
完成第3A圖之初始內連線結構50後,共形覆蓋介電襯層66於結構的露出表面(即圖案化硬罩62、第二介電材料56B的裸露側壁、和介電覆蓋層54)。第3B圖繪示包括介電襯層66的結構。
介電襯層66為任一密實介電材料,包括上述第一與第 二介電材料。介電襯層66的組成通常(但非必要)不同於第二介電材料56B。做為襯層66的介電材料例子包括聚倍半矽氧烷、摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物(即有機矽酸鹽)、熱固性聚芳香醚、二氧化矽(SiO2 )、氮化矽(Si3 N4 )、SiC(N,H)、碳化矽(SiC)、或其多層結構。
介電襯層66可藉由任一沉積製程形成,例如包括CVD和PECVD。介電襯層66的厚度通常為約100-2000埃(Å),較佳為約300-800Å。
應注意在正常沉積條件下,沉積介電襯層66後,結構將留有氣隙68。氣隙68可降低內連線結構的整體電容。如圖所示,氣隙68位在圖案化硬罩62下方且位於襯層66與圖案化之第二介電材料56B間。
接著異向性蝕刻第3B圖之介電襯層66,以形成介電間隙壁66'(參見第3C圖)於圖案化之第二介電材料56B的裸露側壁上;異向性蝕刻步驟可移除結構水平面的所有介電材料。第3C圖繪示包括介電間隙壁66'的結構。
應注意上述異向性蝕刻步驟通常也會移除一部分的介電覆蓋層54。若用來形成介電間隙壁66'之蝕刻步驟不能移除底下的介電覆蓋層54,則另可進行蝕刻製程來選擇性移除露出的介電覆蓋層54。
接著,形成第二擴散阻障層58B於包括已形成介電間隙壁66'之露出表面上。第3D圖繪示此結構。第二擴散阻障層58B包含氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、 銥鉭(Ir(Ta))、氮化銥鉭(Ir(TaN))、釕鉭(Ru(Ta))、氮化釕鉭(Ru(TaN))、鎢(W)、氮化鎢(WN)的至少其中之一。第二擴散阻障層58B可利用沉積製程形成,例如原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍、化學溶液沉積、或電鍍。
第二擴散阻障層58B的厚度視第二擴散阻障層58B所含之介電質數量、形成技術與材料種類而定。第二擴散阻障層58B的厚度通常為約4-40nm,較佳為約7-20nm。
值得注意的是,密實介電間隙壁66'有助於形成連續的第二擴散阻障層58B。
根據本發明,選擇性電鍍晶種層(未繪示)形成在第二擴散阻障層58B上的至少一開口內。儘管電鍍晶種層可有可無,然結構中最好包括電鍍晶種層,以協助導體材料生成。此尤其適用當金屬導體或金屬合金隨後形成於開口內的情況。若有電鍍晶種層,其例如可包含下述導體材料的金屬導體或金屬合金。一般來說,若導體材料包含Cu,則電鍍晶種層包含銅(Cu)、銅鋁(CuAl)、銅銥(CuIr)、銅鉭(CuTa)、銅銠(CuRb)、釕(Ru)、銥(Ir)、銅釕(CuRu)、或其他銅合金(即含銅之合金)。
電鍍晶種層例如可由傳統沉積製程形成,包括ALD、CVD、PECVD、PVD、化學溶液沉積等。電鍍晶種層的厚度可依情況改變,熟諳此技藝者周知其範圍。電鍍晶種層的厚度通常為約2-80nm。
接著,形成第二導體材料60B至至少一開口內,第二導體材料60B可同於或不同於第一導體材料60A。第二導體材料60B構成結構的第二導體特徵結構。較佳地,第二導體材料60B採用銅(Cu)、鋁(Al)、鎢(W)、或其合金,更佳為使用Cu或AlCu。可利用與上述形成第一導體材料60A之沉積製程相同的方法來形成第二導體材料60B;沉積完第二導體材料60B後,平坦化此結構。第3E圖繪示沉積導體材料60B後的內連線結構,第3F圖繪示平坦化後的內連線結構。平坦化製程包括研磨及/或化學機械研磨(CMP),其可用來移除結構上的圖案化硬罩62。
平坦化後,依上述方法形成第二介電覆蓋層54B,如此將構成第2A圖的結構。
應注意同樣的基本方法步驟也可用來形成第2B圖的結構,除了採行共形沉積技術來形成介電襯層66,使得結構中無氣隙產生。另一加大氣隙68體積的方法為在蝕刻之後/期間,特意擴大底切特徵64。
應注意上述實施例為描述封閉型通孔底部結構。本發明之另一實施例亦可提供開放型通孔底部結構。就開放型通孔底部結構而言,第二導體材料60B直接接觸第一導體材料60A的表面。利用離子轟擊或其他類似的等向性蝕刻製程來移除通孔底部的第二擴散阻障層,可形成開放型通孔底部結構。本發明還可應用到錨狀通孔底部結構。藉著先利用選擇性蝕刻製程在第一介電材料56A之導體特徵結構中蝕刻形成凹陷,可形成錨狀通孔底部結構。形成第二 擴散阻障層後,通常會利用等向性蝕刻製程來移除通孔與凹陷底部的第二擴散阻障層。然後依上述方法形成第二導體材料。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10‧‧‧內連線結構
12A、12B‧‧‧內連線層
14A、14B‧‧‧介電材料
16A‧‧‧阻障層
18A‧‧‧導體材料
20‧‧‧覆蓋層
22‧‧‧硬罩
24‧‧‧底切區
50‧‧‧內連線結構
52A、52B‧‧‧內連線層
54、54B‧‧‧覆蓋層
56A、56B‧‧‧介電材料
58A、58B‧‧‧阻障層
60A、60B‧‧‧導體材料
62‧‧‧硬罩
64‧‧‧底切特徵/底切區
66‧‧‧襯層
66'‧‧‧間隙壁
68‧‧‧氣隙
106、108‧‧‧開口
第1A圖為習知內連線結構的局部剖面示意圖,其在含氧化物之硬罩與低k介電材料間存有底切區。
第1B圖為習知內連線結構的實際截面圖,其包括由第1A圖底切區變成的孔隙。
第1C圖為習知內連線結構的上視圖,其截面如第1B圖所示。
第2A-2B圖為根據本發明第一實施例與第二實施例之混成內連線結構的剖面示意圖。
第3A-3F圖繪示本發明採用之基本方法步驟的截面,用以製造第2A圖之混成內連線結構。
52A、52B‧‧‧內連線層
54、54B‧‧‧覆蓋層
56A、56B‧‧‧介電材料
58A、58B‧‧‧阻障層
60A、60B‧‧‧導體材料
66'‧‧‧間隙壁
68‧‧‧氣隙

Claims (32)

  1. 一種內連線結構,包含:一介電材料,該介電材料具有一導體材料,該導體材料埋置在該介電材料的至少一個開口內,其中一擴散阻障層和一密實介電間隙壁側向隔開該導體材料與該介電材料,且該擴散阻障層直接接觸該導體材料,而該密實介電間隙壁僅直接位於該擴散阻障層之一側壁表面上,且其中該擴散阻障層、該導體材料、該介電材料以及該密實介電間隙壁之每一者之一上表面係彼此共面(coplanar)。
  2. 如申請專利範圍第1項所述之內連線結構,其中該介電材料的一介電常數係約4.0或更少。
  3. 如申請專利範圍第2項所述之內連線結構,其中該介電材料為二氧化矽(SiO2 )、一聚倍半矽氧烷(silsesquioxane)、一摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))、和一熱固性聚芳香醚(polyarylene ether)的其中之一。
  4. 如申請專利範圍第2項所述之內連線結構,其中該介電材料具多孔性。
  5. 如申請專利範圍第1項所述之內連線結構,其中該密實 介電間隙壁包含二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳化矽(SiC)、一聚倍半矽氧烷、一摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))、一熱固性聚芳香醚或上述之多層結構。
  6. 如申請專利範圍第1項所述之內連線結構,其中該密實介電間隙壁的組成不同於該介電材料。
  7. 如申請專利範圍第1項所述之內連線結構,其中該導體材料包含多晶矽、一金屬導體、一包含至少一個金屬導體之合金、一金屬導體矽化物或上述之組合物。
  8. 如申請專利範圍第1項所述之內連線結構,其中該導體材料為銅(Cu)、鎢(W)、鋁(Al)或一銅合金。
  9. 如申請專利範圍第1項所述之內連線結構,其中該擴散阻障層包含鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、釕(Ru)、銥鉭(Ir(Ta))、氮化銥鉭(Ir(TaN))、釕鉭(Ru(Ta))、氮化釕鉭(Ru(TaN))、鎢(W)或氮化鎢(WN)。
  10. 一種內連線結構,包含:一介電材料,該介電材料具有一導體材料,該導體材料埋置在該介電材料的至少一個開口內,其中一擴散阻障層 與一密實介電間隙壁側向隔開該導體材料與該介電材料,且該擴散阻障層直接接觸該導體材料,而該密實介電間隙壁直接位於該擴散阻障層之一側壁表面上,但不位於該導體材料之一上表面上。
  11. 如申請專利範圍第10項所述之內連線結構,其中該介電材料的一介電常數係約4.0或更少。
  12. 如申請專利範圍第11項所述之內連線結構,其中該介電材料為二氧化矽(SiO2 )、一聚倍半矽氧烷、一摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))和一熱固性聚芳香醚的其中之一。
  13. 如申請專利範圍第11項所述之內連線結構,其中該介電材料具多孔性。
  14. 如申請專利範圍第10項所述之內連線結構,其中該密實介電間隙壁包含二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳化矽(SiC)、一聚倍半矽氧烷、一摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))、一熱固性聚芳香醚或上述之多層結構。
  15. 如申請專利範圍第10項所述之內連線結構,其中該密實介電間隙壁的組成不同於該介電材料。
  16. 如申請專利範圍第10項所述之內連線結構,其中該導體材料包含多晶矽、一金屬導體、一包含至少一個金屬導體之合金、一金屬導體矽化物或上述之組合物。
  17. 如申請專利範圍第10項所述之內連線結構,其中該導體材料為銅(Cu)、鎢(W)、鋁(Al)或一銅合金。
  18. 如申請專利範圍第10項所述之內連線結構,其中該擴散阻障層包含鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、銥鉭(Ir(Ta))、氮化銥鉭(Ir(TaN))、釕鉭(Ru(Ta))、氮化釕鉭(Ru(TaN))、鎢(W)或氮化鎢(WN)。
  19. 一種內連線結構,包含:一下內連線層,該下內連線層包含一第一介電材料,該第一介電材料具有一第一導體材料埋置其中;以及一上內連線層,該上內連線層包含一具至少一個開口的第二介電材料,且該至少一個開口接觸該下內連線層的該第一導體材料,一介電罩層覆蓋具一底切區之該第二介電材料,該底切區存在於該第二介電材料之一上表面與該第二介電材料中之該至少一個開口之一介面,一密實介電間 隙壁存在於該至少一個開口之一側壁且存在於該底切區,而一擴散阻障層存在於該密實介電間隙壁上,其中該第二介電材料具有埋置在該至少一個開口內的一第二導體材料,一擴散阻隙層和該密實介電間隙壁側向隔開該第二導體材料與該第二介電材料,且該擴散阻障層至少接觸該第二導體材料。
  20. 如申請專利範圍第19項所述之內連線結構,更包含一氣隙,該氣隙位於該密實介電間隙壁與該第二介電材料之一上部之間。
  21. 如申請專利範圍第19項所述之內連線結構,其中該第二介電材料為二氧化矽(SiO2 )、一聚倍半矽氧烷、一摻雜碳且包括矽(Si)、碳(C)、氧(O)與氫(H)原子之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))和一熱固性聚芳香醚的其中之一。
  22. 如申請專利範圍第19項所述之內連線結構,其中該第二介電材料具多孔性。
  23. 如申請專利範圍第19項所述之內連線結構,其中該密實介電間隙壁包含二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳化矽(SiC)、一聚倍半矽氧烷、一摻雜碳且包括矽(Si)、碳(C)、 氧(O)與氫(H)原子之氧化物、摻雜氮與氫之碳化矽(SiC(N,H))、一熱固性聚芳香醚或上述之多層結構。
  24. 如申請專利範圍第19項所述之內連線結構,其中該密實介電間隙壁的組成不同於該第二介電材料。
  25. 如申請專利範圍第19項所述之內連線結構,其中該第二導體材料包含多晶矽、一金屬導體、一包含至少一個金屬導體之合金、一金屬導體矽化物或上述之組合物。
  26. 如申請專利範圍第19項所述之內連線結構,其中該第二導體材料為銅(Cu)、鎢(W)、鋁(Al)或一銅合金。
  27. 一種形成一內連線結構之方法,該方法包含以下步驟:使用位於一介電材料之一表面上的一圖案化硬罩做為一遮罩,以在該介電材料中形成至少一個開口,其中一底切區從該至少一個開口側向延伸至該介電材料而存在於該圖案化硬罩下方;形成一密實介電間隙壁於該至少一開口內之該介電材料的露出側壁上;形成一擴散阻障層於該至少一開口內之該密實介電間隙壁上;以及形成一導體材料於該至少一開口內之該擴散阻障層上。
  28. 如申請專利範圍第27項所述之方法,其中在形成該密實介電間隙壁時,留下一氣隙於該底切區中。
  29. 如申請專利範圍第27項所述之方法,其中該密實介電間隙壁之形成係藉由沉積一密實介電襯層及進行異向性蝕刻加以完成。
  30. 如申請專利範圍第27項所述之方法,更包含形成一電鍍晶種層於該擴散阻障層上,且利用電鍍形成該導體材料。
  31. 如申請專利範圍第27項所述之方法,其中形成該至少一個開口包括進行微影及蝕刻,其中該蝕刻形成該底切區,且該至少一個開口包括一通孔開口、一接線開口、或一結合通孔與接線的開口。
  32. 如申請專利範圍第27項所述之方法,其中形成該擴散阻障層係利用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍、化學溶液沉積或電鍍。
TW097101696A 2007-01-22 2008-01-16 用以改善效能與提升可靠度之混成內連線結構 TWI412104B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/625,576 US7973409B2 (en) 2007-01-22 2007-01-22 Hybrid interconnect structure for performance improvement and reliability enhancement

Publications (2)

Publication Number Publication Date
TW200837881A TW200837881A (en) 2008-09-16
TWI412104B true TWI412104B (zh) 2013-10-11

Family

ID=39640455

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097101696A TWI412104B (zh) 2007-01-22 2008-01-16 用以改善效能與提升可靠度之混成內連線結構

Country Status (4)

Country Link
US (5) US7973409B2 (zh)
KR (1) KR20090104870A (zh)
TW (1) TWI412104B (zh)
WO (1) WO2008091558A1 (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US8445913B2 (en) 2007-10-30 2013-05-21 Spansion Llc Metal-insulator-metal (MIM) device and method of formation thereof
KR101461633B1 (ko) * 2008-12-26 2014-11-13 삼성전자주식회사 이미지 센서 및 그의 제조방법
US8471346B2 (en) * 2009-02-27 2013-06-25 Infineon Technologies Ag Semiconductor device including a cavity
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8436473B2 (en) 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP2012038961A (ja) * 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US9034701B2 (en) * 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
JP6035520B2 (ja) * 2012-04-26 2016-11-30 パナソニックIpマネジメント株式会社 半導体装置およびその製造方法
KR101983219B1 (ko) * 2012-05-31 2019-05-29 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9105634B2 (en) 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US8633108B1 (en) * 2012-10-31 2014-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR102021988B1 (ko) * 2013-03-12 2019-09-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US9312204B2 (en) * 2013-09-27 2016-04-12 Intel Corporation Methods of forming parallel wires of different metal materials through double patterning and fill techniques
US20150162277A1 (en) * 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9564355B2 (en) 2013-12-09 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9390965B2 (en) * 2013-12-20 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap forming techniques for interconnect structures
US20150194305A1 (en) * 2014-01-09 2015-07-09 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US9343294B2 (en) * 2014-04-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having air gap and method of forming the same
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9425094B2 (en) * 2014-12-26 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming semiconductor device structure with feature opening
US10186453B2 (en) * 2015-06-15 2019-01-22 United Micorelectronics Corp. Semiconductor structure and process thereof
KR102403741B1 (ko) 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
WO2017111854A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Methods of forming low capacitance interconnect structures utilizing low dielectric materials
US9793206B1 (en) 2016-09-29 2017-10-17 International Business Machines Corporation Heterogeneous metallization using solid diffusion removal of metal interconnects
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10276505B2 (en) * 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US9911652B1 (en) 2017-03-29 2018-03-06 International Business Machines Corporation Forming self-aligned vias and air-gaps in semiconductor fabrication
US10361120B2 (en) 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10366982B2 (en) 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US10559530B2 (en) 2017-12-27 2020-02-11 International Business Machines Corporation Forming dual metallization interconnect structures in single metallization level
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof
TWI801614B (zh) * 2019-06-21 2023-05-11 聯華電子股份有限公司 半導體元件及其製作方法
CN110491833B (zh) * 2019-08-30 2021-12-03 上海华力微电子有限公司 金属互连线填充方法
US11361987B2 (en) 2020-05-14 2022-06-14 International Business Machines Corporation Forming decoupled interconnects
US11876047B2 (en) * 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6217418B1 (en) * 1999-04-14 2001-04-17 Advanced Micro Devices, Inc. Polishing pad and method for polishing porous materials
US6437401B1 (en) * 2001-04-03 2002-08-20 Infineon Technologies Ag Structure and method for improved isolation in trench storage cells
TWI232523B (en) * 2003-04-22 2005-05-11 Taiwan Semiconductor Mfg Damascene process and structure thereof
US20050156237A1 (en) * 2003-04-30 2005-07-21 Grudowski Paul A. Transistor sidewall spacer stress modulation
US6960519B1 (en) * 2004-06-25 2005-11-01 International Business Machines Corporation Interconnect structure improvements
US20060151887A1 (en) * 2005-01-13 2006-07-13 Samsung Electronics Co., Ltd. Interconnection structure having double diffusion barrier layer and method of fabricating the same
US20060264027A1 (en) * 2004-07-20 2006-11-23 Yi-Nien Su Air gap interconnect structure and method thereof
US20070001306A1 (en) * 2005-06-30 2007-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene interconnect in hybrid dielectric

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5814555A (en) * 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US5949143A (en) 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6104077A (en) 1998-04-14 2000-08-15 Advanced Micro Devices, Inc. Semiconductor device having gate electrode with a sidewall air gap
KR100286126B1 (ko) * 1999-02-13 2001-03-15 윤종용 다층의 패시배이션막을 이용한 도전층 사이에 공기 공간을 형성하는 방법
US6433436B1 (en) * 1999-05-26 2002-08-13 International Business Machines Corporation Dual-RIE structure for via/line interconnections
US6440839B1 (en) * 1999-08-18 2002-08-27 Advanced Micro Devices, Inc. Selective air gap insulation
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US20010045608A1 (en) * 1999-12-29 2001-11-29 Hua-Chou Tseng Transister with a buffer layer and raised source/drain regions
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6329279B1 (en) 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6445072B1 (en) * 2000-07-17 2002-09-03 Advanced Micro Devices, Inc. Deliberate void in innerlayer dielectric gapfill to reduce dielectric constant
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US20030176055A1 (en) * 2000-07-24 2003-09-18 United Microelectronics Corp. Method and structure for reducing capacitance between interconnect lines
US6524948B2 (en) * 2000-10-13 2003-02-25 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
DE10140754A1 (de) * 2001-08-20 2003-03-27 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zum Herstellen einer Leiterbahnanordnung
JP4574145B2 (ja) * 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7071532B2 (en) * 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7071091B2 (en) * 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US7973409B2 (en) * 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US7485567B2 (en) * 2007-02-02 2009-02-03 International Business Machines Corporation Microelectronic circuit structure with layered low dielectric constant regions and method of forming same
US20080185722A1 (en) * 2007-02-05 2008-08-07 Chung-Shi Liu Formation process of interconnect structures with air-gaps and sidewall spacers
US20090072409A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US20090075470A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US7811924B2 (en) * 2008-06-16 2010-10-12 Applied Materials, Inc. Air gap formation and integration using a patterning cap
US7790542B2 (en) * 2008-06-18 2010-09-07 International Business Machines Corporation CMOS devices having reduced threshold voltage variations and methods of manufacture thereof
DE102008059650B4 (de) * 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6217418B1 (en) * 1999-04-14 2001-04-17 Advanced Micro Devices, Inc. Polishing pad and method for polishing porous materials
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6437401B1 (en) * 2001-04-03 2002-08-20 Infineon Technologies Ag Structure and method for improved isolation in trench storage cells
TWI232523B (en) * 2003-04-22 2005-05-11 Taiwan Semiconductor Mfg Damascene process and structure thereof
US20050156237A1 (en) * 2003-04-30 2005-07-21 Grudowski Paul A. Transistor sidewall spacer stress modulation
US6960519B1 (en) * 2004-06-25 2005-11-01 International Business Machines Corporation Interconnect structure improvements
US20060264027A1 (en) * 2004-07-20 2006-11-23 Yi-Nien Su Air gap interconnect structure and method thereof
US20060151887A1 (en) * 2005-01-13 2006-07-13 Samsung Electronics Co., Ltd. Interconnection structure having double diffusion barrier layer and method of fabricating the same
US20070001306A1 (en) * 2005-06-30 2007-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene interconnect in hybrid dielectric

Also Published As

Publication number Publication date
US20080174017A1 (en) 2008-07-24
US20130230983A1 (en) 2013-09-05
US20130221529A1 (en) 2013-08-29
TW200837881A (en) 2008-09-16
US8753979B2 (en) 2014-06-17
US8796854B2 (en) 2014-08-05
US8754526B2 (en) 2014-06-17
US20130228925A1 (en) 2013-09-05
US7973409B2 (en) 2011-07-05
US8456006B2 (en) 2013-06-04
US20110260323A1 (en) 2011-10-27
KR20090104870A (ko) 2009-10-06
WO2008091558A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
TWI412104B (zh) 用以改善效能與提升可靠度之混成內連線結構
US9059257B2 (en) Self-aligned vias formed using sacrificial metal caps
JP5089575B2 (ja) 相互接続構造体及びその製造方法
EP2139037B1 (en) Method of fabricating an interconnect structure for electromigration enhancement
JP5274475B2 (ja) エレクトロマイグレーションに対する向上した信頼度を有する相互接続構造体及びその製造方法
TWI497673B (zh) 用於窄互相連接開口之大晶粒尺寸傳導結構
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
JP5462807B2 (ja) 高い漏れ抵抗を有する相互接続構造体
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US7834457B2 (en) Bilayer metal capping layer for interconnect applications
US20080299763A1 (en) Method for fabricating semiconductor device
US9558999B2 (en) Ultra-thin metal wires formed through selective deposition
US20240194587A1 (en) Interconnects with Sidewall Barrier Layer Divot Fill

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees