JP2007141985A - 半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置の製造方法 Download PDF

Info

Publication number
JP2007141985A
JP2007141985A JP2005331020A JP2005331020A JP2007141985A JP 2007141985 A JP2007141985 A JP 2007141985A JP 2005331020 A JP2005331020 A JP 2005331020A JP 2005331020 A JP2005331020 A JP 2005331020A JP 2007141985 A JP2007141985 A JP 2007141985A
Authority
JP
Japan
Prior art keywords
film
insulating film
manufacturing
wiring
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005331020A
Other languages
English (en)
Other versions
JP4918778B2 (ja
Inventor
Hiroyuki Hayashi
寛之 林
Takafumi Oshima
隆文 大島
Hideo Aoki
英雄 青木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2005331020A priority Critical patent/JP4918778B2/ja
Priority to US11/598,084 priority patent/US7553756B2/en
Priority to CNB200610146568XA priority patent/CN100477160C/zh
Priority to TW095142475A priority patent/TWI387049B/zh
Publication of JP2007141985A publication Critical patent/JP2007141985A/ja
Application granted granted Critical
Publication of JP4918778B2 publication Critical patent/JP4918778B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】空洞(Air−Gap)構造を有する多層のダマシン配線における目外れビアのメタル形成不良を防止する。
【解決手段】接続孔の形成領域に、選択的に除去可能な絶縁膜で犠牲膜ピラー42を形成した後に、隣接するダマシン配線間に空洞(Air−Gap)45を有する層間絶縁膜44を形成することで、ビアと空洞45を完全に分離する。
【効果】本発明によれば、信頼性の高いビア接続を有し、空洞による寄生容量の低減がなされた多層の埋込配線を形成することができる。
【選択図】図17

Description

本発明は、半導体集積回路装置の製造方法に関し、特に、多層の埋込配線を有する半導体集積回路装置の製造方法に関する。
埋込配線構造は、絶縁膜に形成された配線溝や接続孔などのような配線開口部内に、ダマシン(Damascene)技術(シングルダマシン(Single-Damascene)技術およびデュアルダマシン(Dual-Damascene)技術)と称する配線形成技術によって、配線材料を埋め込むことで形成される。
近年、このような埋込配線の間隔は、半導体集積回路装置の高集積化に伴い、減少してきている。これにより、配線間の寄生容量が増大して信号遅延が生じる。このため、配線間の寄生容量を低減することが望まれる。
特許文献1には、埋込配線間に空洞を形成する技術が開示されている。本文献のFig.1A〜1Eには1層の埋込配線の製造方法が工程順に示されている。図示された技術では、隣接する埋込配線間に介在する絶縁膜に空洞が含まれるため、隣接する埋込配線間の寄生容量を低減できるという特徴を有している。
米国特許第6,159,845号明細書
特許文献1には、空洞構造を有する多層の埋込配線の製造方法に関しては、明記されていない。本願発明者等の検討では、特許文献1の技術で多層の埋込配線を形成した場合、ビア(Via)部のメタルの埋め込み不良に起因したビア部の高抵抗化の問題や空洞にメタルが成膜され隣接する埋込配線間の寄生容量が低減できないといった問題が生じることが判明した。これらの原因は、図2に示したように、通常のホトリソグラフィ工程における下層の埋込配線(シングルダマシン配線)65と上層の埋込配線(デュアルダマシン配線)68のビア部66との間の合せズレによって、下層の埋込配線65間の空洞67にビア部66が接触し、ビア部66を含む上層の埋込配線68のメタルの成膜時において、空洞へのメタルの浸入69やビア部のメタルの埋め込み不良70が発生したためである。
本発明において開示される発明のうち、代表的なものの概要を簡単に説明すれば、以下のとおりである。
本発明による半導体集積回路装置の製造方法は、以下の工程を有する。
(a)半導体基板の上方に第1の絶縁膜を形成する工程、
(b)前記第1の絶縁膜に複数の配線溝を形成する工程、
(c)前記複数の配線溝のそれぞれの内部を含む前記第1の絶縁膜上に第1の導体膜を形成する工程、
(d)前記複数の配線溝の外部の前記第1の導体膜を除去することによって、前記複数の配線溝のそれぞれの内部に前記第1の導体膜からなる配線を形成する工程、
(e)前記第1の絶縁膜及び前記配線上に、前記第1の絶縁膜と異なる材料からなる第2の絶縁膜を形成する工程、
(f)後の工程で形成される前記配線の上面を露出する接続孔の形成領域を覆うマスクを用いて前記第2の絶縁膜をエッチングすることにより、前記接続孔の形成領域に前記第2の絶縁膜からなる犠牲膜ピラーを形成する工程、
(g)前記犠牲膜ピラーで覆われていない領域の前記第1の絶縁膜を選択的に除去し、前記犠牲膜ピラーの下部に前記第1の絶縁膜を残す工程、
(h)前記第1の絶縁膜が除去された前記配線間のスペース領域に空洞を残しつつ、前記配線及び前記犠牲膜ピラー上に、前記第2の絶縁膜と異なる材料からなる第3の絶縁膜を形成する工程、
(i)前記犠牲膜ピラー上の前記第3の絶縁膜を除去し、前記犠牲膜ピラーの上面を露出する工程、
(j)前記犠牲膜ピラーを除去し、前記配線の上面を露出する接続孔を形成する工程、
(k)前記接続孔の内部に第2の導体膜を形成する工程。
本発明による半導体集積回路装置の製造方法は、以下の工程を有する。
(a)半導体基板の上方に第1の絶縁膜を形成する工程、
(b)前記第1の絶縁膜の一部を除去することによって、後の工程で形成される第1のダマシン配線用の複数の第1の配線溝を形成する工程、
(c)前記複数の第1の配線溝のそれぞれの内部を含む前記第1の絶縁膜上に第1の導体膜を形成する工程、
(d)前記複数の第1の配線溝の外部の前記第1の導体膜を除去することによって、前記複数の第1の配線溝のそれぞれの内部に前記第1の導体膜からなる前記第1のダマシン配線を形成する工程、
(e)前記第1の絶縁膜及び前記第1のダマシン配線上に、前記第1の絶縁膜と異なる材料からなる第2の絶縁膜を形成する工程、
(f)後の工程で形成される第2のダマシン配線のビア部用の複数の接続孔の形成領域を覆うマスクを用いて前記第2の絶縁膜をエッチングすることにより、前記複数の接続孔の形成領域に前記第2の絶縁膜からなる複数の犠牲膜ピラーを形成する工程、
(g)前記犠牲膜ピラーで覆われていない領域の前記第1の絶縁膜を選択的に除去し、前記犠牲膜ピラーの下部に前記第1の絶縁膜を残す工程、
(h)前記第1の絶縁膜が除去された前記配線間のスペース領域に空洞を残しつつ、前記配線及び前記犠牲膜ピラー上に、前記第2の絶縁膜と異なる材料からなる第3の絶縁膜を形成する工程、
(i)前記犠牲膜ピラー上の前記第3の絶縁膜を除去し、前記複数の犠牲膜ピラーの上面を露出する工程、
(j)前記第3の絶縁膜の一部及び前記複数の犠牲膜ピラーの上部を除去することによって、後の工程で形成される前記第2のダマシン配線の配線部用の複数の第2の配線溝を形成する工程、
(k)前記複数の犠牲膜ピラーの下部を除去を除去することによって、前記複数の接続孔を形成する工程、
(l)前記複数の第2の配線溝及び前記複数の接続孔のそれぞれの内部を含む前記第3の絶縁膜上に第2の導体膜を形成する工程、
(m)前記複数の第2の配線溝及び前記複数の接続孔の外部の前記第2の導体膜を除去することによって、前記複数の第1の配線溝及び前記複数の接続孔のそれぞれの内部に前記第2の導体膜からなる前記第2のダマシン配線を形成する工程。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば、以下のとおりである。
本発明によれば、信頼性の高いビア接続を有し、空洞による寄生容量の低減がなされた多層の埋込配線を形成することができる。
以下、本発明の実施例を図面に基いて詳細に説明する。尚、実施例を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施例では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。
図1は、本発明の実施例1の半導体装置の要部を示す断面図である。
半導体基板1主面をフィールド絶縁膜2によって各素子領域に分離し、各素子領域にはソース領域、ドレイン領域等の拡散層3が形成され、ソース領域、ドレイン領域3の間の半導体基板1主面上にはゲート絶縁膜(図示せず)を介して多結晶シリコンからなるゲート電極4が形成され、ゲート電極4の側面は側壁絶縁膜5によって覆われている。
半導体基板1主面に形成された拡散層3或いはゲート電極4は、層間絶縁膜6を貫通するプラグ7の一端に接続され、プラグ7の他端は層間絶縁膜6を介して積層されたシングルダマシン配線10に接続されている。層間絶縁膜6は、P−SiN膜(50nm)、HDP−SiO膜(400nm)、P−SiO膜(400nm)を順次堆積させた後に、CMP技術により500nm程度(大面積配線上の研磨量)研磨して、ゲート電極4等によって生じた素子段差を平坦化している。
プラグ7は、スパッタによるチタン膜(10nm)、窒化チタン膜(50nm)、CVDによるタングステン膜を順次堆積させた後、CMPによって加工してある。
シングルダマシン配線10は、スパッタによる窒化タンタル膜(15nm)、タンタル膜(15nm)、銅膜(80nm)、メッキ法による銅膜(500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMP技術によって形成している。
シングルダマシン配線10の隣接間スペースが小さい部分に空洞(Air−Gap)17を有する層間絶縁膜16を貫通して、デュアルダマシン配線23がシングルダマシン配線10に接続されている。この時、シングルダマシン配線10からずれたところに位置しているデュアルダマシン配線23のビア部の下には、シングルダマシン配線10を形成する際に用いた絶縁膜の一部の膜15が残存している。
デュアルダマシン配線23,27,30は、シングルダマシン配線10と同様、スパッタによる窒化タンタル膜(15nm)、タンタル膜(15nm)、銅膜(80nm)、メッキ法による銅膜(500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMP技術によって形成している。
デュアルダマシン配線23の隣接間スペースが小さい部分に空洞25を有する層間絶縁膜26を貫通して、デュアルダマシン配線27がデュアルダマシン配線23に接続されている。この時、デュアルダマシン配線23からずれたところに位置しているデュアルダマシン配線27のビア部の下には、層間絶縁膜16の一部の膜24が残存している。
デュアルダマシン配線27の隣接間スペースが小さい部分に空洞28を有する層間絶縁膜29を貫通して、デュアルダマシン配線30がデュアルダマシン配線27に接続している。この時、デュアルダマシン配線27からずれたところに位置しているデュアルダマシン配線30のビア部の下には、層間絶縁膜26の一部の膜31が残存している。
本実施例では、ビア部と空洞が接することがないので、ビア部のメタルの埋め込み不良による高抵抗化や空洞へのメタルの浸入による寄生容量の増大の問題を防止することができる。
次に、本実施例1の半導体装置の製造方法について、図3から図11を用いて、工程毎に説明する。
まず、半導体基板1主面をフィールド絶縁膜2によって各素子領域に分離後、各素子領域にソース領域、ドレイン領域等の拡散層3を形成し、ソース領域、ドレイン領域3の間の半導体基板1主面上にゲート絶縁膜(図示せず)を介して多結晶シリコンからなるゲート電極4を形成した後、ゲート電極4の側面を側壁絶縁膜5によって覆う。
次に、P−SiN膜(50nm)、HDP−SiO膜(400nm)、P−SiO膜(400nm)を順次堆積させた後に、CMP技術により500nm程度(大面積ゲート上の研磨量)研磨して、ゲート電極4等によって生じた素子段差を平坦化し、層間絶縁膜6を形成する。
次に、通常のホト及びドライ技術により接続孔を開口した後、Arプラズマで接続孔の底の自然酸化膜を除去した後、スパッタTi/TiN膜7a(10/50nm)、CVDW膜7b(300nm)を順次堆積後、CMP技術により接続孔以外のTi/TiN膜、及びCVDW膜を除去し、プラグ7を形成する。
次に、SiCN/SiC膜8(25/25nm)、無機系絶縁膜であるFSG膜(第1の絶縁膜)9(300nm)を堆積後、通常のホト及びドライ技術により配線10を形成するための溝を形成する。
次に、Arプラズマで溝の底部に露出したプラグ7表面の自然酸化膜を除去した後、バリアメタル膜であるスパッタによる窒化タンタル/タンタル膜10a(15/15nm)、主導体膜であるスパッタ銅膜/メッキ法による銅膜(第1の導体膜)10b(80/500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMPによって溝以外の窒化タンタル/タンタル/銅膜を除去し、シングルダマシン配線10を形成する。この状態を図3に示す。
次に、有機系絶縁膜であるSiLK膜(第2の絶縁膜)11(700nm)、P−TEOS膜12(100nm)を堆積後、凸形状のレジスト13を形成する。レジスト13は、柱状となっており、後の工程で形成するシングルダマシン配線10の上面を露出するための接続孔の形成領域を覆うように形成する。この状態を図4に示す。
次に、レジスト13をマスクにP−TEOS膜12をエッチング加工し、連続して、レジスト13及びP−TEOS膜12をマスクにSiLK膜11をエッチング加工し、SiLK膜からなる犠牲膜ピラー14を形成する。この段階ではSiLK膜表面のP−TEOS膜12は残存している。
次に、シングルダマシン配線10間のFSG膜9を異方性エッチング加工し、犠牲膜ピラーで覆われていない領域のFSG膜9を除去する。この時犠牲膜ピラー14の下に位置するFSG膜9の一部が残り、FSG膜15が形成される。この状態を図5に示す。
次に、無機系絶縁膜であるFSG膜(第3の絶縁膜)16(1200nm)を成膜する。この時、シングルダマシン配線10の隣接間スペースが小さい部分に空洞17ができるように、FSG膜16は、CVD法を用いてカバレジの低い成膜条件で堆積する。また、FSG膜16の形成を、CVD法を用いて、形成初期はカバレジの低い成膜条件で行い空洞17を形成し、空洞17が形成された後はカバレジの高い成膜条件で行い犠牲幕ピラー14間を埋め込んでも良い。なお、FSG膜16は、その表面が犠牲膜ピラー14の上面より高くなるような膜厚まで堆積する必要がある。この状態を図6に示す。
次に、FSG膜16の表面をCMPにより平坦化するとともに、犠牲膜ピラー14の表面を露出させ、FSG膜からなるデュアルダマシン配線形成用の層間絶縁膜18を形成する。この段階では犠牲膜ピラー14の表面にはP−TEOS膜12は残さない。この状態を図7に示す。
次に、デュアルダマシン配線形成用のレジストパターン20を形成する。この状態を図8に示す。
次に、レジストパターン20をマスクに犠牲膜ピラー14と層間絶縁膜18をほぼ同じエッチレートの条件でエッチング加工することで、デュアルダマシン配線の配線部用の溝21を形成する。この状態を図9に示す。
次に、NH3プラズマで、犠牲膜ピラー14を選択的に除去することで、デュアルダマシン配線のビア部用の接続孔22を形成する。この状態を図10に示す。
次に、エッチポリマー除去洗浄後、シングルダマシン配線10の形成と同じように、Arプラズマで接続孔22の底部に露出したシングルダマシン配線10表面の自然酸化膜を除去した後、バリアメタル膜であるスパッタによる窒化タンタル/タンタル膜23a(15/15nm)、主導体膜であるスパッタ銅膜/メッキ法による銅膜(第2の導体膜)23b(80/500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMPによって接続孔22及び溝21以外の窒化タンタル/タンタル/銅膜を除去し、デュアルダマシン配線23を形成する。この状態を図11に示す。
図1に示した状態は、以上の工程を繰り返すことにより、隣接するデュアルダマシン配線23間や,デュアルダマシン配線27間のスペースが狭い部分にも空洞25,28を形成した多層のダマシン配線を示したものである。
上述の実施例では、シングルダマシン配線10やデュアルダマシン配線23の主導体膜としてCuを用いた例を示したが、これに限らず、Al,W,Ag,Auの内の少なくともいずれか1つの金属を主導体膜として用いても良い。
本実施例では、犠牲膜ピラーを作ることで、隣接するダマシン配線間に形成する空洞をビア部から離して形成できるため、合せずれ等が発生しても空洞とビア部が接触することがないので、空洞構造を有する下層のダマシン配線上へのビア接続が安定して行うことができる。
上述の実施例において、ダマシン配線10,23等を形成した後、ダマシン配線表面に選択的にメタルキャップ膜としてCoWB合金32,33を形成することで、ダマシン配線10,23等の信頼性を向上することができる。尚、メタルキャップ膜としては、上記CoWB合金に限らず、Co,W,Ni,Cr,Auの内のすくなくともいずれか1つの金属または金属化合物を用いることもできる。この状態を図12(a),(b)に示す。
また、上述の実施例において、図9では、犠牲膜ピラー14と層間絶縁膜18をほぼ同じエッチレートの条件でエッチング加工し、デュアルダマシン配線23の配線部用の溝21を形成したが、図13(a)〜(c)に示すように、犠牲膜ピラー14の上部のみを溝深さ程度までエッチバックしてから、層間絶縁膜18に溝35を形成することもできる。その後、犠牲膜ピラーの下部34を選択的に除去して接続孔36を形成する。この方法は、犠牲膜ピラー14と層間絶縁膜18のエッチレートを等しくすることが困難な場合に有効である。
さらに、図9または図13(b)で示した溝加工において、レジスト20が足りなくなる場合があるが、そのときは図14に示したように、P−SiN膜37を追加して、SiNハードマスク38を形成し、これをマスクに溝を加工することもできる。
図15は、本発明の実施例2の半導体装置の要部を示す断面図である。
半導体基板1主面をフィールド絶縁膜2によって各素子領域に分離し、各素子領域にはソース領域、ドレイン領域等の拡散層3が形成され、ソース領域、ドレイン領域3の間の半導体基板1主面上にはゲート絶縁膜(図示せず)を介して多結晶シリコンからなるゲート電極4が形成され、ゲート電極4の側面は側壁絶縁膜5によって覆われている。
半導体基板1主面に形成された拡散層3或いはゲート電極4は、層間絶縁膜6を貫通するプラグ7の一端に接続され、プラグ7の他端は層間絶縁膜6を介して積層されたシングルダマシン配線10に接続されている。層間絶縁膜6は、P−SiN膜(50nm)、HDP−SiO膜(400nm)、P−SiO膜(400nm)を順次堆積させた後に、CMP技術により500nm程度(大面積配線上の研磨量)研磨して、ゲート電極4等によって生じた素子段差を平坦化している。
プラグ7は、スパッタによるチタン膜(10nm)、窒化チタン膜(50nm)、CVDによるタングステン膜を順次堆積させた後、CMPによって加工してある。
シングルダマシン配線10は、スパッタによる窒化タンタル膜(15nm)、タンタル膜(15nm)、銅膜(80nm)、メッキ法による銅膜(500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMP技術によって形成している。
シングルダマシン配線10の隣接間スペースが小さい部分に空洞(Air−Gap)45を有する層間絶縁膜50を貫通するビア46を介して、シングルダマシン配線49がシングルダマシン配線10に接続されている。この時、シングルダマシン配線10からずれたところに位置しているビア46の下部には、シングルダマシン配線10を形成する際に用いた絶縁膜の一部の膜43が残存している。
本実施例では、ビアと空洞が接触することがないので、ビアのメタルの埋め込み不良による高抵抗化や空洞へのメタルの浸入による寄生容量の増大の問題を防止することができる。
次に、本実施例2の半導体装置の製造方法について、図16から図18を用いて、工程毎に説明する。
実施例1の図3で示した工程の後、有機系絶縁膜であるSiLK膜(第2の絶縁膜)39(400nm)、P−TEOS膜40(100nm)を堆積後、凸形状のレジスト41を形成する。レジスト41は柱状となっており、後の工程で形成するシングルダマシン配線10の上面を露出するための接続孔の形成領域を覆うように形成する。この状態を図16に示す。
次に、レジスト41をマスクにP−TEOS膜40をエッチング加工し、連続して、レジスト41及びP−TEOS膜40をマスクにSiLK膜39をエッチング加工し、SiLK膜からなる犠牲膜ピラー42を形成する。この段階ではSiLK膜表面のP−TEOS膜40は残存している。
次に、シングルダマシン配線10の間のFSG膜9を異方性エッチング加工し、犠牲膜ピラーで覆われていない領域のFSG膜9を除去する。この時犠牲膜ピラー42の下に位置するFSG膜9の一部が残り、FSG膜43が形成される。
次に、無機系絶縁膜であるFSG膜(第3の絶縁膜)(800nm)を成膜する。この時、シングルダマシン配線10の隣接間スペースが小さい部分に空洞45ができるように、FSG膜は、CVD法を用いてカバレジの低い成膜条件で堆積する。また、FSG膜の形成を、CVD法を用いて、形成初期はカバレジの低い成膜条件で行い空洞45を形成し、空洞45が形成された後はカバレジの高い成膜条件で行い犠牲膜ピラー42を埋め込んでも良い。なお、FSG膜は、その表面が犠牲膜ピラー42の上面より高くなるような膜厚まで堆積する必要がある。
次に、FSG膜の表面をCMPにより平坦化するとともに、犠牲膜ピラー42の表面を露出させ、FSG膜からなるビア形成用の層間絶縁膜膜44を形成する。この状態を図17に示す。
次に、NH3プラズマで、犠牲膜ピラー42を選択的に除去することで、シングルダマシン配線10に到達する接続孔を開口する。
次に、エッチポリマー除去洗浄後、Arプラズマで接続孔の底部に露出したシングルダマシン配線10表面の自然酸化膜を除去した後、バリアメタル膜であるスパッタによる窒化タンタル/タンタル膜(15/15nm)、主導体膜であるスパッタ銅膜/メッキ法による銅膜(第2の導体膜)(80/500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMPによって接続孔以外の窒化タンタル/タンタル/銅膜を除去し、ビア46を形成する。この状態を図18に示す。
次に、シングルダマシン配線10を形成した方法と同じ方法で、SiCN/SiC膜47、無機系絶縁膜であるFSG膜48を堆積した後に溝を形成し、この溝内にシングルダマシン配線49を形成したものが、前述の図15である。
尚、図示はしていないが、以上の工程を繰り返すことにより、3層以上の多層のダマシン配線も形成できる。
上述の実施形態では、シングルダマシン配線10,49やビア46の主導体膜としてCuを用いた例を示したが、これに限らず、Al,W,Ag,Au,の内の少なくともいずれか1つの金属を主導体膜として用いても良い。
本実施例では、犠牲膜ピラーを作ることで、隣接するダマシン配線間に形成する空洞をビアから離して形成できるため、合せずれ等が発生しても空洞とビアが接触することがないので、空洞構造を有する下層のダマシン配線上へのビア接続が安定して行うことができる。
尚、上述の実施例において、ダマシン配線10,49等を形成した後、ダマシン配線表面に選択的にメタルキャップ膜としてCoWB合金51,52を形成することで、ダマシン配線10,49等の信頼性を向上することができる。尚、メタルキャップ膜としては、上記CoWB合金に限らず、Co,W,Ni,Cr,Auの内のすくなくともいずれか1つの金属または金属化合物を用いることもできる。この状態を図19に示す。
また、図示はしていないが、ビア46表面にもCoWB合金を形成してもよい。
さらに、図14に示したように、P−SiN膜を用いたハードマスク加工を適用することも可能である。
図20は、本発明の実施例3の半導体装置の要部を示す断面図である。
半導体基板1主面をフィールド絶縁膜2によって各素子領域に分離し、各素子領域にはソース領域、ドレイン領域等の拡散層3が形成され、ソース領域、ドレイン領域3の間の半導体基板1主面上にはゲート絶縁膜(図示せず)を介して多結晶シリコンからなるゲート電極4が形成され、ゲート電極4の側面は側壁絶縁膜5によって覆われている。
半導体基板1主面に形成された拡散層3或いはゲート電極4は、層間絶縁膜6を貫通するプラグ7の一端に接続され、プラグ7の他端は層間絶縁膜6を介して積層されたシングルダマシン配線10に接続されている。層間絶縁膜6は、P−SiN膜(50nm)、HDP−SiO膜(400nm)、P−SiO膜(400nm)を順次堆積させた後に、CMP技術により500nm程度(大面積配線上の研磨量)研磨して、ゲート電極4等によって生じた素子段差を平坦化している。
プラグ7は、スパッタによるチタン膜(10nm)、窒化チタン膜(50nm)、CVDによるタングステン膜を順次堆積させた後、CMPによって加工してある。
シングルダマシン配線10は、スパッタによる窒化タンタル膜(15nm)、タンタル膜(15nm)、銅膜(80nm)、メッキ法による銅膜(500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMP技術によって形成している。
シングルダマシン配線10の隣接間スペースが小さい部分に空洞(Air−Gap)55を有する層間絶縁膜54を貫通するビア56を介して、シングルダマシン配線59がシングルダマシン配線10に接続されている。この時、シングルダマシン配線10からずれたところに位置しているビア56の下部には、シングルダマシン配線10を形成する際に用いた絶縁膜9の一部の膜43が残存している。
また、層間絶縁膜54とシングルダマシン配線10及びビア56との間には絶縁膜53が存在する。
本実施例では、ビアと空洞が接触することがないので、ビアのメタルの埋め込み不良による高抵抗化や空洞へのメタルの浸入による寄生容量の増大の問題を防止することができる。
次に、本実施例3の半導体装置の製造方法について、図21から図23を用いて、工程毎に説明する。
実施例2の図16で示した工程の後、レジスト41をマスクにP−TEOS膜40をエッチング加工し、レジスト41及びP−TEOS膜40をマスクにSiLK膜39をエッチング加工し、SiLK膜からなる犠牲膜ピラー60を形成する。この段階ではSiLK膜表面のP−TEOS膜40は残存している。
次に、シングルダマシン配線10の間のFSG膜9を異方性エッチング加工し、犠牲膜ピラーで覆われていない領域のFSG膜9を除去する。この時犠牲膜ピラー60の下に位置するFSG膜9の一部が残り、FSG膜43が形成される。
次に、SiC膜61(10nm)及びポーラスSiOC膜(第3の絶縁膜)62(800nm)を堆積する。この状態を図21に示す。この時、シングルダマシン配線10の隣接間スペースが小さい部分に空洞63ができるように、ポーラスSiOC膜62の形成初期はカバレジの低い成膜条件で堆積する。また、ポーラスSiOC膜62は、その表面が犠牲膜ピラー60の上面より高くなるような膜厚まで堆積する必要がある。
次に、ポーラスSiOC膜62及びSiC膜61表面をCMPにより平坦化するとともに、犠牲膜ピラー60の表面を露出させる。この状態を図22に示す。犠牲膜ピラー60の表面のSiC膜61の除去は、CMPで加工してもいいし、選択的エッチで加工してもかまわない。
次に、NH3プラズマで、犠牲膜ピラー60を選択的に除去することで、シングルダマシン配線10に到達する接続孔を開口する。
次に、エッチポリマー洗浄後、Arプラズマで接続孔の底部に慮出したシングルダマシン配線10表面の自然酸化膜を除去した後、バリアメタル膜であるスパッタによる窒化タンタル/タンタル膜(15/15nm)、主導体膜であるスパッタ銅膜/メッキ法による銅膜(第2の導体膜)(80/500nm)を順次堆積させた後、水素雰囲気で400℃、2分の熱処理を行い、CMPによって接続孔以外の窒化タンタル/タンタル/銅膜を除去し、ビア64を形成する。この状態を図23に示す。
次に、シングルダマシン配線10を形成した方法と同じ方法で、SiCN/SiC膜57、無機系絶縁膜であるFSG膜58を堆積した後に溝を形成し、この溝内にシングルダマシン配線59を形成したものが、前述の図20である。
尚、図示はしていないが、以上の工程を繰り返すことにより、3層以上の多層のダマシン配線も形成できる。
本実施例では、犠牲膜ピラーを作ることで、隣接するダマシン配線間に形成する空洞をビアから離して形成できるため、合せずれ等が発生しても空洞とビアが接触することがないので、空洞構造を有する下層のダマシン配線上へのビア接続が安定して行うことができる。
また、ビア64がポーラスSiOC膜62と直接接触しない構造なので、ポーラスSiOC膜62からの脱ガスによるビアポイズニング不良を防止することができる。
尚、ダマシン配線表面へのキャップメタル膜形成や、ハードマスク加工等については記載しないが、実施例1及び実施例2と同様に適用可能であることは勿論である。
以上、本発明者によってなされた発明を、前記実施例に基づき具体的に説明したが、本発明は、前記実施例に限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは勿論である。
例えば、ゲート電極はポリシリコンに限定されるものではなく、TiやCoを用いたシリサイドゲート電極を用いても、本発明は実施可能である。
本発明の実施例1の半導体装置の要部を示す縦断面図。 従来の空洞構造を有する多層の埋込配線の問題点を示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例1の半導体装置の要部を示す縦断面図。 本発明の実施例2の実施例2の半導体装置の要部を示す縦断面図。 本発明の実施例2の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例2の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例2の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例2の半導体装置の要部を示す縦断面図。 本発明の実施例3の半導体装置の要部を示す縦断面図。 本発明の実施例3の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例3の半導体装置の配線層形成を工程毎に示す縦断面図。 本発明の実施例3の半導体装置の配線層形成を工程毎に示す縦断面図。
符号の説明
1…半導体基板,2…フィールド絶縁膜,3…拡散層,4…ゲート電極,5…側壁絶縁膜,6…層間絶縁膜,7…プラグ,8…SiCN/SiC膜,9…FSG膜,10…シングルダマシン配線,11…SiLK膜,12…P−TEOS膜,13…レジスト,14…犠牲膜ピラー,15…FSG膜,16…FSG膜,17…空洞,18…層間絶縁膜,20…レジスト,21…溝,22…接続孔,23…デュアルダマシン配線,24…層間絶縁膜16の一部の膜,25…空洞,26…層間絶縁膜,27…デュアルダマシン配線,28…空洞,29…層間絶縁膜,30…デュアルダマシン配線,31…層間絶縁膜26の一部の膜,32…CoWB合金,33…CoWB合金,34…犠牲膜ピラーの下部,35…溝,36…接続孔,37…P−SiN膜,38…SiNハードマスク,39…SiLK膜,40…P−TEOS膜,41…レジスト,42…犠牲膜ピラー,43…FSG膜,44…層間絶縁膜,45…空洞,46…ビア,47…SiCN/SiC膜,48…層間絶縁膜,49…シングルダマシン配線,50…層間絶縁膜,51…CoWB合金,52…CoWB合金,53…絶縁膜,54…層間絶縁膜,55…空洞,56…ビア,57…SiCN/SiC膜,58…層間絶縁膜,59…シングルダマシン配線,60…犠牲膜ピラー,61…SiC膜,62…ポーラスSiOC膜,63…空洞,64…ビア,65…シングルダマシン配線,66ビア部,67…空洞。

Claims (20)

  1. 以下の工程を有することを特徴とする半導体集積回路装置の製造方法:
    (a)半導体基板の上方に第1の絶縁膜を形成する工程、
    (b)前記第1の絶縁膜に複数の配線溝を形成する工程、
    (c)前記複数の配線溝のそれぞれの内部を含む前記第1の絶縁膜上に第1の導体膜を形成する工程、
    (d)前記複数の配線溝の外部の前記第1の導体膜を除去することによって、前記複数の配線溝のそれぞれの内部に前記第1の導体膜からなる配線を形成する工程、
    (e)前記第1の絶縁膜及び前記配線上に、前記第1の絶縁膜と異なる材料からなる第2の絶縁膜を形成する工程、
    (f)後の工程で形成される前記配線の上面を露出する接続孔の形成領域を覆うマスクを用いて前記第2の絶縁膜をエッチングすることにより、前記接続孔の形成領域に前記第2の絶縁膜からなる犠牲膜ピラーを形成する工程、
    (g)前記犠牲膜ピラーで覆われていない領域の前記第1の絶縁膜を選択的に除去し、前記犠牲膜ピラーの下部に前記第1の絶縁膜を残す工程、
    (h)前記第1の絶縁膜が除去された前記配線間のスペース領域に空洞を残しつつ、前記配線及び前記犠牲膜ピラー上に、前記第2の絶縁膜と異なる材料からなる第3の絶縁膜を形成する工程、
    (i)前記犠牲膜ピラー上の前記第3の絶縁膜を除去し、前記犠牲膜ピラーの上面を露出する工程、
    (j)前記犠牲膜ピラーを除去し、前記配線の上面を露出する接続孔を形成する工程、
    (k)前記接続孔の内部に第2の導体膜を形成する工程。
  2. 請求項1に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜と前記第3の絶縁膜が同じ材料からなることを特長とする半導体集積回路装置の製造方法。
  3. 請求項2に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜と前記第3の絶縁膜が無機系絶縁膜であり、前記第2の絶縁膜が有機系絶縁膜であることを特長とする半導体集積回路装置の製造方法。
  4. 請求項3に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜と前記第3の絶縁膜がFSG膜であり、前記第2の絶縁膜がSiLK膜であることを特長とする半導体集積回路装置の製造方法。
  5. 請求項1に記載の半導体集積回路装置の製造方法において、前記第3の絶縁膜がポーラスSiOC膜であり、前記(g)工程と前記(h)工程の間に、前記配線及び前記犠牲膜ピラー上にSIC膜を形成する工程を更に有することを特長とする半導体集積回路装置の製造方法。
  6. 請求項5に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜がFSG膜であり、前記第2の絶縁膜がSiLK膜であることを特長とする半導体集積回路装置の製造方法。
  7. 請求項1に記載の半導体集積回路装置の製造方法において、前記第1の導体膜がAl,Cu,W,Ag,Auの内の少なくともいづれか一つの金属からなることを特長とする半導体集積回路装置の製造方法。
  8. 請求項1に記載の半導体集積回路装置の製造方法において、前記第2の導体膜がAl,Cu,W,Ag,Auの内の少なくともいづれか一つの金属からなることを特長とする半導体集積回路装置の製造方法。
  9. 請求項1に記載の半導体集積回路装置の製造方法において、前記(d)工程と前記(e)工程の間に、前記配線上にメタルキャップ膜を形成する工程を更に有し、前記メタルキャップ膜がCo,W,Ni,Cr,Auの内の少なくともいづれか一つの金属または金属化合物からなることを特長とする半導体集積回路装置の製造方法。
  10. 請求項1に記載の半導体集積回路装置の製造方法において、前記(h)工程の前記第3の絶縁膜の形成を、形成初期はカバレジの低い成膜条件で行い、前記空洞が形成された後はカバレジの高い成膜条件で行うことを特長とする半導体集積回路装置の製造方法。
  11. 以下の工程を有することを特徴とずる半導体集積回路装置の製造方法:
    (a)半導体基板の上方に第1の絶縁膜を形成する工程、
    (b)前記第1の絶縁膜の一部を除去することによって、後の工程で形成される第1のダマシン配線用の複数の第1の配線溝を形成する工程、
    (c)前記複数の第1の配線溝のそれぞれの内部を含む前記第1の絶縁膜上に第1の導体膜を形成する工程、
    (d)前記複数の第1の配線溝の外部の前記第1の導体膜を除去することによって、前記複数の第1の配線溝のそれぞれの内部に前記第1の導体膜からなる前記第1のダマシン配線を形成する工程、
    (e)前記第1の絶縁膜及び前記第1のダマシン配線上に、前記第1の絶縁膜と異なる材料からなる第2の絶縁膜を形成する工程、
    (f)後の工程で形成される第2のダマシン配線のビア部用の複数の接続孔の形成領域を覆うマスクを用いて前記第2の絶縁膜をエッチングすることにより、前記複数の接続孔の形成領域に前記第2の絶縁膜からなる複数の犠牲膜ピラーを形成する工程、
    (g)前記犠牲膜ピラーで覆われていない領域の前記第1の絶縁膜を選択的に除去し、前記犠牲膜ピラーの下部に前記第1の絶縁膜を残す工程、
    (h)前記第1の絶縁膜が除去された前記配線間のスペース領域に空洞を残しつつ、前記配線及び前記犠牲膜ピラー上に、前記第2の絶縁膜と異なる材料からなる第3の絶縁膜を形成する工程、
    (i)前記犠牲膜ピラー上の前記第3の絶縁膜を除去し、前記複数の犠牲膜ピラーの上面を露出する工程、
    (j)前記第3の絶縁膜の一部及び前記複数の犠牲膜ピラーの上部を除去することによって、後の工程で形成される前記第2のダマシン配線の配線部用の複数の第2の配線溝を形成する工程、
    (k)前記複数の犠牲膜ピラーの下部を除去することによって、前記複数の接続孔を形成する工程、
    (l)前記複数の第2の配線溝及び前記複数の接続孔のそれぞれの内部を含む前記第3の絶縁膜上に第2の導体膜を形成する工程、
    (m)前記複数の第2の配線溝及び前記複数の接続孔の外部の前記第2の導体膜を除去することによって、前記複数の第2の配線溝及び前記複数の接続孔のそれぞれの内部に前記第2の導体膜からなる前記第2のダマシン配線を形成する工程。
  12. 請求項11に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜と前記第3の絶縁膜が同じ材料からなることを特長とする半導体集積回路装置の製造方法。
  13. 請求項12に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜と前記第3の絶縁膜が無機系絶縁膜であり、前記第2の絶縁膜が有機系絶縁膜であることを特長とする半導体集積回路装置の製造方法。
  14. 請求項13に記載の半導体集積回路装置の製造方法において、前記第1の絶縁膜と前記第3の絶縁膜がFSG膜であり、前記第2の絶縁膜がSiLK膜であることを特長とする半導体集積回路装置の製造方法。
  15. 請求項11に記載の半導体集積回路装置の製造方法において、前記第1の導体膜及び前記第2の導体膜がAl,Cu,W,Ag,Auの内の少なくともいづれか一つの金属からなることを特長とする半導体集積回路装置の製造方法。
  16. 請求項11に記載の半導体集積回路装置の製造方法において、前記(d)工程と前記(e)工程の間及び前記(m)工程の後に、前記第1のダマシン配線及び前記第2のダマシン配線上にメタルキャップ膜を形成する工程を更に有し、前記メタルキャップ膜がCo,W,Ni,Cr,Auの内の少なくともいづれか一つの金属または金属化合物からなることを特長とする半導体集積回路装置の製造方法。
  17. 請求項11に記載の半導体集積回路装置の製造方法において、前記(h)工程の前記第3の絶縁膜の形成を、形成初期はカバレジの低い成膜条件で行い、前記空洞が形成された後はカバレジの高い成膜条件で行うことを特長とする半導体集積回路装置の製造方法。
  18. 請求項11に記載の半導体集積回路装置の製造方法において、前記(i)工程の前記複数の第2の配線溝の形成は、前記複数の犠牲膜ピラーの上部を除去した後に前記第3の絶縁膜の一部を除去することを特徴とする半導体集積回路装置の製造方法。
  19. 請求項11に記載の半導体集積回路装置の製造方法において、前記(i)工程の前記複数の第2の配線溝の形成を、第4の絶縁膜からなるハードマスクを用いて行うことを特徴とする半導体集積回路装置の製造方法。
  20. 請求項19に記載の半導体集積回路装置の製造方法において、前記第4の絶縁膜がSiN膜であることを特徴とする半導体集積回路装置の製造方法。
JP2005331020A 2005-11-16 2005-11-16 半導体集積回路装置の製造方法 Expired - Fee Related JP4918778B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2005331020A JP4918778B2 (ja) 2005-11-16 2005-11-16 半導体集積回路装置の製造方法
US11/598,084 US7553756B2 (en) 2005-11-16 2006-11-13 Process for producing semiconductor integrated circuit device
CNB200610146568XA CN100477160C (zh) 2005-11-16 2006-11-15 半导体集成电路器件的制造方法
TW095142475A TWI387049B (zh) 2005-11-16 2006-11-16 半導體積體電路裝置之製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005331020A JP4918778B2 (ja) 2005-11-16 2005-11-16 半導体集積回路装置の製造方法

Publications (2)

Publication Number Publication Date
JP2007141985A true JP2007141985A (ja) 2007-06-07
JP4918778B2 JP4918778B2 (ja) 2012-04-18

Family

ID=38041482

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005331020A Expired - Fee Related JP4918778B2 (ja) 2005-11-16 2005-11-16 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (1) US7553756B2 (ja)
JP (1) JP4918778B2 (ja)
CN (1) CN100477160C (ja)
TW (1) TWI387049B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009094519A (ja) * 2007-10-09 2009-04-30 Applied Materials Inc Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置
JP2012038961A (ja) * 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
KR101430868B1 (ko) 2013-01-18 2014-08-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 패턴 붕괴 완화
US8872304B2 (en) 2012-02-10 2014-10-28 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
KR20160110007A (ko) * 2015-03-11 2016-09-21 삼성전자주식회사 스택된 다마신 구조를 포함하는 반도체 장치 및 이의 제조 방법

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0714148D0 (en) * 2007-07-19 2007-08-29 Lipman Steven interacting toys
JP2009267347A (ja) * 2008-03-31 2009-11-12 Toshiba Corp 半導体装置およびその製造方法
JP2010258213A (ja) * 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120067525A (ko) 2010-12-16 2012-06-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5957840B2 (ja) * 2011-10-04 2016-07-27 ソニー株式会社 半導体装置の製造方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9490163B2 (en) * 2012-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Tapered sidewall conductive lines and formation thereof
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103066014B (zh) * 2012-11-06 2017-11-07 上海集成电路研发中心有限公司 一种铜/空气隙的制备方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015060918A (ja) * 2013-09-18 2015-03-30 株式会社東芝 半導体装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
WO2016105344A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Via self alignment and shorting improvement with airgap integration capacitance benefit
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9852987B2 (en) 2015-02-23 2017-12-26 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102403741B1 (ko) 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10879165B2 (en) * 2015-10-16 2020-12-29 Sony Corporation Semiconductor device and method for manufacturing semiconductor device with low-permittivity layers
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
KR102460075B1 (ko) * 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10157777B2 (en) * 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
US10211146B2 (en) 2016-05-12 2019-02-19 Globalfoundries Inc. Air gap over transistor gate and related method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
TWI647807B (zh) * 2017-01-24 2019-01-11 旺宏電子股份有限公司 內連線結構及其製造方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108550564B (zh) * 2018-06-12 2024-06-07 长江存储科技有限责任公司 形成导电互连结构的方法、导电互连结构以及三维存储器
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10707120B1 (en) * 2019-04-03 2020-07-07 Tower Semiconductor Ltd. SOI devices with air gaps and stressing layers
CN110148583B (zh) * 2019-05-14 2021-06-18 上海华虹宏力半导体制造有限公司 形成金属互连结构的方法
US11417749B2 (en) * 2019-06-14 2022-08-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with airgap and method of forming
US11049768B2 (en) * 2019-10-29 2021-06-29 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
JP2021150341A (ja) * 2020-03-16 2021-09-27 キオクシア株式会社 半導体装置および半導体装置の製造方法
KR20220143253A (ko) * 2021-04-16 2022-10-25 주식회사 디비하이텍 알에프 스위치 소자
US20230154852A1 (en) * 2021-11-17 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Forming Dielectric Film With High Resistance to Tilting

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04271121A (ja) * 1991-01-21 1992-09-28 Nippon Steel Corp コンタクトホールの形成方法
JP2000058651A (ja) * 1997-11-06 2000-02-25 Matsushita Electron Corp 多層配線を有する半導体装置及びその製造方法
JP2002190523A (ja) * 2000-10-13 2002-07-05 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20030077893A1 (en) * 1999-12-29 2003-04-24 Oliver Demolliens Interconnection structure with insulation comprising cavities

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2773729B2 (ja) * 1996-02-29 1998-07-09 日本電気株式会社 半導体装置の製造方法
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6890828B2 (en) * 2003-06-05 2005-05-10 International Business Machines Corporation Method for supporting a bond pad in a multilevel interconnect structure and support structure formed thereby

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04271121A (ja) * 1991-01-21 1992-09-28 Nippon Steel Corp コンタクトホールの形成方法
JP2000058651A (ja) * 1997-11-06 2000-02-25 Matsushita Electron Corp 多層配線を有する半導体装置及びその製造方法
US20030077893A1 (en) * 1999-12-29 2003-04-24 Oliver Demolliens Interconnection structure with insulation comprising cavities
JP2002190523A (ja) * 2000-10-13 2002-07-05 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009094519A (ja) * 2007-10-09 2009-04-30 Applied Materials Inc Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置
JP2012038961A (ja) * 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8872304B2 (en) 2012-02-10 2014-10-28 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US9379055B2 (en) 2012-02-10 2016-06-28 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
KR101430868B1 (ko) 2013-01-18 2014-08-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 패턴 붕괴 완화
KR20160110007A (ko) * 2015-03-11 2016-09-21 삼성전자주식회사 스택된 다마신 구조를 포함하는 반도체 장치 및 이의 제조 방법
KR102412190B1 (ko) 2015-03-11 2022-06-22 삼성전자주식회사 스택된 다마신 구조를 포함하는 반도체 장치 및 이의 제조 방법

Also Published As

Publication number Publication date
CN1967800A (zh) 2007-05-23
TWI387049B (zh) 2013-02-21
TW200805563A (en) 2008-01-16
US7553756B2 (en) 2009-06-30
JP4918778B2 (ja) 2012-04-18
US20070111508A1 (en) 2007-05-17
CN100477160C (zh) 2009-04-08

Similar Documents

Publication Publication Date Title
JP4918778B2 (ja) 半導体集積回路装置の製造方法
JP5430946B2 (ja) 相互接続構造体形成方法
JP5089575B2 (ja) 相互接続構造体及びその製造方法
JP3887282B2 (ja) 金属−絶縁体−金属キャパシタ及びダマシン配線構造を有する半導体素子の製造方法
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
JP2011511469A (ja) 高い漏れ抵抗を有する相互接続構造体
US7651941B2 (en) Method of manufacturing a semiconductor device that includes forming a via hole through a reaction layer formed between a conductive barrier and a wiring
JP2007208170A (ja) 半導体装置及びその製造方法
JP5117112B2 (ja) 半導体装置
JP2007294625A (ja) 半導体装置の製造方法
JP5214913B2 (ja) 半導体装置
JP5613272B2 (ja) 半導体装置
JP4525534B2 (ja) 半導体装置の製造方法
JP2007335578A (ja) 半導体装置及びその製造方法
JP4219215B2 (ja) 電子デバイスの製造方法
JP2006114724A (ja) 半導体装置及びその製造方法
JP2009027048A (ja) 半導体装置の製造方法
KR100889555B1 (ko) 반도체 소자의 인덕터 제조방법
JP2008103575A (ja) 半導体装置及び半導体装置の製造方法
JP5424551B2 (ja) 半導体装置
JP2006294941A (ja) 半導体装置及びその製造方法
KR20100036008A (ko) 반도체 소자의 금속배선 형성방법
JP2009170665A (ja) 半導体装置および半導体装置の製造方法
KR20070013894A (ko) 반도체 소자의 금속 배선 형성 방법
JP2009266999A (ja) 半導体装置、およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150210

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees