KR20050034566A - 실리콘 탄화물막을 제조하는 방법 - Google Patents

실리콘 탄화물막을 제조하는 방법 Download PDF

Info

Publication number
KR20050034566A
KR20050034566A KR1020040080703A KR20040080703A KR20050034566A KR 20050034566 A KR20050034566 A KR 20050034566A KR 1020040080703 A KR1020040080703 A KR 1020040080703A KR 20040080703 A KR20040080703 A KR 20040080703A KR 20050034566 A KR20050034566 A KR 20050034566A
Authority
KR
South Korea
Prior art keywords
silicon carbide
flow rate
film
gas
mixing ratio
Prior art date
Application number
KR1020040080703A
Other languages
English (en)
Inventor
카말키소레고운다
사토키요시
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/682,180 external-priority patent/US6919270B2/en
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20050034566A publication Critical patent/KR20050034566A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

실리콘, 탄소, 수소를 포함하는 원료 물질 가스(raw material gas)와 비활성가스와 선택적으로 수소 소스 가스를, 상기 원료 물질 가스 대 상기 비활성가스를 소정의 혼합비로 하여 반응 챔버내로 도입하는 단계와; 상기 혼합비에서, 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 유전율이 약 4.0 또는 이 보다 높은 경화가능한(curable) 실리콘 탄화물막(silicon carbide film)을 반도체 기판상에 형성하는 단계와; 원료 물질 가스가(선택적으로 수소 소스 가스 포함) 감소한 혼합비에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율을 갖도록 하는 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법이 제공된다.

Description

실리콘 탄화물막을 제조하는 방법{METHOD OF MANUFACTURING SILICON CARBIDE FILM}
본 발명은 플라즈마 CVD를 사용한 증착방법에 관한 것이다. 더 자세하게는, 본 발명은 구리 배선에서 식각정지막으로 작용하는 실리콘 탄화물막에 관한 것이다.
종래, CPU, 메모리, 시스템 LSI를 포함한 LSI장치에서, 알루미늄 합금이 금속 상호연결(interconnect)에 사용되어 왔다. 알루미늄 상호연결을 절연하기 위해, 실리콘 산화물막이 사용되었다; 일부 LSI장치에는 불소계 실리콘 산화물막이 사용되었다.
근년에 알루미늄보다 낮은 전기 저항을 가지는 구리가 LSI 장치의 동작을 빠르게 하기 위해 금속 배선의 재료로 채용되고 있다. 또한 저유전율을 가지는 탄소계 실리콘 산화물막이 신호 지연을 야기하는 상호연결 용량을 감소시키기 위해 층산 절연막으로 채용되기 시작했다. 이러한 구리 배선을 가지는 LSI장치에서, 탄소계 실리콘 산화물막의 장벽층내에 구리 배선을 위한 트렌치나 비아를 형성하기 위해 식각정지막이 사용된다. 과거에는, 실리콘 질화물막이 식각정지막으로 사용되었는데, 이는 실리콘 질화물막이 탄소계 실리콘 산화막에 비해 건식 식각 속도가 느리고 구리확산을 방지하는 기능이 있기 때문이다. 그러나 실리콘 질화물막의 유전상수는 약 7정도로 높아, 탄소계 실리콘 산화물막이 적용되어도 실리콘 질화물막이 상호연결 용량을 전반적으로 증가시키는 문제가 있다.
이러한 문제를 해결하기 위해, 식각정지막으로 실리콘 탄화물막을 사용하는 기술이 개발되었고, 이는 미국특허 제 5,800,878호에 개시되어 있다.
실리콘 탄화물막의 유전율은 대략 5이고, 대략 3정도의 유전율을 가지는 탄소계 실리콘 산화물막과 같이 구리배선을 사용하는 LSI 장치에 적용된다.
일반적으로 실리콘 탄화물막으로 불리는 것은 여러 다른 조성을 가진다. 그 중 하나가 실리콘, 탄소, 수소를 포함하는 실리콘 탄화물막이다. 이 막은 산소 또는 수분을 쉽게 흡수하는 특성을 가지고 있으며 대기중에 노출되면 막응력 또는 유전율이 변화되는 단점이 있다. 또한, 이 막은 높은 누설전류를 가지고 있으며 전기적 격리(isolation)이 불량하다. 이러한 문제를 해결하기 위해, 막표면을 비활성 플라즈마 가스로 처리하여 수분이나 산소가 막 표면에 침투하지 못하도록 하는 기술이 개발되었으며, 이러한 기술은 예를 들어 미국특허공개 제 2002-0054962호에 개시되어 있다. 실리콘 탄화물과 같은 탄소계 물질의 산화를 최소화하기 위해 헬륨이나 아르곤과 같은 비활성가스 플라즈마를 이용하는 방법은 또한 일본특허공개 2001/0060584에 개시되어 있다.
그러나 이 방법은 막의 표면의 품질만을 향상시킬 뿐이며, 실리콘-탄소-수소(SiCH)막의 내부특성을 향상시키지 못하기 때문에, 막은 여전히 높은 누설전류를 가지면 전기적 격리(isolation)도 불량하다. 이 막의 유전율은 약 4.5 내지 5이어서 이 막을 더 빠르게 작동시키려는 LSI 장치에 적용하는 것은 어렵다.
서로 다른 조성을 가진 실리콘 탄화물막으로는, 실리콘, 탄소, 질소, 수소를 포함하는 실리콘 탄화물막, 실리콘, 탄소, 산소, 수소를 가지는 실리콘 탄화물막과 그 밖의 것이 있으며, 예를 들어 미국특허공개 제 2001-0030369호, 미국특허공개 제 2002-0027286호, 미국특허공개 제 2001-0051445호, 미국특허공개 제 2001-0031563호에 개시되어 있다.
이러한 실리콘 탄화물막은 위에서 언급한 SiCH막과 비교하여 낮은 누설전류와 우수한 전기적 격리를 제공한다. 특히 SiCOH막은 산소 비율에 따라 약 4.2정도의 낮은 유전율을 얻을 수 있다. 그러나 실리콘 탄화물막은 탄소계 실리콘 산화물막과 화학적 특성이 비슷하여 식각 속도차이가 작아(3 내지 4배 내), 식각 정지성능이 낮다는 문제가 있다. 특히 산소 함량을 증가시켜 유전율을 낮춘 SiCOH막은 상호연결에 사용되는 탄소계 실리콘 산화물막과 비슷한 화학조성을 가지고 있기 때문에 그 식각속도는 서로 비슷하다. 따라서 C4F8+O+Ar가스를 사용하는 반응성 이온 식각(reactive ion etching, RIE)에 의해 구리 배선을 위한 비아나 트렌치를 형성하기 가 어렵다. 추가로, LSI 장치의 동작을 가속시키기 위해서, 4 또는 이보다 낮은 저유전상수를 달성할 것이 식각정지막에 요구되고 있다.
따라서 본발명의 목적은 약 4 또는 그 이하의 유전율을 가지고, 대기중에 노출되어도 막 응력가 변하지 않으며, 누설전류가 낮고, 탄소계 실리콘 산화물막보다 건식식각속도가 매우 낮고, 구리배선을 위한 식각정지막으로 사용될 수 있는 실리콘 탄화물막을 제조하는 방법을 제공하는 것이다. 본 발명의 또 다른 목적은 식각정지막에 적합한 특성을 가지는 실리콘 탄화물막을 제조하는 방법을 제공하는 것이다. 본 발명의 또 다른 목적은 대기에서 안정하고 환경변화에 저항성이 커서 실제사용에 충분한 시간동안 특성을 유지하는 실리콘 탄화물막을 제조하는 방법을 제공하는 것이다. 본 발명의 또다른 목적은 복잡한 공정없이, 바람직하게는 높은 효율을 유지하면서 막 형성 공정에 중단없이 실리콘 탄화물막을 제조하는 방법을 제공하는 것이다. 본 발명의 다른 목적은 두께방향과 직경방향 모두에서 균일하게 환경적으로 안정한 실리콘 탄화물막을 제조하는 방법을 제공하는 것이다. 본 발명의 또 다른 목적은 실리콘 탄화물막을 식각정지층으로 사용하여 반도체 장치를 제조하는 방법을 제공하는 것이다. 또한 본 발명의 다른 목적은 반도체 장치에서 구리 배선을 형성하는 방법을 제공하는 것이다.
본 발명은 낮은 누설전류, 저유전율을 가지며 또한 압축 응력(compressive stress)을 가지는 실리콘 탄화물막을 기판상에 증착하는 것이다.
위에서 언급한 목적을 달성하기 위하여, 본 발명에 따른 실리콘 탄화물막으 제조방법은 다음의 두 단계를 포함한다. 첫번째 단계는 환경적으로 불안정하고, 경화되지 않았고, 일부-경화되었고, 경화가능하고(curable), 반응성이 있고, 불완전하고, 또는 중간체(즉, 막형성반응이 완성되지 않음)인 실리콘 탄화물막을 형성하는 것이다. 이러한 용어는 서로 바꾸어서 사용할 수 있다. 두번째 단계는 막형성반응을 완료하여 실리콘 탄화물막을 경화하는 것이다. 첫번째 단계와 두번째 단계는 동일한 반응기에서 연속적으로 수행된다. 본 발명에서, Si-C-H 타입을 포함하여 어떠한 적당한 실리콘 탄화물막의 타입이라도 형성가능하다
본발명의 일실시예에서 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법은 (a) 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를, 상기 원료 물질 가스 대 상기 비활성가스를 소정의 혼합비로 하여 반응 챔버내로 도입하는 단계와; (b) 상기 혼합비에서, 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 유전율이 약 4.0 또는 이 보다 높은(예를 들어 4.0 내지 4.5, 바람직하게는 4.0 내지 4.2) 경화가능한(curable) 실리콘 탄화물막을 반도체 기판상에 형성하는 단계와; (c) 상기 (b) 단계보다 감소한 혼합비에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율(예를 들어 3.5 내지 4.0, 바람직하게는 3.7 내지 4.0)을 갖도록 하는 단계를 포함한다. 상기에서 (a)단계와 (b)단계는 막형성단계(제1단계)에 해당하고, (c)단계는 경화단계(제2단계)에 해당한다. 제2단계에서도 비록 원료 물질 가스가 어느정도 사용되어 막이 형성되지만, 제2단계는 주로 막을 경화하기 위한 것이며, 막을 형성하려는 것이 아니다. 그러나 제2단계의 공정조건 그 자체는 제1단계의 공정조건과 유사할 수 있고, 제1단계와 제2단계는 연속적으로 수행된다. 비록 추가적인 막의 증착을 배재하는 것은 아니지만, 제2단계는 막의 경화를 위한 것이기 때문에 제2단계에서 막상에 추가의 막이 증착될 필요는 없다. 제2단계를 통하여, 제1단계에서 형성된 막은 직경방향뿐만아니라 두께 방향으로도 완전히 경화될 수 있다.
다른 관점에서 본발명은, (Ⅰ) 반응챔버내로 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를 소정의 유량으로 도입하고, 상기 반응챔버내의 반응 영역에 RF 전력을 인가하여, 상기 반응챔버내에 위치한 반도체 기판상에 약 4.0이상의 유전율을 가지는 경화가능한 실리콘 탄화물막을 형성하는 단계와; (Ⅱ) 상기 반응 영역에 계속하여 RF 전력을 인가하면서, 상기 원료 물질 가스 대 상기 비활성가스의 혼합비를 불연속적 또는 연속적으로 감소시킨후 유지하여, 약 4.0보다 크지 않은 유전율을 가지도록 상기 실리콘 탄화물막을 경화하는 단계를 포함하는 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법을 제공한다.
일실시예에서, 상기 (a)단계 또는 (Ⅰ)단계에서, 원료 물질 가스와 비활성가스에 추가하여, 수소 소스 가스가 반응챔버에 도입될 수 있다. 수소 소스 가스를 첨가함으로써, 형성되는 실리콘 탄화물막은 낮은 유전율, 낮은 누설전류, 압축 응력를 가질 수 있다.
위에서 수소 소스 가스 유량은 (c)단계나 (Ⅱ)단계에서 변화할 수 있는데, 이는 수소 소스 가스 유량을 원료 물질 가스 유량과 동기시키거나(synchronizing) 원료 물질 가스 유량과 독립적으로 (b)단계나 (Ⅰ)단계보다 유량을 줄임으로서 이루어진다. 실시예에서, 수소 소스 가스 유량은 (c)단계나 (Ⅱ)단계의 마지막에 0으로 감소할 수 있다.
또 다른 관점에서, 본 발명은, (A) 실리콘, 탄소, 수소를 포함하는 원료 물질 가스, 수소 소스 가스, 비활성가스를, 상기 원료 물질 가스, 상기 수소 소스 가스, 상기 비활성가스의 소정의 혼합 포뮬레이션으로 반응 챔버내로 도입하는 단계와; (B) 상기 혼합비에서 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 경화가능한(curable) 실리콘 탄화물막을 반도체 기판상에 형성하는 단계와; (C) 상기 (B) 단계보다 상기 수소 소스 가스 유량이 감소한 혼합 포뮬레이션에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율을 갖도록 하는 단계를 포함하는 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법을 제공한다. 위에서 RF 전력은 바람직하게는 저-주파수 전력과 고-주파수 전력으로 이루어지며, 저-주파수 전력 대 고-주파수 전력의 비는 1/2보다 작다.
다른 관점에서, 본 발명은,(1) 실리콘, 탄소, 산소, 수소를 포함하는 가스와 선택적으로 비활성가스를 사용하여 플라즈마 CVD방법으로 반도체 기판상에 유전막을 형성하는 단계와, (2) 상기 유전막 상에 식각 정지층으로서 상기에 언급한 방법으로 실리콘 탄화물막을 형성하는 단계와, (3) 상기 기판을 구리 배선을 위해 식각하는 단계를 포함하는 플라즈마 CVD방법에 의해 반도체 기판상에 상호연결(interconnect)을 형성하는 방법을 제공한다. 위에서 유전막은 Si-C-O-H물질로 만들어지고, 식각 정지층은 Si-C-H 물질로 만들어 질 수 있다.
또한 본 발명은, (ⅰ) 반도체 기판상에 다중층을 형성하는 단계와, (ⅱ) 식각을 통해 상기 다중층의 층간 연결을 위한 홀을 형성하는 단계와, (ⅲ) 상기 홀에 구리를 증착하는 단계와, (ⅳ) 상기 다중층의 상부에서 과잉의 구리를 제거하는 단계와, (ⅴ) 상기 다중층의 상부에 상기에 언급한 방법에 따른 실리콘 탄화물막을 증착하는 단계를 포함하며, 상기 구리는 상기 실리콘 탄화물막으로 덮혀있는 반도체 기판상에 구리층과 접촉하고 있는 막을 포함하는 층간 구조를 제조하는 방법을 제공한다. 위에서, 일실시예에서, 상기 (ⅰ)단계에서, 상기 다중층은 상기 기판상에 순차적으로 적층된 하부 식각정지층, 하부 저유전층, 중간 식각정지층, 상부 저유전층, 상부 식각정지층을 포함하며, 상기 (ⅱ)단계에서, 상기 홀은 상기 상부 식각정지층 상부의 감광막을 형성하고 상기 감광막을 이용하여 상기 다중층을 식각하여 비아홀과 트렌치를 마련함으로써 형성되며, 상기 (ⅳ)단계에서, 상기 감광막과 상기 상부 식각정지층은 상기 과잉의 구리를 제거할 때 제거될 수 있다. 하부식각정지층, 중간식각정지층, 상부 식각정지층은 상기에 언급한 방법으로 형성된다.
본 발명과 종래기술에 대한 본 발명의 장점을 요약하기 위하여, 본발명의 특정한 목적과 장점을 상기에서 기재하였다. 물론, 모든 목적과 장점이 본발명의 특정한 실시예에 따라 모두 필수적으로 얻어지는 것이 아님을 이해하여야 한다. 따라서 예를 들어, 당업자는 여기 개시된 다른 목적과 장점을 얻지 않고, 여기 개시된 하나의 목적과 장점을 얻으면서 본 발명을 실시할 수 있음을 인식할 것이다.
본발명의 다른 관점, 특징, 장점은 이어지는 바람직한 실시예를 통해 명확히 될 것이다.
본 발명은 이하에서 바람직한 실시예를 참조하여 상세히 설명될 것이다. 그러나 본 발명은 이들 실시예를 단순히 포함하는 것이며 이들 실시예에 한정되지 않는다.
본 발명은 다음의 실시예를 포함하지만 이에 한정되지 않는 다양한 실시예에 적용된다, 여기서 실시예의 단계, 조건, 물질은 작동원리가 유지되는 한 다른 실시예에 제한없이 상호교환가능하고 보완적으로 사용될 수 있다.
위에서와 같이, 본발명의 일실시예에서, 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법은 (a) 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를, 상기 원료 물질 가스 대 상기 비활성가스를 소정의 혼합비로 하여 반응 챔버내로 도입하는 단계와; (b) 상기 혼합비에서, 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 유전율이 약 4.0 또는 이 보다 높은(예를 들어 4.0 내지 4.5, 바람직하게는 4.0 내지 4.2) 경화가능한(curable) 실리콘 탄화물막을 반도체 기판상에 형성하는 단계와; (c) 상기 (b) 단계보다 감소한 혼합비에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율을 갖도록 하는 단계를 포함한다.
상기 경화된 실리콘 탄화물막은 대기중 또는 400℃에서의 응력 변화가 상기 경화가능한 실리콘 탄화물막에 비해 1/2보다 미만(1/3, 1/4, 1/5, 그리고 이들 중 어느 하나를 포함하는 범위를 포함)인 것으로 정의될 수 있다. 경화가능한 실리콘 탄화물막은 반대로 정의될 수 있다.
일실시예에서, 상기 혼합비의 감소는 (ⅰ) 상기 원료 물질 가스의 유량감소, (ⅱ) 상기 비활성 가스의 유량증가, 또는 (ⅲ) 상기 원료 물질 가스의 유량감소 및 상기 비활성 가스의 유량증가로 수행되어진다. 일실시예에서, 혼합비는 혼합비가 불연속적으로 감소한 시점 전후에 일정하다. 혼합비의 변화는 불연속적으로 수행될 수 있다. 즉, 만약 혼합비가 수직축이고 시간이 수평축이면 혼합비는 수직방향으로 움직일 수 있다(즉 전이 기간이 없음). 또한, 혼합비의 변화는 램프-업/램프-다운 방법으로(즉, 선형 변화) 또는 연속적 형태의 변화하는 속도로(로그함수적 또는 지수함수적 변화) 변화할 수 있다. 비록 불연속적인 혼합비 감소가 바람직하지만, 혼합비 감소에 대하여는 8가지 조합이 가능하다.
RF 전력은 제1단계와 제2단계를 걸쳐 연속적으로 반응챔버에 인가된다. 다른 조건은 제1단계와 제2단계에 걸쳐 동일하게 유지된다. 즉, 일 실시예에서, 제2단계는 실제 막형성을 위한 충분한 원료 물질 가스 공급없이 막 형성 조건하에서 수행될 수 있다.
실시예에서, 혼합비 감소 전에(제1단계에서) 원료 물질 가스 대 비활성 가스의 혼합비는 바람직하게는 약 1/1 내지 1/3(1/2과 이들 값사이의 값을 포함)이며, 다른 실시예에서는 1/0.5 내지 1/5도 사용될 수 있다.
일 실시예에서 상기 혼합비의 감소후(제2단계에서)의 상기 혼합비는 약 1/5 내지 1/100인 것이 바람직하다. 다른 실시에에서는 0(1/10, 1/20, 1/30, 1/40, 1/50, 1/80, 1/200, 1/500, 1/1000과 이들 값 사이의 어느 값을 포함)에 가깝다. 다른 실시예에서, 상기 혼합비의 감소후의 상기 혼합비는 약 0이다. 바람직하게는, 비활성가스의 유량은 연속적 또는 비연속적으로 증가하고, 원료 물질 가스의 유량은 점차 0으로 줄어드는 것이 좋다(즉 연속적인 감소). 위에서 감소후의 혼합비는 제2단계에서 최종 혼합비를 의미한다.
상기 원료 물질 가스는, 이에 한정되지는 않으나, 테트라메틸실란(tetramethylsilane), 트리메틸실란(trimethylsilane), 디비닐-디메틸실란(divinyl-dimethylsilane)과 같은 유기실란을 포함한다. 비활성 가스는 이에 한정되지 않으나 헬륨, 아르곤, 네온, 크세논(xenon) 또는 크립톤(krypton)을 포함한다.
일 실시예에서, 상기 방법은 상기 막 형성전에 상기 반응 영역을 안정화하는 단계를 더 포함하며(제1단계전에), 여기서, 상기 원료 물질 가스의 유량과 상기 비활성 가스의 유량은 램프-업(ramp-up)방법으로 0에서 소정의 혼합비에 도달하기까지 증가한다.
또한, 상기 RF 전력은 저-주파수 전력과 고-주파수 전력을 포함한다. 일 실시예에서 상기 저-주파수 전력은 2MHz보다 작은 주파수를 가지며, 상기 고-주파수 전력은 2MHz이상의 주파수를 가진다. 다른 실시예에서, 저-주파수 전력은 10 내지 600W(50W, 100W, 200W, 300W, 400W, 500W, 그리고 이들 값사이의 어떠한 값도 포함)으로 인가되고, 고-주파수 전력은 100 내지 2000W(200W, 500W, 700W, 1000W, 1500W, 그리고 이들 값사이의 어떠한 값도 포함)로 인가되며, 저-주파수 전력은 고-주파수 전력보다 낮다.
일 실시예에서, 상기 경화를 위한 시간은 약 20nm 내지 약 100nm의 두께를 가진 경화가능한 실리콘 탄화물막당 약 5초 내지 약 10초이다. 이 시간은 막의 두께, 막 형성반응의 완성정도 등에 따라 변화한다. 두께 10nm당의 시간은 0.5 내지 5초이며, 1, 2, 3, 4초 그리고 이들 값이의 어떠한 값도 포하한다. 막의 두께는 제한되지 않으나 의도한 목적에 따라 10 내지 1000nm가 된다.
상기 혼합비의 감소전에 상기 원료 물질 가스의 유량과 상기 비활성가스의 유량은 각각 약 100sccm 내지 약 1000sccm과 약 100sccm 내지 3000sccm이다.
상기 실리콘 탄화물막은 식각 정지막(etch stop film), 하드 막(hard film), 캡층, 그 밖의 다양한 목적으로 사용된다.
다른 관점에서 본발명은, (Ⅰ) 반응챔버 내로 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를 소정의 유량으로 도입하고, 상기 반응챔버내의 반응 영역에 RF 전력을 인가하여, 상기 반응챔버내에 위치한 반도체 기판상에 약 4.0이상의 유전율을 가지는 경화가능한 실리콘 탄화물막을 형성하는 단계와; (Ⅱ) 상기 반응 영역에 계속하여 RF 전력을 인가하면서, 상기 원료 물질 가스 대 상기 비활성가스의 혼합비를 불연속적 또는 연속적으로 감소시킨 후 유지하여, 약 4.0보다 크지 않은 유전율을 가지도록 상기 실리콘 탄화물막을 경화하는 단계를 포함하는 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법을 제공한다.
여기서, 상기 혼합비의 감소는 (ⅰ) 상기 원료 물질 가스의 유량감소, (ⅱ) 상기 비활성 가스의 유량증가, 또는 (ⅲ) 상기 원료 물질 가스의 유량감소와 상기 비활성 가스의 유량증가로 수행되어진다. 또한 혼합비는 반응비의 불연속적 감소 시점의 전과 후에 일정할 수 있다. 실리콘 탄화물막은 식각 정지막일 수 있다.
다른 실시예에서, 제1단계에서, 목표로 하는 실리콘 탄화물막에 따라, 원료 물질 가스와 비활성가스에 더하여, 수소 소스 가스와 같은 다른 추가 가스가 반응챔버에 도입될 수 있다. 수소 소스 가스를 추가함으로써, 형성되는 실리콘 질화물막은 낮은 유전율, 낮은 누설전류, 압축 응력를 가질 수 있다. 형성되는 실리콘 탄화물막의 누설전류와 유전상수는 막의 탄소 농도, 챔버내에 도입됩 테트라-메틸실란과 같은 원료 물질 가스와 비활성 가스의 양에 직접 연관된다. 일 실시예에서, 실리콘 탄화물 막의 탄소 농도는 누설전류를 줄이기 위해 수소 소스 가스 유량을 제어하여 15 내지 30원자%(바람직하게는 20 내지 25원자%)로 제어한다.
위에서 수소 소스 가스 유량은 제2단계에서 변화할 수 있는데, 이는 수소 소스 가스 유량을 원료 물질 가스 유량과 동기시키거나 원료 물질 가스 유량과 독립적으로 제1단계보다 유량을 줄임으로서 이루어진다. 실시예에서, 수소 소스 가스 유량은 제2단계의 마지막에 0으로 감소할 수 있다.
또 다른 관점에서, 본 발명은, (A) 실리콘, 탄소, 수소를 포함하는 원료 물질 가스, 수소 소스 가스, 비활성가스를, 상기 원료 물질 가스, 상기 수소 소스 가스, 상기 비활성가스의 소정의 혼합 포뮬레이션으로 반응 챔버내로 도입하는 단계와; (B) 상기 혼합비에서 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 경화가능한(curable) 실리콘 탄화물막을 반도체 기판상에 형성하는 단계와; (C) 상기 (B) 단계보다 상기 수소 소스 가스 유량이 감소한 혼합 포뮬레이션에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율을 갖도록 하는 단계를 포함하는 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법을 제공한다. 위에서 수소 소스 가스 유량은 (C)단계(제2단계)에서 변화할 수 있는데, 이는 수소 소스 가스 유량을 원료 물질 가스 유량과 동기시키거나 다음과 같이 독립적으로 유량을 제어함으로서 이루어진다
일 실시예에서 수소 소스 가스의 유량은 제1단계에서 약 10sccm 내지 약 5000sccm(20, 30, 50, 100, 200, 300, 500, 1000, 2000, 3000sccm, 그리고 이들 값들 사이의 값, 바람직하게는 약 20sccm 내지 1000sccm, 더 바람직하게는 약 20sccm 내지 500sccm)이며 제2단계에서는 0sccm 내지 약 1000sccm(10, 20, 30, 50, 100, 250, 500, 750, 그리고 이들 값들 사이의 값, 바람직하게는 0sccm 내지 500sccm, 더 바람직하게는 0sccm 내지 250sccm, 더욱더 바람직하게는 0sccm 내지 30sccm이 좋다)이며, 제2단계에서의 유량은 제1단계에서의 유량보다 작다. 일실시예에서, 수소 소스 가스 유량은 원료 물질 가스 유량의 약 10% 내지 약 100%(20%, 30%, 50%, 이들 값 사이의 값을 포함)이다.
수소 소스 가스는, 이에 한정되지는 않으나, 수소 가스와 메탄 및 에탄과 같은 탄수화물(carbohydrate) 가스를 포함한다. 수소외의 수소 소스 가스를 사용할 때, 유량은 수소 함량에 기초하여 결정된다.
수소 소스 가스가 없는 경우 플라즈마 CVD에 적용되었던 조건은 수소 소스 가스를 사용하는 플라즈마 CVD에 적용될 수 있다. 예를 들어, 라디오 주파수 전원은 저-주파수 전력과 고-주파수 전력을 포함할 수 있다. 저-주파수 전력은 총 전력의 약 50%이하(1%, 5%, 10%, 20%, 30%, 40%, 그리고 이들 값사이의 값을 포함함)이다. 제2단계는 실리콘 탄화물막의 타입과 두께에 따라 약 5초 내지 약 10초동안 수행된다. 일실시예에서, 제1단계가 약 10초 내지 약 100초(20, 30, 60, 80초와 이들 값 사이의 값을 포함함)지속될 때 제2단계는 약 1초 내지 약 50초(5, 10, 20, 30초와 이들 값 사이의 값을 포함함)지속될 수 있으며, 제2단계에서의 시간은 제1단계에서의 시간보다 짧다.
이하의 조건은, 이에 본발명이 한정되지는 않으며, 수소 소스 가스가 없는 경우에 플라즈마 CVD에 사용될 수 있으나, 수소 소스 가스를 사용하는 경우 플라즈마 CVD에 적합하다.
(1) 고-주파수 RF전력은 약 13MHz 내지 약 30MHz사이의 주파수와 약 200watt 내지 1000 watt 사이의 전력을 가진다. 저-주파수 RF전력은 약 100kHz 내지 약 500kHz사이의 주파수와 약 50watt 내지 500 watt 사이의 전력을 가진다.
(2) 총 RF전력에 대한 저-주파수 RF전력의 비는 약 0.5보다 작다.
(3) 전극표면에서의 평균 전력은 실질적으로 일정하다.
(4) 실리콘과 탄소 소스 가스(원료 물질 가스)는 다음 중 하나이다: 트리메틸실란, 테트라-메틸실란, 또는 디비닐-디메틸실란
(5) 비활성 가스는 다음 중 하나이다: 헬륨, 아르곤, 크립톤
(6) 수소 소스는 다음중 하나이거나 둘 모두이다 : 메탄 또는 수소 가스
(7) 제1단계에서 실리콘과 탄소 소스 가스 대 비활성가스의 비는 약 1:1 내지 약 1:15이다.
(8) 실리콘과 탄소 소스 가스는 약 200sccm 내지 약 500sccm으로 반응영역에 공급된다.
(9) 기판은 약 200℃ 내지 약 400℃로 가열된다.
(10) 기판은 약 320℃ 내지 약 350℃로 가열된다.
(11) 반응영역은 약 300Pa 내지 약 100OPa의 압력으로 유지된다.
(12) 반응영역은 약 500Pa 내지 약 80OPa의 압력으로 유지된다.
(13) 실리콘 탄화물막 형성공정은 ⅰ) 기초막형성 단계(제1단계), 기초막은 TMS, 수소, 헬륨을 흘리고 RF전력을 인가하여 기판상에 형성된다. ⅱ) 활성 플라즈마 처리 단계(제2단계), 기초막형성단계 후에, 제2막형성단계가 연속적으로 수행되는데, 플라즈마 디스차지의 변화없이 헬륨의 유량은 증가하고 TMS와 수소의 유량은 감소한다.
(14) 막형성은 활성 플라즈마 처리 단계동안에 계속된다.
(15) 헬륨이 비활성가스로 사용되고, 활성 플라즈마 처리 단계동안에 그 유량은 약 1500sccm 내지 약 3000sccm으로 증가한다.
(16) 수소 가스는 수소 소스 가스로 사용되고, 활성 플라즈마 처리 단계동안에 그 유량은 약 30 sccm 내지 0sccm으로 감소한다.
(17) TMS(테트라-메틸 실란)이 원료 물질 가스로 사용되고, 활성 플라즈마 처리 단계동안에 그 유량은 100sccm 내지 0sccm으로 감소한다.
(18) 헬륨, TMS, 수소가 사용되고, 활성 플라즈마 처리동안에, 이들의 유량은 각각 증가, 감소, 감소하며 플라즈마 디스차지의 변화는 없다.
(19) 여기서 활성 플라즈마 처리 단계라고 언급한 제2단계는 5초 내지 10초간 지속된다.
(20) 활성 플라즈마 처리 단계에서의 저주파수 RF전력 대 전체 전력의 비는 기초막 형성단계에서와 같이 0.5보다 작다.
(21) 활성 플라즈마 처리 단계에서의 압력은 기초막 형성단계에서와 실질적으로 같으며 약 500Pa 내지 약 800Pa이다.
(22) 실리콘 탄화물층은 약 4.0이하의 유전율을 갖는다.
(23) 실리콘 탄화물층은 압축 응력를 갖는다.
(24) 실리콘 탄화물층은 1MV/cm의 전기장에서 1×10-9A/㎠보다 작은 누설전류를 가진다.
(25) 실리콘 탄화물막은 식각정지층이다.
(26) 실리콘 탄화물막은 하드 마스크이다.
본 발명은 위에서 언급한 방법에 한정되지 않고 (a) 실리콘, 탄소, 산소, 수소를 포함하는 가스와 선택적으로 비활성가스를 사용하여 플라즈마 CVD방법으로 반도체 기판상에 유전막을 형성하는 단계와, (b) 상기 유전막 상에 식각 정지층으로서 상기의 방법에 따른 실리콘 탄화물막을 형성하는 단계와, (c) 상기 기판을 구리 배선을 위해 식각하는 단계를 포함하는 플라즈마 CVD방법에 의해 반도체 기판상에 상호연결(interconnect)을 형성하는 방법을 제공한다. 위에서 유전막은 Si-C-O-H물질로 만들어지고, 식각 정지층은 Si-C-H 물질로 만들어 질 수 있다.
또한 본 발명은, (ⅰ) 반도체 기판상에 다중층을 형성하는 단계와, (ⅱ) 식각을 통해 상기 다중층의 층간 연결을 위한 홀을 형성하는 단계와, (ⅲ) 상기 홀에 구리를 증착하는 단계와, (ⅳ) 상기 다중층의 상부에서 과잉의 구리를 제거하는 단계와, (ⅴ) 상기 다중층의 상부에 위에서 언급한 방법에 따른 실리콘 탄화물막을 증착하는 단계를 포함하며, 상기 구리는 상기 실리콘 탄화물막으로 덮혀있는 반도체 기판상에 구리층과 접촉하고 있는 막을 포함하는 층간 구조를 제조하는 방법을 제공한다.
위에서, 일실시예에서, 상기 (ⅰ)단계에서, 상기 다중층은 상기 기판상에 순차적으로 적층된 하부 식각정지층, 하부 저유전층, 중간 식각정지층, 상부 저유전층, 상부 식각정지층을 포함하며, 상기 (ⅱ)단계에서, 상기 홀은 상기 상부 식각정지층 상부의 감광막을 형성하고 상기 감광막을 이용하여 상기 다중층을 식각하여 비아홀과 트렌치를 마련함으로써 형성되며, 상기 (ⅳ)단계에서, 상기 감광막과 상기 상부 식각정지층은 상기 과잉의 구리를 제거할 때 제거될 수 있다. 위에서, 하부 식각 정지층, 중간 식각 정지층, 상부 식각 정지층은 앞에서 언급한 방법에 따라 형성될 수 있다. 실시예에서, (ⅰ)단계 내지 (ⅳ)단계는 원하는 층간 상호연결을 형성하기까지 적어도 한번 반복된다.
본발명의 다양한 실시예를 이용하여 마련된 실리콘 질화물막(SiC)와 같은 시각정지층과 구리확산장벽층의 주된 특징은 다음과 같다.
a) 유전율이 4.0보다 작다.
b) 막 응력가 압축적(compressive)이다.
c) 20℃ 내지 30℃의 온도에서 공기에 직접 노출되도 막응력나 막 유전율과 같은 막특성의 변화가 관찰되지 않는다.
d) 1MV/cm에서의 누설전류는 매우 낮아 1×10-9A/㎠보다 작다.
e) SiOC(중간 절연체)에 대한 SiC의 식각선택비가 5보다 크다.
수소 소스 가스를 사용한 본 발명의 바람직한 실시예에 따라, 낮은 유전상수, 낮은 누설전류, 압축 응력를 갖지며 집적회로 제조 공정에 사용되는 실리콘 탄화물막이 제공된다. 실리콘 탄화물막은 디비닐-디메틸실란, 트리메틸실란, 테트라메틸실란(TMS)과 같은 알킬 실리콘 화합물, 수소 가스와 메탄과 같은 실질적인 수소공급원, 아르곤, 헬륨, 크립톤, 네온, 크세논과 같은 비활성 기체를 플라즈마 CVD반응기내에 전기장이 걸린 상태에서 도입하여 기판상에 증착된다.
고주파수 RF전력과 저주파수 RF 전력이 섞여서 전기장을 생성하는데, 고-주파수 RF전력의 주파수는 13.56MHz 내지 30MHz이고 저-주파수 RF전력의 주파수는 200kHz 내지 500kHz이고, 저주파수 전력 대 전체 전력의 비는 약 0.5보다 작다. 본 발명에서, 실리콘 탄화물의 누설전류와 유전상수는 테트라-메틸실란과 비활성 가스를 과잉으로 도입하면 감소한다.
위에서 설명한 바와 같이, 본 발명은 여러가지 실시예를 포함하며 다양한 방법으로 사용할 수 있다.
본발명을 도면을 참조하여 상세히 설명하겠다. 도 1은 본 발명의 실시예에 따라 반도체 기판상에 실리콘 탄화물막을 증착하는 방법에 사용되는 플라즈마 CVD장치의 개략도이다. 플라즈라 CVD장치(플라즈마 강화 CVD, PECVD)(1)은 반응챔버(2), 반응챔버 내에 마련되어 있으며 반도체 기판을 지지하는 서셉터(3), 서셉터(3)와 마주보게 위치하며 반응 가스를 반도체 기판(9)에 균일하게 분사하는 샤워해드(4)를 포함한다.
반응챔버(2)의 측벽에는, 반응 챔버(2)를 진공으로 하기 위한 배기 포트(20)가 마련되어 있는데, 이는 배관(19)을 통해 진공 펌프(도시하지 않음)에 연결되어 있다. 배기포트(20)와 진공펌프 사이에, 반응챔버(2)내부의 압력을 조절하기 위한 용량 제어밸브(21)가 설치되어 있다. 용량제어밸브(21)는 압력 제어기(22)를 통해 반응챔버 내부의 압력을 측정하기 위한 압력 게이지(23)에 전기적으로 연결되어 있다.
또한, 반응챔버(2)의 측벽에는, 개구부(28)가 형성되어 있다. 개구부(28)는 반도체 기판(9)을 게이트 밸브(30)를 통해 반응 챔버(2)로 들여가거나 내보내기 위한 핸들링 챔버(도시하지 않음)과 연결되어 있다.
서셉터(3)의 아래에는, 반도체 기판(9)을 가열하기 위한 알루미늄 합금 히터(5)가 마련되어 있다. 알루미늄 합금 히터(5)의 내부에는 저항 가열 타입의 시스 히터(sheath heater, 24)와 온도계(25)가 있고, 이들은 반도체 기판(9)을 주어진 온도로 제어하기 위한 온도 제어기(26)와 연결되어 있다.서셉터(3)와 알루미늄 합금 히터(5)는 플라즈마 디스차지를 위한 한 전극을 형성하도록 접지(27)되어 있다. 서셉터(30)와 알루미늄 합금 히터(5) 대신에, 세라믹 히터도 사용될 수 있다. 여기서, 세라믹 히터도 서셉터로 사용될 수 있고 이때 반도체 기판을 직접 지지한다. 세라믹 히터는 신터링(sintering)을 통해 저항 가열 타입 히터를 베이스에 집적하여 제조된 세라믹 베이스를 포함한다. 세라믹 베이스의 물질로서, 불소 또는 염소 활성종에 강한 세라믹 질화물 또는 세라믹 산화물이 사용될 수 있다. 바람직하게는, 세라믹 베이스는 알루미늄 질화물을 포함하지만, 알루미늄 산화물과 마그네슘 산화물을 포함할 수 있다.
반응챔버(2) 내에는, 샤워해드(4)가 서셉터(3)에 대면하도록 설치되어 있다. 샤워해드(4)의 하부표면(4')내에는, 반도체 기판(9)에 가스를 균일하게 분사하기 위해 직경이 약 0.5mm 내지 약 1.0mm인 미세 구멍(도시되지 않음)이 약 1000개 내지 약 5000개가 설치되어 있다. 샤워해드(4)는 바람직하게는 매칭 회로(10)을 통해 RF 발진기(8, 8')와 전기적으로 연결되어 있으며, 플라즈마 디스차지를 위한 다른 전극의 역할을 한다. 여기서, RF발진기를 서셉터(3)에 연결함으로써, 샤워해드(4)의 접지가 이루어진다. RF 발진기(8, 8')는 각각 2개의 다른 타입의 RF전력, 즉 13MHz이거나 이보다 높은(통상 산업적으로 13.56MHz, 또는 27.12MHz) RF전력과 100kHz 내지 1MHz(바람직하게는 300kHz 내지 400kHz)인 RF전력을 생성한다. 이들 2가지 타입의 RF전력은 매칭회로(10)내에서 합성되어 샤워해드(4)로 공급된다. 반응공간의 반도체 기판(9)위로 공급된 반응가스(원료 물질 가스와 비활성 가스를 포함하는 가스)는 샤워해드(4)에 인가된 RF전력에 의해 형성된 플라즈마 디스차지에 의해 여기되고 분해되어 반도체 기판(9)상에 박막을 증착시킨다.
샤워해드(4)의 상부 표면의 거의 중앙에, 가스 출입포트(29)가 설치되어 있다. 배관(6)은 가스 출입포트(29)에 연결되어 있다. 배관(6)의 상류에, 원격플라즈마챔버(17)가 밸브(7)를 통해 연결되어 있다. 배관(18)은 또한 원격 플라즈마 챔버(17)에 연결되어 있다. 배관(18)의 상류에, 밸브(15)와 질량유량제어기(14)가 연결되어 있다. 배관(18)의 단부는 세척가스 출입포트(16)로 형성되어 있다. 세척가스의 타입에 따라, 가스 출입포트(16)의 개수가 결정된다. 세척가스는 질량유량제어기(14)의 제어에 의해 소정의 유량으로 공급되며, 반응챔버(2)의 내부를 세척하기 위해 원격 플라즈마 챔버(17)내에서 활성화된다.
배관(12)은 배관(6)의 가스 출입포트(29)와 밸브(7)사이에 위치하며 밸브(11)을 통해 연결되어 있다. 배관(12)의 상류에는, 밸브(15)와 질량유량제어기(14)가 연결되어 있다. 배관(12)의 단부는 원료 물질 가스 출입 포트(13)으로 형성되어 있다. 반응가스의 타입에 따라서, 가스 출입 포트(13)의 개수가 결정된다. 여러 가스는 각각 질량유량제어기(14)의 제어에 의해 소정의 유량으로 가스 출입포트(13)을 통해 공급되며, 배관(12)과 배관(6)을 통해 흘러가면서 혼합되고 가스 출입 포트(29)를 통해 샤워해드(4)로 공급된다.
본 발명의 다른 바람직한 실시예에서는, 실리콘 탄화물층은 실리콘 소스, 탄소 소스, 수소 소스, 비활성가스를 포함하는 가스 혼합물을 플라즈마 강화 CVD챔버에서 반응시켜 형성한다. 공정시스템의 상세내용은 도 1에 나타나 있다.
실시예에서, 수소 소스 가스를 사용한 플라즈마 CVD는, 이에 한정되지는 않으나, 다음의 조건을 사용할 수 있다(수소 소스 가스의 사용을 제외하고는, 아래 기재된 조건과 물질은 수소 소스 가스를 사용하지 않는 플라즈마 CVD에 사용될 수 있으며, 그 반대도 마찬가지이다).
실리콘과 탄소 소스(원료 물질 가스)는 일반식 SixCyHz(여기서, x는 1 또는 2인 정수, y는 1내지 6의 정수, z는 6 내지 20의 정수이다)을 가지는 알킬 실리콘 화합물이다. 예를 들어 디비닐-디메틸실란, 트리메틸실란, 테트라-메틸실란이 알킬 실리콘으로 사용될 수 있다. 수소 소스는 테트라-메틸실란 그리고/또는 수소 가스일 수 있다. 비활성 가스로는 헬륨, 아르곤, 네온, 크립톤, 크세논이 사용될 수 있다.
일반적으로, 200mm실리콘 웨이퍼에 실리콘 탄화물막을 형성하는 증착 공정 인자는, 약 200℃ 내지 약 400℃사이(바람직하게는 300℃ 내지 380℃)의 기판온도, 약 300Pa 내지 약 1000Pa의 챔버 압력, 100sccm 또는 1000sccm사이의 알킬 실리콘 화합물 유량, 약 10sccm 내지 약 1000sccm사이의 수소 소스 유량, 약 200sccm 내지 5000sccm사이의 비활성가스 유량을 포함한다. 이에 의해 알킬 실리콘 유량 대 비활성 가스 유량의 비는 1:1 내지 1:10이 된다. 이 공정은 또한 주파수가 13MHz 내지 30MHz(고주파수)이며 전력은 100watt 내지 2000watt인 제1 RF전력과, 주파수가 100kHz 내지 500kHz(저주파수)이며 전력은 50watt 내지 500watt인 제2 RF전력을 포함한다. 저주파수 전력 대 전체 전력의 비는 약 0.5보다 작고 RF전력 소스는 전기장을 생성한다. 바람직하게는 제1 RF전력은 300watt 내지 1000watt, 제2 전력은 50watt 내지 250watt이다. 300kHz 내지 450kHz의 주파수를 가진 제2 RF전력은 바람직하게는 제1 RF전력과 병합되어 사용된다.
제2 RF 전력 대 전체 혼합된 주파수 전력의 비는 바람직하게는 0.5이하 대 1이다. 상기 공정 인자는 증착 챔버내의 200mm 기판상 증착하는 경우에 100nm/min 내지 350nm/min의 실리콘 탄화물층 증착속도를 제공한다.
실리콘 탄화물막 형성 단계와 인자가 아래에 자세히 나타나 있다.
본발명에 따라 기판상에 실리콘 탄화물막을 성장시키는 예시적인 공정에 있어, 표1 내지 표3에 개시된 인자가 사용될 수 있다.
표1
표2
표3
본발명의 실시예에 따라 반도체 기판상에 실리콘 탄화물막을 제조하는 방법이 아래에 설명되어 있다. 도 2는 본발명에 따라 실리콘 탄화물막을 제조하는 방법에 사용된 증착 제어 순서의 바람직한 실시예를 나타낸다. 순서 A는 반응가스 도입단계(34, 35), 증착단계(31, 32), 퍼지 단계(36)을 포함하다.
반응가스 도입단계는 비활성가스 도입단계(34)와 원료 물질 가스 도입 단계(35)를 포함한다. 반도체 기판(9)이 반응챔버(2)내부로 도입되고 소정의 온도(바람직하게는 300℃ 내지 400℃, 더 바람직하게는 320℃ 내지 350℃)로 가열된 후,비활성가스 도입 단계(34)에서 유량이 100sccm 내지 3000sccm으로 제어된 비활성 가스(바람직하게는 헬륨)이 반응 챔버(2)로 도입된다. 헬륨 대신에 아르곤, 네온, 크세논, 크립톤이 비활성 가스로 사용될 수 있다. 아르곤이나 아르곤과 헬륨의 혼합기체를 비활성 가스로 사용함으로써, 높은 막 응력와 높은 막 밀도를 가지는 막이 형성될 수 있다. 비활성 가스가 도입되면, 반응챔버(2)의 내부 압력은 100Pa 내지 1000Pa(바람직하게는 약 400Pa 내지 700Pa)의 소정의 값으로 맞추어진다. 이어서, 원료 물질 가스 도입단계(35)에서 유량이 100sccm 내지 1000sccm으로 제어된 원료 물질 가스(바람직하게는 테트라메틸실란)가 반응챔버(2)에 도입된다. 여기서, 원료 물질 가스로 트리메틸실란도 사용될 수 있다. 반응가스 도입 단계에서, 도입되는 비활성 가스 대 원료 물질 가스의 비는 약 1내지 3이다. 반응가스가 반응챔버에 도입되면, 유량을 0으로부터 주어진 시간동안 소정의 값으로 램프-업하는 방법이 채용된다. 이는 급격한 가스 유입으로 인한 반응챔버내의 압력 증가에 의해 입자가 유동하여서 반도체 기판(9)에 부착되는 것을 방지하기 위함이다.
이어지는 증착단계는 제1증착단계(31)와 제2증착단계(32)를 포함한다. 제1증착단계에서 반응가스의 비는 바람직하게는 200sccm 내지 500sccm의 테트라메틸실란 대 300sccm 내지 1500sccm의 헬륨이다; 더 바람직하게는 200sccm 내지 500sccm의 테트라메틸실란 대 300sccm 내지 600sccm의 헬륨이다. 반응가스 유량과 반응챔버내부 압력이 안정화되면, 27.12MHz와 400kHz의 2가지 타입의 RF전력이 각각 200watt내지 1000watt와 50watt 내지 500watt로(바람직하게는 각각 300watt내지 600watt 대 100watt 내지 300watt) 샤워해드(4)에 인가된다. 27.12MHz의 RF전력은 플라즈마 손상과 플라즈마에 의해 야기된 정전기 차지가 반도체 기판(9)으로 가는 것을 방지한다. 13.56MHz가 상대적으로 높은 RF전력의 주파수로 사용될 수 있다. 제1증착 단계(31)의 수행시간은 목표로 하는 실리콘 탄화물막의 두께와 증착속도(바람직하게는 약 100nm/min 내지 200nm/min)로부터 결정된다. 제1증착단계(31)에서, 반도체 기판(9)상에 증착되는 실리콘 탄화물 기초막의 유전율은 약 4.0 내지 4.2다. 비록 실리콘 탄화물 기초막이 종래의 실리콘 탄화물막보다 낮은 유전율을 가지지만, 이상내에서는 수분이나 산소를 흡수하거나, 수분이나 산소와 반응하여 막특성이 변화하는데, 이는 막-형성 반응이 완료되지 않았기 때문이다. 이에 따라, 많은 연구 결과, 발명자등은 제1증착단계후에 아래에서 기재하는 제2증착단계를 수행함으로써 위의 문제를 해결할 수 있음을 발견하였다.
제2증착단계(32)는 제1증착단계(31)에 이어 수행된다. 제2증착단계(32)에서, RF전력과 반응챔버 압력은 제1증착단계와 동일하게 적용된다. 제2증착단계(32)에서, 헬륨의 유량은 고정되어 있는 반면, 테트라메틸실란의 유량은 약 20sccm 내지 약 600sccm사이로 불연속적으로 감소한다. 제2 증착단계(32)에서, 헬륨 대 테트라메틸실란의 유량비는 약 5 또는 이보다 크다(바람직하게는 약 5 내지 약 15). 제2증착단계(32)의 수행시간은 적어도 약 3초이다; 통상 실리콘 탄화물막에 요청되는 막두께인 20nm 내지 100nm(어떤 경우에는 약 30nm 내지 약 100nm)를 위해서는 수행시간은 바람직하게는 약 5초 내지 약 10초이다. 따라서, 제2 증착단계(32)에서 반응가스의 혼합비를 바꾸어 증착반응을 수행함으로써, 제1증착단계(31)에서 형성된 실리콘 질화물 기초막의 반응이 완료되고 시간에 따른 막특성의 변화가 정지된다.
제2증착단계(32)가 완료된 후, 퍼지 단계(36)에서 샤워해드(4)로의 RF전력의 인가는 정지되고 동시에 테트라메틸실란의 공급도 정지된다. 헬륨가스는 반응챔버(2)에 계속하여 공급되고 잔류하는 테트라메틸실란 또는 그 분해물질의 휘발성 성분은 반응챔버 외부로 배출된다.
도3은 본발명에 따른 실리콘 질화물막의 제조방법에 사용된 증착순서의 다른 실시예를 나타낸 것이다. 순서 B는 반응가스 도입단계(34, 35), 증착단계(31,40), 퍼지 단계(36)를 포함한다. 반응가스도입단계와 퍼지단계는 도2에 나타낸 증착 순서 A와 동일하기 때문에 설명은 생략한다. 도3에서와 같이 증착 순서B의 증착단계는 제1증착단계(31)와 제2증착단계(40)를 포함한다. 제1증착단계(31)는 증착 순서 A의 제1증착단계(31)와 동일하다. 증착 순서 B에서, 제2증착단계(40)에서, 테트라메틸실란의 유량은 고정되어 있으며, 헬륨의 유량을 불연속적으로 증가시켜서, 반응가스의 혼합비가 변경된다. 구체적으로, 제1증착단계(31)에서, 반응가스의 혼합비는 약 100sccm 내지 1000sccm의 테트라메틸실란 대 약 100sccm 내지 3000sccm의 헬륨이다; 제2증착단계(40)에서, 혼합비는 약 100sccm 내지 1000sccm의 테트라메틸실란 대 약 500sccm 내지 10000sccm의 헬륨이다. 바람직하게는 제1증착단계에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 1500sccm의 헬륨이다; 제2증착단계(40)에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 1000sccm 내지 5000sccm의 헬륨이다. 더 바람직하게는 제1증착단계에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 600sccm의 헬륨이다; 제2증착단계(40)에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 1500sccm 내지 3000sccm의 헬륨이다. 제2증착단계(40)에서, 헬륨 대 테트라메틸실란의 유량비는 약 5 또는그 이상이다(바람직하게는 5 내지 10).
도 4는 본발명에 따른 실리콘 질화물막의 제조방법에 사용된 증착순서의 제3 실시예를 나타낸 것이다. 증착 순서 C는 반응가스 도입단계(34, 35), 증착단계(31,50), 퍼지 단계(36)를 포함한다. 반응가스도입단계와 퍼지단계는 도2에 나타낸 증착 순서 A와 동일하기 때문에 설명은 생략한다. 도4에서와 같이 증착 순서C의 증착단계는 제1증착단계(31)와 제2증착단계(50)를 포함한다. 제1증착단계(31)는 증착 순서 A의 제1증착단계(31)와 동일하다. 증착 순서 C에서, 제2증착단계(50)에서, 테트라메틸실란의 유량을 불연속적으로 감소시면서 동시에, 헬륨의 유량을 불연속적으로 증가시켜서, 반응가스의 혼합비가 변경된다. 구체적으로, 제1증착단계(31)에서, 혼합비는 약 100sccm 내지 1000sccm의 테트라메틸실란 대 약 100sccm 내지 3000sccm의 헬륨이다; 제2증착단계(50)에서, 혼합비는 약 20sccm 내지 600sccm의 테트라메틸실란 대 약 500sccm 내지 10000sccm의 헬륨이다. 바람직하게는 제1증착단계에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 1500sccm의 헬륨이다; 제2증착단계(50)에서, 반응가스의 혼합비는 약 30sccm 내지 100sccm의 테트라메틸실란 대 약 1000sccm 내지 5000sccm의 헬륨이다. 더 바람직하게는 제1증착단계에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 600sccm의 헬륨이다; 제2증착단계(50)에서, 반응가스의 혼합비는 약 30sccm 내지 50sccm의 테트라메틸실란 대 약 1500sccm 내지 3000sccm의 헬륨이다. 제2증착단계(50)에서, 헬륨 대 테트라메틸실란의 유량비는 약 16 또는 그 이상이다(바람직하게는 30 내지 60).
도5는 본발명에 따른 실리콘 질화물막의 제조방법에 사용된 증착순서의 제4 실시예를 나타낸 것이다. 순서 D는 반응가스 도입단계(34, 35), 증착단계(31, 60), 퍼지 단계(36)를 포함한다. 반응가스도입단계와 퍼지단계는 도2에 나타낸 증착 순서 A와 동일하기 때문에 설명은 생략한다. 도5에서와 같이 증착 순서D의 증착단계는 제1증착단계(31)와 제2증착단계(60)를 포함한다. 제1증착단계(31)는 증착 순서 A의 제1증착단계(31)와 동일하다. 증착 순서 D에서, 제2증착단계(60)에서, 헬륨의 유량은 고정되어 있으며, 테트라메틸실란의 유량을 소정의 속도로 연속적으로 0으로 감소시켜, 반응가스의 혼합비가 변경된다. 구체적으로, 제1증착단계(31)에서, 반응가스의 혼합비는 약 100sccm 내지 1000sccm의 테트라메틸실란 대 약 100sccm 내지 3000sccm의 헬륨이다; 제2증착단계(60)에서, 헬륨의 유량은 고정되어 있는 바면, 테트라메틸실란의 유량은 0으로 감소한다. 바람직하게는 제1증착단계(31)에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 1500sccm의 헬륨이다; 제2증착단계(60)에서, 헬륨의 유량은 고정되어 있는 바면, 테트라메틸실란의 유량은 0으로 감소한다. 더 바람직하게는 제1증착단계(31)에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 600sccm의 헬륨이다; 제2증착단계(60)에서, 헬륨의 유량은 고정되어 있는 바면, 테트라메틸실란의 유량은 0으로 감소한다. 제2증착단계(60)에서, 테트라메틸실란 유량의 감소 속도는 제2증착단계(60)의 수행시간(적어도 3초, 바람직하게는 약 5초 내지 10초)과 테트라메틸실란의 유량에 따라 결정된다.
도6은 본발명에 따른 실리콘 질화물막의 제조방법에 사용된 증착순서의 제5 실시예를 나타낸 것이다. 순서 E는 반응가스 도입단계(34, 35), 증착단계(31, 70), 퍼지 단계(36)을 포함한다. 반응가스도입단계와 퍼지단계는 도2에 나타낸 증착 순서 A와 동일하기 때문에 설명은 생략한다. 도6에서와 같이 증착 순서E의 증착단계는 제1증착단계(31)과 제2증착단계(70)을 포함한다. 제1증착단계(31)는 증착 순서 A의 제1증착단계(31)과 동일하다. 증착 순서 E에서, 제2증착단계(70)에서, 테트라메틸실란의 유량을 소정의 속도로 연속적으로 0으로 감소시키고 동시에 헬륨의 유량을 소정의 속도로 연속적으로 증가시켜, 반응가스의 혼합비가 변경된다. 구체적으로, 제1증착단계(31)에서, 반응가스의 혼합비는 약 100sccm 내지 1000sccm의 테트라메틸실란 대 약 100sccm 내지 3000sccm의 헬륨이다; 제2증착단계(70)에서, 테트라메틸실란의 유량은 0으로 감소하는 반면, 헬륨의 유량은 약 500sccm 내지 10000sccm으로 증가한다. 바람직하게는 제1증착단계(31)에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 1500sccm의 헬륨이다; 제2증착단계(70)에서, 테트라메틸실란의 유량은 0으로 감소하는 반면, 헬륨의 유량은 약 1000sccm 내지 5000sccm으로 증가한다. 더 바람직하게는 제1증착단계(31)에서, 반응가스의 혼합비는 약 200sccm 내지 500sccm의 테트라메틸실란 대 약 300sccm 내지 600sccm의 헬륨이다; 제2증착단계(70)에서, 테트라메틸실란의 유량은 0으로 감소하는 반면, 헬륨의 유량은 약 1500sccm 내지 3000sccm으로 증가한다. 제2증착단계(70)에서, 테트라메틸실란 유량의 감소 속도와 헬륨 유량의 증가속도는 제2증착단계(70)의 수행시간(적어도 3초, 바람직하게는 약 5초 내지 10초)과 제1증착단계에서의 테트라메틸실란과 헬륨의 유량에 따라 결정된다.
위에서 언급된 각 순서의 유량은 실리콘 질화물막이 200mm 실리콘 기판에 증착될 때 적용된다. 본 발명에 따른 방법의 적용은 그러나 200mm 실리콘 기판에 한정되지 않는다. 이 방법이 다른 크기의 기판에 적용되면, 반응가스의 유량은 그에 따라 변경된다; 반응 가스의 혼합비는 그러나 각 순서에서 언급된 바와 동일하다.
도 8은 수소 소스 가스를 사용하는 본 발명의 다른 실시예를 나타낸 것이다. 200mm 웨이퍼에 실리콘 카바이드층을 증착하기 위해서, 테트라-메틸실란(TMS)와 같은 반응성 가스 소스와 수소가스와 같은 실질적인 수소 소스가 반응 영역에 도입된다. 헬륨이 비활성가스로 사용될 수 있다. 반도체 기판이 반응챔버내부로 이송되고 소정의 온도로 가열된 후, 단계 46 "헬륨의 도입"에서, 비활성 가스인 헬륨(48)이 100sccm 내지 3000sccm사이 범위내의 소정의 유량으로 반응챔버내로 도입되며, 이때 반응 챔버(49)내의 압력은 300Pa 내지 1000Pa사이의 소정의 값으로 제어된다. 단계 47 "TMS, 수소 도입"에서 TMS(50)이 약 200sccm 내지 500sccm사이의 소정의 유량으로 반응챔버내로 도입되고, 수소(51)는 약 10sccm 내지 1000sccm사이의 소정의 유량으로 반응챔버내로 도입된다. 헬륨, 수소, TMS의 도입에는, 각 가스의 유량을 소정의 시간동안 특정한 속도로 0에서 소정의 값으로 증가시키는 램프-업 방법을 사용한다. 이 방법은 반응챔버내에 입자가 유동하여 반도체 기판에 부착되는 것을 방지하기 위해 사용된다. 이와 같은 현상은 반응챔버내의 급격한 가스 유입으로 인한 반응 챔버내의 압력 상승으로 일어난다.
이 실시예에서, 단계 53에서와 같이 TMS, 수소, 헬륨을 포함하는 가스 유량이 안정되면, 200watt 내지 1000watt의 27.12MHz의 주파수를 가진 RF전력과 50watt 내지 500watt의 400kHz의 주파수를 가진 RF전력이 인가된다. 위와 같은 단계들을 수행하여, 실리콘 탄화물막이 형성된다.
이 실시예에서, 실리콘 탄화물막 증착단계는 2단계로 나누어진다. 첫째, 도 8에서와 같이 기판상에 TMS, 수소, 헬륨을 흘리고 RF전력을 인가하여 기초막을 형성한다(TMS=300sccm, 수소=50sccm, 헬륨=400sccm, 400watt의 27.12MHz, 95watt의 400kHz, 기판온도=320℃, 챔버 압력=720Pa). 둘째, 활성 플라즈마 처리가 수행된다. 기초막 형성 단계 후에, 제2막 형성이 연속하여 수행된다. 이 단계에서, 헬륨 유량은 증가하는 반면 TMS와 수소의 유량은 감소하며, 플라즈마 디스차지의 변화는 없다. 이 실시예에서, 활성 플라즈마 처리(TMS=0sccm으로 램프-다운, 수소=0sccm으로 램프-다운, 헬륨=2.5slm으로 램프-업, 400watt의 27.12MHz, 95watt의 400kHz, 기판온도=320℃, 챔버 압력=720Pa)동안에도 막 형성은 계속되는데, 이는 원료 물질 가스 유량이 불연속적으로 감소하지 않기 때문이다.
기초막 형성단계만으로 증착된 실리콘 탄화물막은 불안정하고, 상온에서 공기에 노출되면 막 응력와 유전율이 변화한다. 이는 표면층의 산화때문이다. SiC와 같은 탄소계 막의 산화를 최소화하는 방법이 미국특허출원 공개 제 2002/054962A1에 개시돠어 있다; 그러나, 막 특성의 변화/개선은 관찰되지 않았다. 또한, 10시간동안 질소분위기에서 400℃에서 어닐링을 수행하면, 막 응력이 급격히 변화하는 것이 관찰되었다. 응력의 변화는 약 400MPa인데, 이는 열적 응력 안정성이 불량하다는 것을 나타낸다. 본 실시예에서 활성 플라즈마 단계를 통해 실리콘 탄화물막을 안정화시키는 원리는 수소 소스 가스를 사용하지 않는 플라즈마 CVD의 경우와 실질적으로 동일하다.
본실시예의 활성 플라즈마 처리가 실리콘 탄화물막에 수행되면, 막응력와 유전율이 불안정한 현상은 해결된다. 또한 유전율과 누설전류가 감소한다.
증착된 실리콘 탄화물막의 기본적인 특성이 도 5에 나타나 있다. 또한 20℃ 내지 30℃(상온)에서 공기에 노출되었을 때 유전율의 주요한 변화(5%이하)나 응력의 주요한 변화(10%이하)는 관찰되지 않았다.
또한, 10시간동안 질소분위기에서 400℃에서 어닐링을 수행했을 때, 막 응력의 매우 작은 변화(50%이하)만이 관찰되었다. 위에서 기재한 본발명의 실시예에 따라 증착된 실리콘 탄화물막은 우수한 열적 응력 거동을 나타낸다. 이미 기재한 바와 같이, 압축 응력를 가지는 막의 도입은 구리와의 우수한 접착을 제공한다. 막 응력에 변화가 거의 없기 때문에, 장벽 절연막과 층간유전막(inter dielectric layer)사이의 우수한 접착거동이 예상된다. 1MV/cm에서 측정한 누설전류와 유전율은 각각 1×10-9A/㎠과 4.0보다 작아서, 본발명의 실시예에 따른 실리콘 탄화물막은 식각 정지제 뿐아니라 구리확산장벽으로도 적합하다.
여기서 기재한 PECVD 공정으로 증착된 실리콘 탄화물막은 종래의 실리콘 탄화물막과 비교할 때 낮은 누설전류, 압축응력을 가지면서 낮은 유전율을 가진다. 실리콘 탄화물막은 저주파수와 고주파수의 혼합없이 증착될 수 있다. 그러나 저주파수와 고주파수를 혼합하여 사용하면 실리콘 탄화물막과 비활성 기체의 분자간의 충돌로 인해 야기되는 역 막특성을 교정할 수 있다. 실리콘 탄화물막내의 Si-C결합의 비를 증가시켜, 더 큰 강도와 더 큰 탄성 모듈러스를 얻을 수 있다.
다음 실시예는 본발명의 실시예에 따른 실리콘 탄화물막이 증착된 이중 다마신 구조를 나타낸 것이다. 도 9a 내지 도 9i는 본발명에 따른 실리콘 탄화물막이 사용될 수 있는 이중 다마신 구조를 나타낸다. 그러나 본발명은 이 실시예에 한정되지 않는다.
구리층(31)이 제1실리콘 탄화물막(32)으로 먼저 덮혀 있다. 본 실시예에 따른 실리콘 탄화물층(32)은 누설전류와 유전상수가 작고 산소가 없기 때문에, 구리 확산 장벽층으로 사용하기에는 가장 적합하다. 실리콘 탄화물층(32)을 증착하기 전에, 구리는 표면에 남아있는 구리 산화물을 제거하여 개선될 수 있다. 통상적으로, 수소 또는 암모니아 또는 메탄 플라즈마에 기초한 환원이 실리콘 탄화물층(32)의 증착전에 이용된다. CMP 잔유물을 제거하기 위한 구리 표면 환원은 PECVD챔버내에서 수행될 수 있다.
실리콘 탄화물층(32)의 증착후에, 제1층간레벨 유전체(inter level dielectric), 이 경우에는 SiOC층(33)이 증착된다. SiOC층(33)의 증착후에 제2실리콘 탄화물층(34)와 제2층간레벨 유전체, 이 경우에는 SiOC 층(35)와 실리콘 탄화물층(36)이 도 9a와 같은 순서로 증착된다. 감광막(37)이 도 9b에서와 같이 제3 실리콘 탄화물층(36)의 상부에 코팅된다. 비아 홀이나 트랜치를 형성하기 위해 적당한 방법이 채용될 수 있다. 다음은 일예이다.
비아홀(39)는 식각에 의해 형성된다. 비아홀을 형성하는 공정은 다음과 같다: 먼저 도 9b에서와 같이 감광막(37)이 제거된다. 다음, 도 9c에서와 같이 제3실리콘 탄화물층(36)으로부터 제1실리콘 탄화물층(32)까지 비아 식각이 이루어진다. 마지막을 제1실리콘 탄화물층(32)의 일부분이 식각되어 금속라인을 노출시킨다.
비아를 형성한후, 트렌치 패터닝이 시각된다. 먼저 도 9d에서와 같이 감광막(37)이 제거된다. 다음으로, 도 9e에서와 같이 제3실리콘 탄화물층(36)으로부터 제2실리콘 탄화물층(24)까지 식각이 이루어져 트렌치(41)가 형성된다. 제2실리콘 탄화물층(34)이 제2SiOC층(35)의 식각이 제1SiOC층(33)까지 계속되는 것을 방지한다.
도 9f에서와 같이 TaN, TiN과 같은 구리 장벽층(42)이 비아홀의 안쪽에 형성되며, 이는 감광막의 상부도 덮게 된다. 도 9g에서와 같이 구리 시드층(43)이 PVD방법등으로 더 증착된다. 그후 도 9h에서와 같이 구리(44)가 전기도금방법으로 홀내에 증착된다. 도 9i에서와 같이, CMP등의 방법으로, 구리장벽층(42), 구리(44), 감광막(37), 제3실리콘 탄화물층(36)을 제거하여 표면(45)이 노출되도록 한다.
실리콘 탄화물층은 또한 장치가 스크래칭되지 않도록하는 보호막(도시하지 않음)으로도 증착될 수 있다. 실리콘 탄화물 유전확산장벽(dielectric diffusion barrier, DDB)층은 구리가 SiOC층으로 확산되는 것을 효과적으로 방지하기 때문에 중요하다. 이는 DDB층이 낮은 누설전류를 가지기 때문이다.
종래 실리콘 탄화물 장벽층의 1MV/cm에서의 누설전류와 유전상수는 각각 대략 1×10-7A/㎠과 5.0이다; 이에 반하여 본 발명의 실시예에 따라 제조된 실리콘 탄화물 장벽층에서는 각각 대략 1×10-9A/㎠과 4.0보다 작다. 따라서, 상기와 같이 제조된 실리콘 탄화물층과 달리 종래의 실리콘 탄화물 장벽막을 2MV/cm에서 사용하면, 구리확산방지에 동일한 효율을 유지할 수 있다. 또한, 생성되는 실리콘 탄화물층은 상대적으로 낮은 통상 3.2와 4.0정도의 유전율을 가지고 있는데, 이는 저주파수 전력 대 증착동안의 총 주파수 전력의 비에 의존하며, 또한 실리콘 탄화물막을 형성하기 위해 사용된 가스의 비에 의존한다.
실시예
본 발명을 이하의 실시예를 참조하여 설명하겠다. 그러나 본 발명이 실시예에 한정되는 것은 아니다.
실시예 1과 2
종래의 방법에 의해 증착된 실리콘 탄화물막과 본발명의 실시예에 따른 방법에 의해 증착된 실리콘 탄화물막에 대하여 비교 실험을 수행하였다. 실리콘 탄화물막은 아래 기재한 증착조건하에서 반도체 기판(200mm 실리콘 기판)에 증착되었다. 도1에 도시한 플라즈마 CVD 장치가 증착 공정에 사용되었다. 반응가스로서, 테트라메틸실란과 헬륨이 사용되었으며, 실시예 2에서는 TMS와 아르곤이 사용되었다.
1) 비교예 1
2) 비교예 2
3) 비교예 3(증착단계후에 즉시 15초간 헬륨 플라즈마 공정이 수행되었음)
4) 실시예 1(순서 F)
제1증착단계:
제2증착단계(수행시간은 8초):
5) 실시예 2(순서 F;비활성가스로 아르곤 사용)
제1증착단계:
제2증착단계(수행시간은 8초)
실시예 1과 2에는, 도7에서의 순서 F가 적용되었다. 즉, 원료 물질의 유량은 불연속적으로 증가하는 반면 비활성가스의 유량은 0까지 연속적으로 감소한다. 다른 그림과 마찬가지로, 도 7의 축은 실제 값과 정확히 비례하지 않는다. 도 7에서 각 공정의 시간은 실제 숫자로 표시되어 있다.
실험은 상기 언급한 증착조건하에서 증착된 실리콘 탄화물막의 특성을 평가하기 위해 수행되었다. 다음의 특성이 평가되었다: 막의 유전율, 한시간 동안 대기에 노출되었을 때 막 응력의 변화, 막이 증착된 후 반도체 기판을 400℃까지 가열한 후 막 응력의 변화, 1MV/cm의 전압이 가해졌을 때 누설전류값. 표 4는 상기 언급한 증착조건하에서 증착된 실리콘 탄화물막의 특성을 평가한 결과이다.
표 4
유전율의 평가결과로부터, 유전율이 4 또는 그 이상인 비교예 1 내지 3의 실리콘 탄화물막과 비교하여 본발명에 따른 방법으로 증착된 실시예 1과 2의 실리콘 탄화물막은 4또는 그 이하의 낮은 유전율을 나타내었다.
대기중에서의 막 응력 변화의 평가 결과로부터, 비교예 2에서의 실리콘 탄화물막의 경우, 막 응력이 가해진 힘의 방향으로 65MPa 변화된 결과 막의 품질이 변화되었다. 비교예 2에 비하여, 비교예 1과 3의 실리콘 탄화물막의 경우에는 대기중에서 막 특성의 변화가 적고 막 응력도 상대적으로 안정하다. 가열전후의 막 응력의 변화에 대하여, 비교예 1 내지 3 모두 300Pa이상의 막응력변화가 일어났다. LSI장치를 위한 다중층 상호연결을 제조하는 공정에서, 반도체 기판은 약 400℃정도의 환경에 노출되기 때문에, 서로 접촉하고 있는 막의 경계는 벗겨질 위험이 있다. 따라서, 비교예 1 내지 3의 실리콘 탄화물막은 LSI장치에 사용하기 부적당함을 알 수 있다.
반대로, 실시예 1과 2의 실리콘 탄화물막의 경우, 대기에서의 막 변화는 거의 일어나지 않았으며 막은 매우 안정적이다. 또한, 가열 전후의 막응력 변화는 100MPa 또는 그 이하로서, 비교예에서의 변화와 비교하면 매우 작다. 이들 결과로부터 실시예 1과 2의 실리콘 탄화물막은 매우 안정한 구조를 가지고 있음을 알 수 있다.
누설전류에 대하여, 실시예 1과 2는 비교예 1 내지 3에 비하여 매우 작은 누설 전류값을 나타내고 있다; 이 결과는 실시예 1과 2의 실리콘 탄화물막이 높은 절연 성능을 가지고 있다는 것을 말해 준다. LSI장치에 적용가능한 실리콘 탄화물막의 누설전류 레벨은 1MV/cm의 전압이 가해졌을 때, 바람직하게는 1×10-8A/㎠ 또는 그 이하이다. 실시예 1과 2에서의 실리콘 탄화물막은 이 조건을 만족한다.
참고로, 실시예 1의 증착조건하에서, 제2증착단계를 거치지 않은 실리콘 탄화물막의 특성을 검사하였다. 그 결과, 증착 직후에, 유전율은 4.07이었으며 막응력는 -98MPa이었다; 막을 한주동안 대기에 노출시킨 후, 막의 유전율은 4.16으로 변화하였으며 막 응력는 -300MPa로 변화하였다.
실시예 3
아래의 표5와 같은 조건을 따라 막 형성이 이루어졌다.
표 5
이렇게 증착된 실리콘 탄화물막은 유전율과 1MV/cm에서의 누설전류가 각각 4.0과 1×10-9A/㎠보다 작은데, 이는 집적회로의 절연물질로 사용되는데 적합한 수준이다. 표 5의 증착조건에 따라 증착된 실리콘 탄화물층의 유전율, 누설전류, 막응력와 같은 막특성의 구체적인 값은 표6에 나타나 있다. 실리콘 탄화물층의 유전율은 조정가능하며, 유전율의 혼합 주파수 RF전력의 비의 작용에 의해 변화한다. 특히, 저주파수 RF전력 대 전체 혼합 전력의 비가 감소할 수록 실리콘 탄화물층의 유전율도 감소한다. 또한 TMS의 유량이 증가하면, 실리콘 탄화물층의 유전율은 감소한다.
실리콘 탄화물층의 유전율은 층 형성동안의 가스 혼합물의 조성의 함수로도 조정가능하다. 가스 혼합물에서 탄소농도가 증가할수록, 증착된 실리콘 탄화물층의 탄소함량은 증가하여, 실리콘 탄화물막을 덜 치밀하게 하고 유전율을 감소시킨다. 도한, 증착된 실리콘 탄화물층의 탄소농도가 증가할 수록, 소수 특성이 증가하여 이들 층이 집적회로에서 수분장벽으로 사용하기 적합하게 된다.
추가로, 증착된 실리콘 탄화물층은 산소함량이 없다. 이와 같이 산소가 없는 실리콘 탄화물층이 금속 확산을 최소화하며 장벽층 특성을 향상시킨다고 믿어진다. 예를 들어, 증착된 실리콘 탄화물층은 1MV/cm에서 약 1×10-9A/㎠이하의 전류 블로킹 능력을 갖는데, 이는 집적회로 상호연결 구조사이의 크로스-토크를 최소화하기에 적합하다.
실리콘 탄화물 물질의 식각 성능을 보면, RIE(반응성 이온 식각) 모드에 기초할 때, SiOC와 여기 기재된 실리콘 탄화물 사이의 식각 선택성은 약 5 내지 10이다.
표 6
위에서 설명한 바와 같이, 본 발명은 다양한 실시예를 포함한다. 실시예에서 플라즈마 CVD를 이용하여 반도체 웨이퍼상에 실리콘 탄화물막을 제조하는 방법은 다음의 단계를 포함한다: 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를 소정의 유량으로, 그리고 선택적으로 수소 소스 가스를 반응 챔버에 공급하하는 단계, 적어도 하나의 타입의 RF 전력을 반응챔버내부의 반응영역에 인가하는 단계, 적어도 하나의 타입의 RF전력을 인가하면서 가스 안에 포함된 원료 물질 가스, 비활성 가스, 선택적으로 수소 소스 가스의 혼합비를 변경하는 단계이다.
자세하게는, 혼합비를 변경하는 단계는 원료 물질 가스의 유량만을 불연속적으로 감소, 비활성 가스의 유량만을 불연속적으로 증가, 원료 물질 가스의 유량을 불연속적으로 감소시키면서 동시에 비활성 가스의 유량을 불연속적으로 증가시키는 단계를 포함한다.
이 경우, 혼합비가 변경된 후 비활성 가스 대 원료 물질 가스의 유량비는 약 5 내지 100으로 변화된다.
또는, 혼합비를 변경하는 단계는, 원료 물질 가스의 유량만을 연속적으로 감소시키는 단계, 비활성가스의 유량만을 연속적으로 증가시키는 단계, 원료 물질 가스의 유량을 연속적으로 감소시키면서 동시에 비활성가스의 유량을 연속적으로 증가시키는 단계를 포함한다.
이 경우, 원료 물질 가스의 유량은 연속적으로 감소하여 0이 될 수 있다.
수소 소스 가스 유량은 원료 물질 가스 유량과 동기화시켜 제어하거나 원료가스 유량과 독립적으로 감소시켜 제어할 수 있다.
본 발명의 실시예에 따른 방법을 사용하여, 유전율이 4 또는 이보다 작으며, 대기중에 노출되어도 막 응력이 실질적으로 변화하지 않으며, 누설전류가 작고 구리 배선에 식각정지막으로 사용할 수 있는 실리콘 탄화물막이 제공된다.
본발명의 실시예에 따른 방법으로 증착된 실리콘 탄화물막은 Si, C, H를 포함한다. 실리콘 탄화물막이 LSI 장치의 층간 절연막으로 사용되는 탄소계 실리콘 산화물막(SiCOH)와 화학적 조성이 다르기 때문에, 탄소계 실리콘 산화물막에 비하여 10배 느리게 식각된다. 결과적으로 구리 배선에 있어 설계한 대로 비아와 트렌치를 형성하기가 용이하다.
수소 소스 가스를 사용하는 플라즈마 CVD에서는, 매우 낮은 누설전류와 낮은 유전율을 가지는 실리콘 탄화물막이 형성될 수 있다.
따라서, 식각 정지층과 구리 확산층을 형성하는 방법은, 구리 다마신 구조가 사용되는 다음 세대의 100nm/65nm-노드 USLI(ultra large scale intergration) 기술에 효과적으로 사용될 수 있다.
당업자라면 본발명의 요지를 벗어나니 않으면서 수많은 변형이 가능하다는 것을 이해할 것이다. 따라서 본발명의 형식은 본발명의 예시를 위한 것이지 본발명의 범위를 한정하려는 의도가 아님을 명확히 인식하여야 한다.
이상과 같이 본발명은 낮은 유전율을 가지고, 대기중에 노출되어도 막 응력가 변하지 않으며, 누설전류가 낮은 실리콘 탄화물막을 제조할 수 있는 방법을 제공한다. 본 발명에 의한 실리콘 탄화물막은 구리배선에 식각정지막으로 사용될 수 있다.
도 1은 본발명에 사용가능한 플라즈마 CVD장치를 나타내는 개략도이고,
도 2는 본발명의 일 실시예에 따른 실리콘 탄화물막을 형성하는데 사용되는 막형성 제어 순서(순서 A)를 나타낸 도면이고,
도 3은 본발명의 다른 실시예에 따른 실리콘 탄화물막을 형성하는데 사용되는 막형성 제어 순서(순서 B)를 나타낸 도면이고,
도 4는 본발명의 제3실시예에 따른 실리콘 탄화물막을 형성하는데 사용되는 막형성 제어 순서(순서 C)를 나타낸 도면이고,
도 5는 본발명의 제4실시예에 따른 실리콘 탄화물막을 형성하는데 사용되는 막형성 제어 순서(순서 D)를 나타낸 도면이고
도 6은 본발명의 제5실시예에 따른 실리콘 탄화물막을 형성하는데 사용되는 막형성 제어 순서(순서 E)를 나타낸 도면이고,
도 7은 본발명의 또 다른 실시예에 따른 실리콘 탄화물막을 형성하는데 사용되는 막형성 제어 순서(순서 F)를 나타낸 도면이고,
도 8은 본발명의 일 실시예에 따른 수소 가스를 사용하는 실리콘 탄화물막의 형성에 사용되는 막형성 제어 순서를 나타낸 도면이고,
도 9a 내지 도 9i는 본발명의 일 실시예에 따른 실리콘 탄화물막이 사용된 이중 다마신 구조를 순서대로 나타내는 도면이다.
1 : 플라즈마 CVD장치 2 : 반응챔버
3 : 서셉터 4 : 샤워해드
5 : 히터 6 : 배관
7 : 밸브 8,8' : RF 발진기
9 : 반도체 기판 10 : 매칭회로
11 : 밸브 12 : 배관
13 : 가스 출입 포트 14 : 질량유량 제어기
15 : 밸브 16 : 가스 출입 포트
17 : 원격 플라즈마 챔버 18 : 배관
19 : 배관 20 : 배기 포트
21 : 용량 제어밸브 22 : 압력 제어기
23 : 압력 게이지 24 : 시스 히터
25 : 써모커플 26 : 온도 제어기
27 : 접지 28 : 개구부
29 : 가스 출입 포트 30 : 게이트 밸브

Claims (41)

  1. 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법에 있어서,
    (a) 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를, 상기 원료 물질 가스 대 상기 비활성가스를 소정의 혼합비로 하여 반응 챔버내로 도입하는 단계와;
    (b) 상기 혼합비에서, 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 유전율이 약 4.0 또는 이 보다 높은 경화가능한(curable) 실리콘 탄화물막을 반도체 기판상에 형성하는 단계와;
    (c) 상기 (b) 단계보다 감소한 혼합비에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율을 갖도록 하는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서,
    상기 경화된 실리콘 탄화물막은 대기중 또는 400℃에서의 응력 변화가 상기 경화가능한 실리콘 탄화물막에 비해 1/2보다 작은 것을 특징으로 하는 방법.
  3. 제 1항에 있어서,
    상기 실리콘 탄화물막은 실리콘-탄소-수소(Si-C-H) 물질로 이루어진 것을 특징으로 하는 방법.
  4. 제 1항에 있어서,
    상기 혼합비의 감소는 (ⅰ) 상기 원료 물질 가스의 유량감소, (ⅱ) 상기 비활성 가스의 유량증가, 또는 (ⅲ) 상기 원료 물질 가스의 유량감소 및 상기 비활성 가스의 유량증가로 수행되어지는 것을 특징으로 하는 방법.
  5. 제 4항에 있어서,
    상기 혼합비가 불연속적으로 감소한 시점 전후의 상기 혼합비는 일정한 것을 특징으로 하는 방법.
  6. 제 4항에 있어서,
    상기 혼합비가 감소한 시점 전에 상기 혼합비는 일정하며, 상기 혼합비가 감소한 시점 이후에는 상기 혼합비는 연속적으로 감소하는 것을 특징으로 하는 방법.
  7. 제 1항에 있어서,
    상기 혼합비의 감소 전에 상기 원료 물질 가스 대 상기 비활성 가스의 혼합비는 약 1/1 내지 약 1/3인 것을 특징으로 하는 방법.
  8. 제 1항에 있어서,
    상기 혼합비의 감소후의 상기 혼합비는 약 1/5 내지 1/100인 것을 특징으로 하는 방법.
  9. 제 1항에 있어서,
    상기 혼합비의 감소후의 상기 혼합비는 약 0인 것을 특징으로 하는 방법.
  10. 제 1항에 있어서,
    상기 원료 물질 가스는 테트라메틸실란, 트리메틸실란, 그리고/또는 디비닐-디메틸실란을 포함하는 것을 특징으로 하는 방법.
  11. 제 1항에 있어서,
    상기 비활성가스는 헬륨, 아르곤, 네온, 크세논 또는 크립톤을 포함하는 것을 특징으로 하는 방법.
  12. 제 1항에 있어서,
    상기 막 형성전에 상기 반응 영역을 안정화하는 단계를 더 포함하며,
    여기서, 상기 원료 물질 가스의 유량과 상기 비활성 가스의 유량은 램프-업(ramp-up)방법으로 0에서 소정의 혼합비에 도달하기까지 증가하는 것을 특징으로 하는 방법.
  13. 제 1항에 있어서,
    상기 RF 전력은 저-주파수 전력과 고-주파수 전력을 포함하는 것을 특징으로 하는 방법.
  14. 제 13항에 있어서,
    상기 저-주파수 전력은 2MHz보다 작은 주파수를 가지며, 상기 고-주파수 전력은 2MHz이상의 주파수를 가지는 것을 특징으로 하는 방법.
  15. 제 1항에 있어서,
    상기 경화를 위한 시간은 약 20nm 내지 약 100nm의 두께를 가진 경화가능한 실리콘 탄화물막당 약 5초 내지 약 10초인 것을 특징으로 하는 방법.
  16. 제 1항에 있어서,
    상기 혼합비의 감소전에 상기 원료 물질 가스의 유량과 상기 비활성가스의 유량은 각각 약 100sccm 내지 약 1000sccm과 약 100sccm 내지 3000sccm인 것을 특징으로 하는 방법.
  17. 제 1항에 있어서,
    상기 실리콘 탄화물막은 식각 정지막(etch stop film)인 것을 특징으로 하는 방법.
  18. 제 1항에 있어서,
    상기 (a)단계에서, 상기 원료 물질 가스와 상기 비활성 가스에 더하여, 상기 반응챔버에 수소 소스 가스를 도입하는 것을 특징으로 하는 방법.
  19. 제 18항에 있어서,
    상기 (c)단계에서, 상기 수소 소스 가스 유량은 상기 수소 소스 가스 유량을 상기 원료 물질 가스 유량과 동기(synchronizing)시킴으로써 변화되는 것을 특징으로 하는 방법.
  20. 제 18항에 있어서,
    상기 (c)단계에서, 상기 수소 소스 가스 유량은 상기 (b)단계에서보다 감소한 것을 특징으로 하는 방법.
  21. 제 20항에 있어서,
    상기 (c)단계에서, 상기 수소 소스 가스 유량은 상기 (c)단계의 마지막에 약 0으로 감소하는 것을 특징으로 하는 방법.
  22. 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법에 있어서,
    반응챔버내로 실리콘, 탄소, 수소를 포함하는 원료 물질 가스와 비활성가스를 소정의 유량으로 도입하고, 상기 반응챔버내의 반응 영역에 RF 전력을 인가하여, 상기 반응챔버내에 위치한 반도체 기판상에 약 4.0이상의 유전율을 가지는 경화가능한 실리콘 탄화물막을 형성하는 단계와;
    상기 반응 영역에 계속하여 RF 전력을 인가하면서, 상기 원료 물질 가스 대 상기 비활성가스의 혼합비를 불연속적 또는 연속적으로 감소시킨후 유지하여, 약 4.0보다 크지 않은 유전율을 가지도록 상기 실리콘 탄화물막을 경화하는 단계를 포함하는 방법.
  23. 제 22항에 있어서,
    상기 혼합비의 감소는 (ⅰ) 상기 원료 물질 가스의 유량감소, (ⅱ) 상기 비활성 가스의 유량증가, 또는 (ⅲ) 상기 원료 물질 가스의 유량감소와 상기 비활성 가스의 유량증가로 수행되어지는 것을 특징으로 하는 방법.
  24. 제 22항에 있어서,
    상기 혼합비는 상기 혼합비의 불연속적인 감소 시점의 전후에 일정한 것을 특징으로 하는 방법.
  25. 제 22항에 있어서,
    상기 실리콘 탄화물막은 식각 정지막(etch stop film)인 것을 특징으로 하는 방법.
  26. 제 22항에 있어서,
    상기 (a)단계에서, 상기 원료 물질 가스와 상기 비활성 가스에 더하여 상기 반응챔버에 수소 소스 가스를 도입하는 것을 특징으로 하는 방법.
  27. 제 26항에 있어서,
    상기 (c)단계에서, 상기 수소 소스 가스 유량은 상기 수소 소스 가스 유량을 상기 원료 물질 가스 유량과 동기(synchronizing)시킴으로써 변화되는 것을 특징으로 하는 방법.
  28. 제 26항에 있어서,
    상기 (c)단계에서, 상기 수소 소스 가스 유량은 상기 (b)단계에서보다 감소한 것을 특징으로 하는 방법.
  29. 제 28항에 있어서,
    상기 (c)단계에서, 상기 수소 소스 가스 유량은 상기 (c)단계의 마지막에 약 0으로 감소하는 것을 특징으로 하는 방법.
  30. 플라즈마 CVD방법으로 반도체 기판 상에 실리콘 탄화물막을 형성하는 방법에 있어서,
    (A) 실리콘, 탄소, 수소를 포함하는 원료 물질 가스, 수소 소스 가스, 비활성가스를, 상기 원료 물질 가스, 상기 수소 소스 가스, 상기 비활성가스의 소정의 혼합 포뮬레이션으로 반응 챔버내로 도입하는 단계와;
    (B) 상기 혼합비에서 상기 반응챔버 내의 반응 영역에 RF 전력을 인가하여, 경화가능한(curable) 실리콘 탄화물막을 반도체 기판상에 형성하는 단계와;
    (C) 상기 (B) 단계보다 상기 수소 소스 가스 유량이 감소한 혼합 포뮬레이션에서 계속하여 상기 반응 영역에 RF 전력을 인가하여, 상기 실리콘 탄화물막을 경화하여 상기 경화가능한 실리콘 탄화물막보다 낮은 유전율과 누설전류를 갖도록 하는 단계를 포함하는 것을 특징으로 하는 방법.
  31. 제 30항에 있어서,
    상기 RF 전력은 저-주파수 전력과 고-주파수 전력을 포함하는 것을 특징으로 하는 방법.
  32. 제 31항에 있어서,
    상기 저-주파수 전력은 총 전력의 약 1/2보다 작은 것을 특징으로 하는 방법.
  33. 제 30항에 있어서,
    상기 (B)단계에서 상기 수소 소스 가스의 유량은 약 10sccm 내지 약 5000sccm인 것을 특징으로 하는 방법.
  34. 제 30항에 있어서,
    상기 (C)단계에서 상기 수소 소스 가스의 유량은 약 0sccm 내지 약 1000sccm인 것을 특징으로 하는 방법.
  35. 제 30항에 있어서,
    상기 (C)단계는 약 5초 내지 약 10초간 수행되는 것을 특징으로 하는 방법.
  36. 플라즈마 CVD방법에 의해 반도체 기판상에 상호연결(interconnect)을 형성하는 방법에 있어서,
    실리콘, 탄소, 산소, 수소를 포함하는 가스와 선택적으로 비활성가스를 사용하여 플라즈마 CVD방법으로 반도체 기판상에 유전막을 형성하는 단계와,
    상기 유전막 상에 식각 정지층으로서 제1항에 따른 실리콘 탄화물막을 형성하는 단계와;
    상기 기판을 구리 배선을 위해 식각하는 단계를 포함하는 것을 특징으로 하는 방법.
  37. 제 30항에 있어서,
    상기 유전막은 실리콘-탄소-산소-수소(Si-C-O-H)로 이루어지며, 상기 식각정지층은 실리콘-탄소-수소(Si-C-H)로 이루어진 것을 특징으로 하는 방법.
  38. 반도체 기판상에 구리층과 접촉하고 있는 막을 포함하는 층간 구조를 제조하는 방법에 있어서,
    (ⅰ) 반도체 기판상에 다중층을 형성하는 단계와;
    (ⅱ) 식각을 통해 상기 다중층의 층간 연결을 위한 홀을 형성하는 단계와;
    (ⅲ) 상기 홀에 구리를 증착하는 단계와;
    (ⅳ) 상기 다중층의 상부에서 과잉의 구리를 제거하는 단계와;
    (ⅴ) 상기 다중층의 상부에 제30항에 따른 실리콘 탄화물막을 증착하는 단계를 포함하며,
    상기 (ⅴ)단계에서 상기 구리는 상기 실리콘 탄화물막으로 덮혀있는 것을 특징으로 하는 방법.
  39. 제 38항에 있어서,
    상기 (ⅰ)단계에서, 상기 다중층은 상기 기판상에 순차적으로 적층된 하부 식각정지층, 하부 저유전층, 중간 식각정지층, 상부 저유전층, 상부 식각정지층을 포함하며,
    상기 (ⅱ)단계에서, 상기 홀은 상기 상부 식각정지층 상부의 감광막을 형성하고 상기 감광막을 이용하여 상기 다중층을 식각하여 비아홀과 트렌치를 마련함으로써 형성되며,
    상기 (ⅳ)단계에서, 상기 감광막과 상기 상부 식각정지층은 상기 과잉의 구리를 제거할 때 제거되는 것을 특징으로 하는 방법.
  40. 제 39항에 있어서,
    상기 하부 식각정지층, 상기 중간 식각정지층, 상기 상부식각정지층은 제30항에 따라 형성되는 것을 특징으로 하는 방법.
  41. 제 39항에 있어서,
    상기 (ⅰ)단계 내지 상기 (ⅳ)단계는 적어도 1회 반복되는 것을 특징으로 하는 방법.
KR1020040080703A 2003-10-09 2004-10-09 실리콘 탄화물막을 제조하는 방법 KR20050034566A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/682,180 2003-10-09
US10/682,180 US6919270B2 (en) 2002-10-10 2003-10-09 Method of manufacturing silicon carbide film
US10/722,179 2003-11-25
US10/722,179 US6991959B2 (en) 2002-10-10 2003-11-25 Method of manufacturing silicon carbide film

Publications (1)

Publication Number Publication Date
KR20050034566A true KR20050034566A (ko) 2005-04-14

Family

ID=34316905

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040080703A KR20050034566A (ko) 2003-10-09 2004-10-09 실리콘 탄화물막을 제조하는 방법

Country Status (4)

Country Link
US (1) US6991959B2 (ko)
EP (1) EP1523034A3 (ko)
JP (1) JP2005117052A (ko)
KR (1) KR20050034566A (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
KR20140096370A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 플라즈마 처리에서의 불활성-우세한 펄싱
KR20190132304A (ko) * 2010-03-25 2019-11-27 노벨러스 시스템즈, 인코포레이티드 필름 스택의 인-시츄 증착

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
JP4235066B2 (ja) * 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 薄膜形成方法
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
JP4435666B2 (ja) * 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7459388B2 (en) * 2006-09-06 2008-12-02 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures using adhesion layers having high internal compressive stresses
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
TWI399451B (zh) * 2008-09-05 2013-06-21 Yu Hsueh Lin 傳動機構之表面鍍膜方法
JP5133852B2 (ja) * 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
CN103796950A (zh) 2011-09-16 2014-05-14 英派尔科技开发有限公司 石墨烯缺陷的改性
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN103887233B (zh) * 2014-04-08 2017-05-17 苏州大学 集成电路用低介电常数薄膜层的制备工艺
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
DE102016121220B3 (de) * 2016-11-07 2018-05-09 Snaptrack, Inc. Schichtenfolge mit alternierender akustischer Impedanz, akustisches Bauelement mit der Schichtenfolge und Verfahren zur Herstellung
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
FR3068506B1 (fr) * 2017-06-30 2020-02-21 Soitec Procede pour preparer un support pour une structure semi-conductrice
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109804463B (zh) * 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112077272B (zh) 2019-06-12 2021-06-15 宝山钢铁股份有限公司 板坯连铸二冷区的电磁搅拌装置及方法
RU2767098C2 (ru) * 2021-07-29 2022-03-16 Общество с ограниченной ответственностью "Открытый код" Cvd-реактор синтеза гетероэпитаксиальных пленок карбида кремния на кремниевых подложках

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JPH11209876A (ja) * 1998-01-26 1999-08-03 Nippon Asm Kk 薄膜形成装置及び方法
JPH11312649A (ja) * 1998-04-30 1999-11-09 Nippon Asm Kk Cvd装置
JP3468347B2 (ja) * 1998-06-22 2003-11-17 日本エー・エス・エム株式会社 半導体素子の製造方法
JP2000012783A (ja) * 1998-06-22 2000-01-14 Nippon Asm Kk 半導体素子の製造方法
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP3072989B1 (ja) * 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 半導体基板上に薄膜を形成する成膜装置における成膜方法
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP3242901B2 (ja) * 1999-06-18 2001-12-25 日本エー・エス・エム株式会社 半導体形成方法及び装置
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
WO2011087698A3 (en) * 2009-12-22 2011-11-17 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
KR20190132304A (ko) * 2010-03-25 2019-11-27 노벨러스 시스템즈, 인코포레이티드 필름 스택의 인-시츄 증착
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
KR20140096370A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 플라즈마 처리에서의 불활성-우세한 펄싱

Also Published As

Publication number Publication date
US20040115876A1 (en) 2004-06-17
US6991959B2 (en) 2006-01-31
JP2005117052A (ja) 2005-04-28
EP1523034A3 (en) 2006-01-11
EP1523034A2 (en) 2005-04-13

Similar Documents

Publication Publication Date Title
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
JP4066332B2 (ja) シリコンカーバイド膜の製造方法
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
KR100437068B1 (ko) 탄소질 산화실리콘의 형성방법
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
TWI523103B (zh) 在高開口率圖案上形成具有Si-N鍵之共形膜的方法
US7211525B1 (en) Hydrogen treatment enhanced gap fill
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
JP4566651B2 (ja) 低比誘電率膜を形成する方法
KR20080106984A (ko) 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
TWI692008B (zh) 用於形成高品質薄膜的循環連續製程
JP4049214B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
JP2004247725A (ja) シリコンカーバイド膜を形成する方法
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
KR20080027138A (ko) 고밀도의 절연막을 형성하는 방법
JP2010028130A (ja) 低誘電率を有する水素化オキシ炭化珪素フィルムの製造方法
CN100550318C (zh) 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
JP2020507922A (ja) ハードマスク応用向けのホウ素がドープされた炭化タングステン
JP2005033203A (ja) シリコンカーバイド膜の形成方法
JP4743470B2 (ja) 半導体基板上にCu層と接触する膜を形成するための方法
JP2004153266A (ja) 積層構造体の形成方法及び絶縁膜の集積方法
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application