JP2005117052A - シリコンカーバイド膜を製造する方法 - Google Patents

シリコンカーバイド膜を製造する方法 Download PDF

Info

Publication number
JP2005117052A
JP2005117052A JP2004295687A JP2004295687A JP2005117052A JP 2005117052 A JP2005117052 A JP 2005117052A JP 2004295687 A JP2004295687 A JP 2004295687A JP 2004295687 A JP2004295687 A JP 2004295687A JP 2005117052 A JP2005117052 A JP 2005117052A
Authority
JP
Japan
Prior art keywords
silicon carbide
flow rate
film
source gas
carbide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004295687A
Other languages
English (en)
Inventor
Kamal Kishore Goundar
カマル・キショウ・ゴンダル
Kiyoshi Sato
清志 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/682,180 external-priority patent/US6919270B2/en
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2005117052A publication Critical patent/JP2005117052A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】低誘電率及び低リーク電流を有し、その膜応力が変化しないシリコンカーバイド膜を製造する方法を与える。
【解決手段】プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法は、シリコン、炭素及び水素を含む原料ガス、不活性ガス並びに付加的に水素ソースガスを、不活性ガスに対する原料ガスの所定の混合比率で反応チャンバ内に導入する工程と、所定の混合比率で高周波電力を印加しそれによって約4.0またはそれ以上の誘電率を有する未硬化シリコンカーバイド膜を形成する工程と、原料ガス及び水素ソースガスを減少させた混合比率で連続的に高周波電力を印加しそれによって未硬化シリコンカーバイド膜より低い誘電率及びリーク電流を与えるようシリコンカーバイド膜を硬化させる工程とから成る。
【選択図】図2

Description

本出願はここに参考文献として組み込まれる2003年10月9日出願の米国特許出願第10/682,180号の部分継続出願である。
本発明はプラズマCVDによる蒸着方法に関する。特に、本発明は銅配線用に使用されるエッチストップ膜として作用するシリコンカーバイド膜に関する。
従来、CPU、メモリ、システムLSIを含むLSIデバイスにおいて、アルミニウム合金が金属配線用に使用されてきた。アルミニウム配線を絶縁するために酸化シリコン膜が使用され、あるLSIデバイスに対してフッ素含有シリコン酸化膜が使用される。
近年、LSIデバイスの実行速度を向上させるべくアルミニウムより電気抵抗が低い銅が金属配線用材料として使用され、信号遅延を引き起こす配線容量を減少させるべく低誘電率を有する炭素含有シリコン酸化膜が層間絶縁膜として使用され始めた。銅配線を有するLSIデバイスにおいて、炭素含有シリコン酸化膜のバリア層内に銅配線用のトレンチまたはビアを形成するためにエッチストップ膜が使用される。過去、ドライエッチング速度が炭素含有シリコン酸化膜と比べ遅くかつ銅の拡散を防止する機能を有する窒化シリコン膜がエッチストップ膜として使用されてきた。しかし窒化シリコン膜の誘電率は約7と高いため、たとえ炭素含有シリコン酸化膜が適用されても窒化シリコン膜が全体として配線容量を増加させるという問題が生じる。
この問題を解決するべく、米国特許第5,800,878号に記載されるようなエッチストップ膜としてシリコンカーバイド膜を使用する技術が開発された。
米国特許第5,800,878号 シリコンカーバイド膜の誘電率は約5であり、約3の誘電率を有する炭素含有シリコン酸化膜と組み合わせることにより銅配線を使用するLSIデバイスに使用される。
典型的に、シリコンカーバイド膜と呼ばれるものはいくつかの異なる組成を有する。そのひとつはSi、C及びHから成るシリコンカーバイド膜である。この膜は酸素または水分を簡単に吸収する性質を有し、大気中に放置されるとその膜の応力または誘電率が変化するという欠点を有する。また、当該膜は高いリーク電流を有し、電気絶縁性が悪い。これらの問題を解決するべく、米国特許公開第2002-0054962号に記載されるような、不活性プラズマガスにより膜表面を処理することによって水分または酸素が膜表面から侵入することを防止する技術が開発された。シリコンカーバイドのような材料を含む炭素の酸化を、ヘリウム、アルゴンのような不活性ガスプラズマにより最小化する方法が特開2001−60584号にも記載されている。
米国特許公開第2002-0054962号 特開2001−60584号 しかし、この方法は膜のごく表面の質を改善するのみであり、SiCH膜の内部特性を改善していない。したがって膜は相変わらず高いリーク電流を有し、電気絶縁性が悪い。この膜の誘電率は約4.5から5であるため、この膜をより高速な性能を求める現在のLSIデバイスに適用するのは困難である。
異なる組成を有するシリコンカーバイド膜として、Si、C、N及びHから成るシリコンカーバイド膜、Si、C、O及びHから成るシリコンカーバイド膜他が、米国特許公開第2001-0030369号、米国特許公開第2002-0027286号、米国特許公開第2001-0051445号、米国特許公開第2001-0031563号に開示されている。
これらのシリコンカーバイド膜はより低いリーク電流を有し、上記SiCH膜と比べより良い電気絶縁性を与える。特に、SiCOH膜は酸素の含有量に依存して約4.2の低い誘電率を達成する。しかし、シリコンカーバイド膜は、炭素含有シリコン酸化膜と化学的性質が類似するため、炭素含有シリコン酸化膜とのエッチング速度の差が小さくなり(3から4倍以内)、そのエッチストップ性能が低下するという問題を有する。特に、酸素含有量を増加することによって誘電率が低下したSiCOH膜は配線用の絶縁膜として使用される炭素含有シリコン酸化膜と類似の化学組成を有するため、炭素含有シリコン酸化膜のエッチング速度と近い速度でエッチングされ、C4F8+O2+Arガスを使った反応イオンエッチング(RIE)により形成されるべき銅配線用のビアまたはトレンチを形成することが困難になる。さらに、LSIデバイス性能を高速化するために、4またはそれ以下の低い誘電率を達成することがエッチストップ膜として要求される。
そこで、本発明の目的は、約4またはそれ以下の誘電率を有し、その膜応力が大気中に放置されても変化せず、低いリーク電流及び炭素含有シリコン酸化膜より極端に小さいドライエッチング速度を有し、銅配線用のエッチストップ膜として使用され得るシリコンカーバイド膜を製造する方法を与えることである。本発明の他の目的は、エッチストップ膜に適した特性を有するシリコンカーバイド膜を製造する方法を与えることである。本発明の他の目的は、大気中で安定かつ環境の変化に耐え実際の十分な使用時間中にその特性を維持するシリコンカーバイド膜を製造する方法を与えることである。本発明の他の目的は、複雑な処理が不要で、成膜処理を中断することなく、高い効率でシリコンカーバイド膜を製造する方法を与えることである。本発明の他の目的は、厚さ方向及び径方向の両方に均一で環境に対して安定なシリコンカーバイド膜を製造する方法を与えることである。本発明の他の目的は、エッチストップ層としてシリコンカーバイド膜を使用する半導体デバイスを製造する方法を与えることである。本発明の他の目的は、半導体デバイス内に銅配線を形成する方法を与えることである。
本発明は、他に、低リーク電流及び圧縮応力とともに低誘電率を有するシリコンカーバイド膜を基板上に蒸着するという目的も有する。
上記目的を達成するために、本発明に従うシリコンカーバイド膜を製造する方法は2つの工程から成る。第1工程は、環境に対し不安定で、未硬化、半硬化、硬化可能、反応性、未完成または中間(成膜反応が終了していない)であるシリコンカーバイド膜を形成する。これらの用語は交換して使用される。第2工程は、成膜反応を完成することによりシリコンカーバイド膜を硬化させる。第1工程及び第2工程は同じリアクタ内で連続して実行される。本発明において、Si-C-Hタイプを含むあらゆる適当なタイプのシリコンカーバイド膜が形成される。
本発明の態様において、プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法は、(a)シリコン、炭素及び水素を含む原料ガス並びに不活性ガスを、不活性ガスに対する原料ガスの所定の混合比率で反応チャンバ内に導入する工程と、(b)反応チャンバ内の反応空間へ所定の混合比率で高周波電力を印加し、それにより約4.0またはそれ以上(例えば、4.0〜4.5、好適には4.0〜4.2)の誘電率を有する未硬化シリコンカーバイド膜を半導体基板上に形成する工程と、(c)工程(b)のものより減少した不活性ガスに対する原料ガスの混合比で反応空間へ高周波電力を連続的に印加し、それによって未硬化シリコンカーバイド膜の誘電率より低い誘電率(例えば、3.5から4.0、好適には3.7から4.0)を与えるようシリコンカーバイド膜を硬化させる工程と、から成る。上記において、工程(a)及び(b)は成膜工程(第1工程)に対応し、工程(c)は硬化工程(第2工程)に対応する。原料ガスが第2工程で使用される範囲まで膜は形成されるが、第2工程は主に膜を形成するのではなく膜を硬化させるためのものである。しかし、処理条件それ自身は第1工程のものと同様であり、第1工程及び第2工程は連続して実行される。付加的な膜の蒸着は除外されないが、第2工程は膜を硬化させるものであるため、第2工程において膜上に付加的な膜が蒸着される必要はない。第2工程を通じて、第1工程で形成された膜は径方向と同様に厚さ方向にも完全に硬化される。
他の態様において、本発明はプラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法を与え、当該方法は、(I)所与流量のシリコン、炭素及び水素を含む原料ガス並びに所与流量の不活性ガスを反応チャンバ内に導入し、かつ反応チャンバ内の反応空間に高周波電力を印加することにより、反応チャンバ内に配置された半導体基板上に約4.0以上の誘電率を有する未硬化シリコンカーバイド膜を形成する工程と、(II)反応空間へ高周波電力を連続的に印加しながら、不活性ガスに対する原料ガスの混合比を断続的または連続的に減少させその後維持することにより、約4.0以下の誘電率を有するシリコンカーバイド膜を硬化させる工程と、から成る。
ある態様において、工程(a)または(I)で、原料ガス及び不活性ガスに付加して水素ソースガスが反応チャンバ内に導入される。水素ソースガスを加えることにより、生成されたシリコンカーバイド膜は低いリーク電流及び圧縮応力とともに低い誘電率を有することができる。
上記において、工程(c)または(II)で、水素ソースガス流量を原料ガス流量と同期させることによってまたは原料ガス流量と独立に工程(b)または(II)でのものより水素ソースガスを減少させることにより、水素ソースガス流量は変更可能である。ある態様において、水素ソースガス流量は工程(c)または(II)の最後でゼロまで減少する。
さらに他の態様において、本発明は、プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法を与え、当該方法は、(A)シリコン、炭素及び水素を含む原料ガス、水素ソースガス並びに不活性ガスを、原料ガス、水素ソースガス及び不活性ガスの所定の混合比で反応チャンバ内に導入する工程と、(B)所定の混合比で反応チャンバ内の反応空間へ高周波電力を印加し、それによって半導体基板上に未硬化シリコンカーバイド膜を形成する工程と、(C)炭素ソースガス流量が工程(B)のものから減少するところの混合比で反応空間へ高周波電力を連続的に印加し、それによって未硬化シリコンカーバイド膜より低い誘電率を与えるべくシリコンカーバイド膜を硬化させる工程と、から成る。上記において、好適にはRF電力は低周波電力及び高周波電力から成り、後者に対する前者の比率は約1/2以下である。
さらに他の態様において、本発明はプラズマCVDにより半導体基板上に配線を形成する方法を与え、当該方法は(1)シリコン、炭素、酸素及び水素を含むガス並びに付加的に不活性ガスを使ってプラズマCVDにより半導体基板上に絶縁膜を形成する工程と、(2)上記いずれかの方法に従い絶縁膜上にエッチストップ層としてシリコンカーバイド膜を形成する工程と、(3)基板を銅配線用のエッチングにさらす工程と、から成る。上記において、絶縁膜はSi-C-O-H材料から作られ、エッチストップ層はSi-C-H材料から作られる。
また、本発明は、銅層と接触する膜を含む層間構造を半導体基板上に製造するための方法を含み、当該方法は、(i)半導体基板上に多重層を形成する工程と、(ii)多重層の層間結合用の穴をエッチングにより形成する工程と、(iii)その穴の中に銅を蒸着する工程と、(iv)多重層の頂上から余分の銅を除去する工程と、(v)上記いずれかの方法に従い多重層の頂上にシリコンカーバイド膜を蒸着する工程と、から成る。実施例として、工程(i)において、多重層は基板上に順に積層された下部エッチストップ層、下部低誘電率層、中間エッチストップ層、上部低誘電率層及び上部エッチストップ層から成り、工程(ii)において、穴は上部エッチストップ層の頂上にレジストを形成し、該レジストを使って多重層をエッチングすることによりビアホール及びトレンチを形成することによって製造され、工程(iv)において、レジスト及び上部エッチストップ層は余分の銅を除去する際に除去される。下部エッチストップ層、中間エッチストップ層、及び上部エッチストップ層は上記いずれかの方法に従い形成される。
本発明及び従来技術を超えて達成される利点を要約するために、本発明のいくつかの目的及び利点が説明されてきた。もちろん、必ずしもすべての目的または利点が発明のある特定の態様に従い達成されるものではないことが理解されよう。したがって、発明はここに教示されまたは提案されるような他の目的または利点を必ずしも達成することなく、ここに教示されたひとつの利点または利点の集合を達成または最適化する方法で実施または実行されることは当業者の知るところである。
本発明の他の態様、特徴及び利点は以下の好適実施例の詳細な説明から明らかとなる。
本発明は好適実施例を参照して以下に詳細に説明される。しかし、本発明はこれらの実施例を含むに過ぎず、それに限定されるものではない。
本発明は、実施例で使用されるあらゆる工程、条件及び材料が動作原理が維持される限りあらゆる制限無しに他の実施例において交換可能かつ相補的に使用されるところの以下を含むさまざまな実施例に適用される。
上記したように、本発明の実施例において、プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法は、(a)シリコン、炭素及び水素を含む原料ガスと不活性ガスを、不活性ガスに対する原料ガスの所定の混合比率で反応チャンバ内に導入する工程と、(b)該混合比率で反応チャンバ内の反応空間に高周波電力を印加し、それによって約4.0またはそれ以上の誘電率を有する未硬化シリコンカーバイド膜を半導体基板上に形成する工程と、(c)工程(b)より低減した不活性ガスに対する原料ガスの混合比率で反応空間へ連続して高周波電力を印加し、それにより未硬化シリコンカーバイド膜よりも低い誘電率を与えるようシリコンカーバイド膜を硬化させる工程と、から成る。
上記において、硬化シリコンカーバイド膜は400℃の大気中で応力変化が未硬化シリコンカーバイド膜の約1/2以下(1/3、1/4、1/5及びそのいずれかを含む範囲を含む)である膜として定義される。未硬化シリコンカーバイド膜はその逆に定義される。
実施例において、混合比率の低減は、(i)原料ガスの流量を減少させるか、(ii)不活性ガスの流量を増加させるか、または(iii)原料ガスの流量を増加させかつ不活性ガスの流量を増加させることにより達成される。実施例において、混合比率はそれが不連続に低減するポイントの前後で一定である。混合比率の変化は断続的に達成される。すなわち、もし混合比率が縦軸で時間が横軸なら混合比率は垂直方向に変化する(つまり、過渡期はない)。他に、混合比率の変化は、ランプアップまたはダウン方法による一定の速度(つまり、線形的変化)で、または連続的に変化する速度(例えば、対数的または指数関数的変化)で達成される。断続的な混合比率の低減が好適であるが、混合比率の低減に関して8通りの組合せがある。
Figure 2005117052
RF電力は第1及び第2工程を通じて連続的に反応チャンバへ印加される。他の条件は第1及び第2工程を通じて同一のままである。すなわち、実施例において、第2工程は実際の成膜用の材料ガスが十分に供給されない成膜条件の下で実行される。
実施例において、不活性ガスに対する原料ガスの混合比率は、低減前(第1工程)は好適に約1/1から約1/3(1/2及びその間の任意の値を含む)であるが、他の実施例では1/0.5〜1/5の範囲が使用される。
実施例において、低減後(第2工程)の不活性ガスに対する原料ガスの混合比率は好適に約1/5から約1/100であり、他の実施例ではゼロに近い(1/10、1/20、1/30、1/40、1/50、1/80、1/200、1/500、1/1000、及びその間の任意の値を含む)。他の実施例において、低減後の不活性ガスに対する原料ガスの混合比率は約ゼロである。好適には、不活性ガスの流量は連続的または断続的に増加し、それにより材料ガスの流量は徐々にゼロまで減少する(すなわち、連続的な低減または所与の速度の低減)。上記において、低減後の混合比率は第2工程での最終的な混合比率を意味する。
原料ガスは、これらに限定されないが、テトラメチルシラン、トリメチルシラン及びジビニル-ジメチルシランのような有機シランを含む。不活性ガスは、これらに限定されないが、ヘリウム、アルゴン、ネオン、キセノンまたはクリプトンを含む。
実施例において、さらに方法は、膜形成前(第1工程前)に、ランプアップ法により所定の混合比率に達するまで原料ガス流量及び不活性ガス流量がゼロから増加するところの、反応空間を安定化する工程を含む。
また、RF電力は低周波電力及び高周波電力から成る。ある実施例において、低周波電力は2MHz以下の周波数を有する電力であり、高周波電力は2MHz以上の周波数を有する電力である。他の実施例において、低周波電力は約10Wから約600Wの範囲(50W、100W、200W、300W、400W、500W、及びその間の任意の値を含む)で印加され、高周波電力は約100Wから約200Wの範囲(200W、500W、700W、1000W、1500W、及びその間の任意の値を含む)で印加され、低周波電力は高周波電力より小さい。
ある実施例において、硬化させるための時間間隔(第2工程)は、約20nmから約100nmの厚さを有する未硬化シリコンカーバイド膜あたり約5秒から約10秒である。時間間隔は膜厚、成膜反応の完成度等に依存して変化する。厚さ10nmあたりの時間間隔は約0.5から5(1、2、3、4及びその間の任意の値を含む)秒である。膜厚はこれに限定されないが膜の使用目的に応じて10〜1000nmの範囲である。
混合比率の低減前の原料ガスの流量及び不活性ガスの流量はそれぞれ約100sccmから約1000sccm及び約100sccmから約3000sccmである。
シリコンカーバイド膜はエッチストップ層、ハード膜、キャップ層、またはその他のさまざまな目的用の膜として使用される。
他の態様において、本発明はプラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法を与え、当該方法は、(I)シリコン、炭素及び水素を含む所与流量の原料ガス及び所与流量の不活性ガスを反応チャンバ内に導入し、反応チャンバ内の反応空間へ高周波電力を印加することにより、反応チャンバ内に配置された半導体基板上に約4.0以上の誘電率を有する未硬化シリコンカーバイド膜を形成する工程と、(II)反応空間に高周波電力を連続的に印加しながら不活性ガスに対する原料ガスの混合比率を断続的または連続的に低減させその後維持することにより約4.0以下の誘電率を有するシリコンカーバイド膜を硬化させる工程と、から成る。
上記において、混合ガスの低減は、(i)原料ガスの流量を減少させるか、(ii)不活性ガスの流量を増加させるか、または(iii)原料ガスの流量を減少させると同時に不活性ガスの流量を増加させることにより達成される。また、混合比率は混合比率の不連続な低減ポイントの前後で一定である。シリコンカーバイド膜はエッチストップ膜である。
他の実施例において、第1工程で、原料ガス及び不活性ガスに加え、水素ソースガスのような他の添加ガスが目的のシリコンカーバイド膜の種類に応じて反応チャンバ内に導入される。水素ソースガスを添加することにより、生成されたシリコンカーバイド膜は低リーク電流及び圧縮応力とともに低誘電率を有する。生成されたシリコンカーバイド膜のリーク電流及び誘電率は、膜中の炭素濃度及び反応チャンバ内に導入されるテトラメチルシランのような原料ガス及び不活性ガスの流量に直接関係する。ある実施例において、シリコンカーバイド膜中の炭素濃度はリーク電流を特定的に減少させるように水素ソースガス流量を制御することによって約15から約30原子%(好適には、約20から約25原子%)の範囲に制御される。
上記において、水素ソースガス流量は、水素ソースガス流量を原料ガス流量と同期させることにより、または材料ガス流量とは独立に第1工程のものから水素ソースガスを減少させることにより第2工程において変更される。ある実施例において、水素ソースガス流量は第2工程の終わりにほぼゼロまで減少する。
水素ソースガスが使用されるところの態様において、プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法は、(A)シリコン、炭素及び水素を含む原料ガス、水素ソースガス並びに不活性ガスを、原料ガス、水素ソースガス及び不活性ガスの所定の混合比率で反応チャンバ内に導入する工程と、(B)該混合比率で反応チャンバ内部の反応空間へ高周波電力を印加し、それによって半導体基板上に未硬化シリコンカーバイド膜を形成する工程と、(C)水素ソースガス流量が工程(B)のものより減少するところの混合比率で反応空間へ高周波電力を連続的に印加し、それによって未硬化シリコンカーバイド膜よりも低い誘電率を与えるようシリコンカーバイド膜を硬化させる工程と、から成る。上記方法において、水素ソースガス流量はその流量を原料ガス流量と同期させるかまたは以下のように流量を独立に制御することによって工程(C)(第2工程)において変更される。
Figure 2005117052
ある実施例において、水素ソースガス流量は、第1工程において約10sccmから約5000sccm(20、30、50、100、200、300、500、1000、2000、3000sccm及びその間の任意の値を含み、好適には約20sccmから約1000sccm、より好適には約20sccmから約500sccm)であり、第2工程において約0sccmから約1000sccm(10、20、30、50、100、250、500、750sccm及びその間の任意の値を含み、好適には約0sccmから約500sccm、より好適には約0sccmから約250sccm、さらに好適には約0sccmから約30sccm)であり、第2工程の流量が第1工程の流量より小さい。ある実施例において、水素ソースガス流量は原料ガス流量の約10%から約100%(20%、30%、50%及びそれの間の任意の値を含む)である。
水素ソースガスはこれに限定されないが、メタン及びエタンのような炭水化物ガス及び水素ガスを含む。水素ガス以外の水素ソースガスを使用する際、その流量は水素含量に基づいて決定される。
水素ソースガスを使用しないプラズマCVDに適用される条件は水素ソースガスを使用するプラズマCVDに適用される。例えば、RF電力は低周波電力及び高周波電力から成る。低周波電力は全電力の約50%以下(1%、5%、10%、20%、30%、40%及びその間の任意の値を含む)である。第2工程はシリコンカーバイド膜の種類及びその厚さに応じて約5秒から約10秒間実行される。ある実施例において、第1工程の時間間隔が約10秒から約100秒(20、30、60、80秒及びその間の任意の値を含む)であるのに対し、第2工程の時間間隔は約1秒から約50秒の範囲(5、10、20、30秒及びその間の任意の値を含む)であり、第2工程は第1工程より短い。
以下の条件は水素ソースガスを使用しないプラズマCVDに対して使用されるが、水素ソースガスを使用するプラズマCVDにも適している。本発明はそれに限定されない。
(1)高周波RF電力は約13MHzと約30MHzの間の周波数を有し、約200ワットと約1000ワットの間の電力を有する。低周波RF電力は約100kHzと約500kHzの間の周波数を有し、約50ワットと約500ワットの間の電力を有する。
(2)全RF電力に対する低周波RF電力の比率は約0.5以下である。
(3)電極表面の平均電力は実質的に一定である。
(4)シリコン及び炭素ソースガス(原料ガス)は、トリメチルシラン、テトラメチルシラン、またはジビニル-ジメチルシランのいずれかである。
(5)不活性ガスは、ヘリウム、アルゴン、またはクリプトンのいずれかである。
(6)水素ソースは、メタン(CH4)または水素(H2)のいずれかまたは両方である。
(7)第1工程における不活性ガスに対するシリコン及び炭素ソースガスの比率は約1:1と約1:15の間である。
(8)シリコン及び炭素ソースガスは約200sccmと約500sccmの間の流量で反応空間内に与えられる。
(9)基板は約200℃と約400℃の間の温度に加熱される。
(10)基板は約320℃と約350℃の間の温度に加熱される。
(11)反応空間は約300Paと約1000Paの間の圧力に維持される。
(12) 反応空間は約500Paと約800Paの間の圧力に維持される。
(13)シリコンカーバイド膜形成は、i)TMS、H2、Heを流しかつRF電力を印加することにより基板上にベース膜が形成されるところのベース膜形成工程(第1工程)と、ii)ベース膜形成工程後に、プラズマ放電を変更することなくHe流量が増加しTMS及びH2流量が減少するような第2成膜工程が連続して実行されるところの活性プラズマ処理工程(第2工程)と、から成る。
(14)膜形成は活性プラズマ処理工程の間続く。
(15)Heは不活性ガスとして使用され、活性プラズマ処理工程の間その流量は約1500sccmから3000sccmの流量まで増加する。
(16)H2ガスは水素ソースガスとして使用され、活性プラズマ処理工程の間その流量は約30sccmから0sccmの流量まで減少する。
(17)TMS(テトラメチルシラン)が原料ガスとして使用され、活性プラズマ工程中のその流量は約100sccmから0sccmの流量へ減少する。
(18)He、TMS及びH2が使用され、活性プラズマ処理中、プラズマ放電を変更することなく、それらの流量はそれぞれ増加、減少及び減少する。
(19)プラズマ処理工程としてここに記載された第2工程の時間間隔は、5秒から10秒の間である。
(20)活性プラズマ処理工程中、全電力に対する低周波RF電力の比率はベース膜形成工程中のものと実質的に同じであり、0.5より低い。
(21)活性プラズマ処理工程中の圧力はベース膜形成工程中のものと実質的に同じであり、約500Paから約800Paの間の圧力に維持される。
(22)当該シリコンカーバイド層は約4.0より低い誘電率を有する。
(23)当該シリコンカーバイド層は圧縮膜応力を有する。
(24)当該シリコンカーバイド層は1MV/cmの電場で1×10−9A/cm2以下のリーク電流を有する。
(25)当該シリコンカーバイド膜はエッチストップ層である。
(26)当該シリコンカーバイド膜はハード膜である。
本発明は上記方法に限定されず、プラズマCVDにより半導体基板上に配線を形成するための方法である他の態様を含み、当該方法は、(a)シリコン、炭素、酸素及び水素並びに付加的に不活性ガスを含むガスを使用してプラズマCVDにより半導体基板上に絶縁膜を形成する工程と、(b)上記方法のいずれかに従い絶縁膜上にシリコンカーバイド膜をエッチストップ層として形成する工程と、(c)基板を銅配線用のエッチングにさらす工程と、から成る。上記において、絶縁膜はSi-C-O-H材料から製造され、エッチストップ層はSi-C-H材料から作られている。
また、本発明の他の態様において、銅層と接触する膜を含む層間構造を半導体基板上に製造するための方法は、(i)半導体基板上に多重層を形成する工程と、(ii)多重層を層間結合するための穴をエッチングにより形成する工程と、(iii)穴の中に銅を蒸着させる工程と、(iv)多重層の頂部から余分な銅を除去する工程と、(v)上記いずれかの方法に従い、多重層の頂部にシリコンカーバイド膜を蒸着し、それによって銅がシリコンカーバイド膜により覆われる、ところの工程と、から成る。
ある実施例において、工程(i)の多重層は基板上に順に積層された下部エッチストップ層、下部低誘電率層、中間エッチストップ層、上部低誘電率層及び上部エッチストップ層から成り、工程(ii)の穴は上部エッチストップ層の頂上にレジストを形成し、該レジストを使って多重層をエッチングすることによりビアホール及びトレンチを形成することにより製造され、工程(iv)で余分な銅を除去する際にレジスト及び上部エッチストップ層が除去される。上記において、下部エッチストップ層、中間エッチストップ層、及び上部エッチストップ層が上記いずれかの方法に従い形成される。ある実施例において、所望のまたは目的とする層間結合構造が形成されるまで、上記工程(i)から(iv)が少なくとも1回繰り返される。
本発明のさまざまな実施例を使って開発されたシリコンカーバイド膜(SiC)のようなエッチストップ層及び銅拡散バリア層の主な特性は実施例において以下のとおりである。
a)誘電率は4.0以下である。
b)膜応力は圧縮性である。
c)20℃から30℃の間の温度で空気に直接さらされても、膜応力の変化または膜誘電率の変化のような膜特性の変化は観測されない。
d)リーク電流は1MV/cmで1×10−9A/cm2以下と非常に低い。
e)SiOC(中間絶縁膜として)に対するSiCのエッチ選択性は5以上である。
水素ソースガスを使用する本発明の好適実施例にしたがって、集積回路製造処理に使用するための圧縮応力を有する低誘電率、低リーク電流シリコンカーバイド膜を形成する方法が与えられる。シリコンカーバイド膜は、ジビニルジメチルシラン(Si(CH=CH2)2(CH3)2)、トリメチルシラン(SiH(CH3)3)、及びTMSと呼ばれるテトラメチルシラン(Si(CH3)4)のようなアルキルシリコン化合物、水素ガス(H2)及びメタンのような水素の実質的なソース、並びにアルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)及びキセノン(Xe)のような不活性ガスを電場の存在するプラズマCVDリアクタ内へ導入することにより半導体基板上に蒸着される。
高周波RF電力は13.56MHzから30MHzの範囲であり、低周波RF電力は200kHzから500kHzの範囲であり、全電力に対する低周波の比率は約0.5以下であるところの高及び低周波混合RF電力が電場を生成する。本発明におけるシリコンカーバイド膜のリーク電流及び誘電率は過剰な量のテトラメチルシラン及び不活性ガスを導入することにより減少する。
上記したように、本発明はさまざまな実施例及び態様を含み、さまざまな方法で使用される。
本発明は図面を参照してさらに詳細に説明される。図1は本発明の実施例に従う半導体基板上にシリコンカーバイド膜を蒸着する方法に使用されるプラズマCVD装置の略示図である。プラズマCVD装置(プラズマ強化CVD、PECVD)1は、反応チャンバ2、反応チャンバ内に与えられ半導体基板を載置するためのサセプタ3、サセプタ3と対向して設置され半導体基板9へ均等に反応ガスを噴射するためのシャワーヘッド4から成る。
反応チャンバ2の側壁には、反応チャンバを排気するための排気口20が与えられ、それは配管19を介して真空ポンプ(図示せず)へ接続される。排気口20と真空ポンプとの間には、反応チャンバ2内部の圧力を調整するためのコンダクタンス調整バルブ21が設置されている。コンダクタンス調整バルブ21は圧力制御器22を介して反応チャンバ内部の圧力を測定するための圧力計23へ電気的に接続されている。
付加的に、反応チャンバ2の側壁には、開口部28が与えられる。開口部28はゲートバルブ30を通じて反応チャンバ2へ半導体基板9を搬入または搬出するための搬送チャンバ(図示せず)に接続される。
サセプタ3の下には、半導体基板9を加熱するためのアルミニウム合金ヒータ5が与えられる。アルミニウム合金ヒータ5内部には、抵抗加熱型シースヒータ24及び熱電対25が埋設され、半導体基板9の温度を所与の温度に制御するための温度制御器26に接続される。サセプタ3及びアルミニウム合金ヒータ5はプラズマ放電用の一方の電力を形成するよう接地27される。サセプタ3及びアルミニウム合金ヒータ5の代わりに、セラミックヒータが使用されてもよい。この場合、セラミックヒータは半導体基板を直接保持するためのサセプタとしても使用される。セラミックヒータは、抵抗加熱型ヒータを焼結によって基体に内蔵させることにより製造されたセラミック基体から成る。セラミック基体の材料として、フッ素または塩素活性種に対して耐性を有するセラミック窒化物または酸化物が使用される。好適には、セラミック基体は窒化アルミニウムから成るが、酸化アルミニウムまたは酸化マグネシウムであってもよい。
反応チャンバ2内で、シャワーヘッド4はサセプタ3に対向する位置に設置される。シャワーヘッド4の下面4’には、半導体基板9へガスを均等に噴射するための直径約0.5mmから約1.0mmの細孔(図示せず)が約1000個から約5000個設けられている。シャワーヘッド4は好適にはマッチング回路10を介して高周波発振器(8,8’)へ電気的に接続され、プラズマ放電のもう一方の電極として機能する。ここで、高周波発振器をサセプタ3へ接続し、シャワーヘッド4を接地してもよい。高周波発振器(8,8’)は、13MHzまたはそれ以上(通常の工業的実施において、13.56MHzまたは27.12MHz)及び100kHzから1MHz(好適には、300kHzから400kHz)の2種類の高周波電力を生成する。この2種類の高周波電力はマッチング回路10内で合成され、シャワーヘッド4へ印加される。シャワーヘッド4から半導体基板上の反応空間へ供給される反応ガス(原料ガス及び不活性ガスから成るガス)はシャワーヘッド4に印加される高周波電力により形成されるプラズマ放電により励起されかつ分解され、半導体基板9上に薄膜を蒸着させる。
シャワーヘッド4の上面の中心付近には、ガス導入口29が設けられている。ガス導入口29には配管6が結合されている。配管6の上流側にはバルブ7を介して遠隔プラズマチャンバ17が結合されている。また配管18が遠隔プラズマチャンバ17へ結合されている。配管18の上流側には、バルブ15及び質量流量制御器14が結合されている。配管18の端部はクリーニングガス流入口16として形成される。クリーニングガスの種類に従い、ガス流入口16の数が決定される。質量流量制御器14により所与の流量に制御された流量でガス流入口16から供給されたクリーニングガスは、反応チャンバ2内部を清浄にするべく遠隔プラズマチャンバ17内で活性化される。
配管6のガス導入口29とバルブ7との間の位置にバルブ11を介して配管12が結合されている。配管12の上流側には、バルブ15及び質量流量制御器14が結合されている。配管12の端部は原料ガス流入口13として形成される。反応ガスの種類に応じて、ガス流入口13の数が決定される。質量流量制御器14により所与の流量に制御されたそれぞれの流量でガス流入口16から供給されたさまざまなガスは、配管12及び配管6を通じて流れながら混合され、ガス導入口29を介してシャワーヘッド4へ供給される。
本発明の他の好適実施例において、シリコンソース、炭素ソース、水素ソース及び不活性ガスを含む混合ガスをプラズマ強化化学気相成長(PECVD)チャンバ内で反応させることによりシリコンカーバイド層が形成される。処理装置の詳細は図1に示されている。
ある実施例において、水素ソースガスを使用するプラズマCVDは、これに限定されないが、水素ソースガスの使用を除く以下の条件を使用する(以下の条件及び材料は水素ソースガスが無いプラズマCVDにおいて使用されるものである)。
シリコン及び炭素ソース(材料ガス)は、一般式SixCyHzを有するアルキルシリコン化合物であり、ここでxは1または2の整数、yは1から6の整数、zは6から20の整数である。例えば、とりわけジビニルジメチルシラン、トリメチルシラン及び/またはテトラメチルシランがアルキルシリコン化合物として使用される。水素ソースはテトラメチルシラン(TMS)及び/または水素ガス(H2)である。不活性ガスとして、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、クリプトン(Kr)及び/またはキセノン(Xe)が使用される。
一般に、200mmシリコンウエハ上にシリコンカーバイド膜を形成する蒸着処理パラメータは、約200℃から約400℃(好適には300℃から380℃)の基板温度範囲、約300Paから約1000Paのチャンバ圧力、約100sccmから約1000sccmの流量のアルキルシリコン化合物、約10sccmから約1000sccmの流量の水素ソース、約200sccmから約5000sccmの流量の不活性ガスを含む。このパラメータによるアルキルシリコン化合物に対する不活性ガス流量の比率は、約1:1から約1:10の範囲である。処理は、約100ワットから2000ワットの範囲の電力と約13MHzから30MHz(高周波)の範囲の周波数を有する第1RF電力、及び約50ワットから500ワットの範囲の電力と約100kHzから500kHz(低周波)の範囲の周波数を有する少なくとも第2RF電力を含む。したがって、全電力に対する低周波電力の比率は約0.5以下であり、RF電源は電場を生成する。好適には、第1RF電力は約300ワットから約1000Wの範囲であり、第2RF電力は50Wから250Wの範囲である。好適には、約300kHzから約450kHzの周波数を有する第2RF電力が第1RF電力と組み合わせて使用される。
全混合周波数電力に対する第2RF電力の比率は好適には約0.5から1.0より低い。上記処理パラメータは、チャンバ内の200mm基板上へ蒸着を実行する際に、約100nm/minから約350nm/minの範囲のシリコンカーバイド層の蒸着速度を与える。
シリコンカーバイド膜形成工程及びパラメータは以下に詳細に説明される。
本発明に従い基板上にシリコンカーバイド膜を成長させる処理の例として、表3から5に記述されたパラメータが使用される。
Figure 2005117052
Figure 2005117052
Figure 2005117052
本発明の実施例に従い半導体基板上にシリコンカーバイド膜を形成する方法が以下に説明される。図2は本発明に従いシリコンカーバイド膜を形成する方法に使用される蒸着制御シーケンスの好適実施例を示す。シーケンスAは、反応ガス導入工程(34,35)、蒸着工程(31,32)及びパージ工程36から成る。
反応ガス導入工程は不活性ガス導入工程34及び原料ガス導入工程35から成る。半導体基板9が反応チャンバ2内に搬入され、所与の温度(好適には、約300℃から約400℃、より好適には約320℃から約350℃)で加熱された後、流量が約100sccmから約3000sccmの範囲内に制御された不活性ガス(好適にはヘリウム)が不活性ガス導入工程34で反応チャンバ2内に導入される。ヘリウムの代わりに、不活性ガスとしてアルゴン、ネオン、キセノンまたはクリプトンが使用されてもよい。不活性ガスとしてアルゴンまたはアルゴンとヘリウムの混合ガスを使用することにより、大きい膜応力及び大きい膜密度を有する膜が形成される。不活性ガスの導入とともに、反応チャンバ2内の圧力は約100Paから約1000Pa(好適には約400Paから約700Pa)の範囲内の所定の値に調整される。続いて、原料ガス導入工程35において、流量が約100sccmから約1000sccmの範囲内に制御された原料ガス(好適には、テトラメチルシラン(Si(CH3)4))が反応チャンバ2内に導入される。ここで、原料ガスとして、トリメチルシランが使用されてもよい。反応ガス導入工程において、導入される原料ガスに対する不活性ガスの比率は好適には約1から約3である。反応ガスが反応チャンバ内に導入される際、設定された時間間隔でゼロから所定の値まで流量をランプアップする方法が適応される。これは、急激なガス流入により生じる反応チャンバ内の圧力上昇のためパーティクルが浮遊し、半導体基板9に付着することを防止するためである。
続く蒸着工程は第1蒸着工程31及び第2蒸着工程32から成る。第1蒸着工程の反応ガスの比率は、約300sccmから約1500sccmのHeに対し約200sccmから約500sccmのテトラメチルシランが好適であり、約300sccmから約600sccmのHeに対し約200sccmから約500sccmのテトラメチルシランがより好適である。反応ガス流量及び反応チャンバ内部圧力の安定が確認された後、27.12MHz及び400kHzの2種類のRF電力が約200Wから約1000W及び約50Wから約500W(好適には、約300Wから約600W及び約100Wから約300W)の範囲内でそれぞれシャワーヘッド4へ印加される。27.12MHzの高周波電力を使用することにより、プラズマによって引き起こされる半導体基板9に対するプラズマダメージ及び帯電を減少させる効果を有する。13.56MHzは比較的高い周波数の高周波電力として使用される。第1蒸着工程31の実行時間は、シリコンカーバイド膜の目標膜厚及び蒸着速度(好適には、約100nm/minから約200nm/min)から計算された時間に基づいて決定される。第1蒸着工程31で、約4.0から約4.2の誘電率を有するシリコンカーバイドベース膜が半導体基板9上に形成される。このシリコンカーバイドベース膜は従来のシリコンカーバイド膜より低い誘電率を有するが、膜形成反応はまだ終了していないのでその膜特性はそのまま放置されると水分または酸素を吸収しまたはそれと反応して変化する。その結果、真剣に調査を遂行した後、本発明の発明者らは第1工程に続いて以下に説明する第2蒸着工程を実行することによりこれらの問題を解決する方法を見出した。
第2蒸着工程32は第1蒸着工程31の次に実行される。第2蒸着工程32において、印加される高周波電力及び反応チャンバ圧力は第1工程と同じである。第2工程において、Heの流量は一定流量に維持されているが、テトラメチルシランの流量のみが約20sccmから約600sccmの範囲まで断続的に減少する。第2蒸着工程32において、テトラメチルシランに対するHeの比率は約5またはそれ以上(好適には約5から約15)である。第2蒸着工程32の実行時間は少なくとも3秒であり、シリコンカーバイド膜の典型的に必要な膜厚である約20nmから約100nm(ある場合、約30nmから約100nm)の膜厚に対して実行時間は好適には約5秒から約10秒である。したがって、第2蒸着工程32で反応ガスの混合比を変化させることにより蒸着反応を実行させることで、第1蒸着工程31で形成されたシリコンカーバイド膜の反応は成就し、膜特性の経時変化は停止する。
第2蒸着工程32が完了した後、シャワーヘッド4への高周波電力の印加はパージ工程36で停止され、同時にテトラメチルシランの供給も停止される。Heガスは連続的に反応チャンバ2内へ供給され、残留テトラメチルシランまたはその分解生成物の揮発性成分は反応ガスの外部へ排気される。
図3は本発明に従うシリコンカーバイド膜を製造する方法で使用される蒸着シーケンスの他の実施例を示す。シーケンスBは、反応ガス導入工程(34,35)、蒸着工程(31,40)及びパージ工程36から成る。反応ガス導入工程及びパージ工程は図2に示す蒸着シーケンスAと同じであるから、説明を省略する。図3に示される蒸着シーケンスBの蒸着工程は第1蒸着工程31及び第2蒸着工程40から成る。第1蒸着工程31は蒸着シーケンスAの第1蒸着工程31と同じである。蒸着シーケンスBに関して、第2蒸着工程40でテトラメチルシランの流量は一定流量に維持されるが、He流量のみを断続的に増加させることにより、反応ガスの混合比が変化する。特定的に、第1蒸着工程31において、反応ガスの混合比は、約100sccmから約3000sccmのHeに対して約100sccmから約1000sccmのテトラメチルシランであり、第2蒸着工程40において、混合比は約500sccmから約10000sccmのHeに対して約100sccmから約1000sccmのテトラメチルシランである。好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約1500sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程40において、混合比は約1000sccmから約5000sccmのHeに対して約200sccmから約500sccmのテトラメチルシランである。より好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約600sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程40において、混合比は約1500sccmから約3000sccmのHeに対して約200sccmから約500sccmのテトラメチルシランである。第2蒸着工程40において、テトラメチルシランに対するHeの流量比は約5またはそれ以上(好適には約5から約10である)。
図4は本発明に従うシリコンカーバイド膜を製造する方法で使用される蒸着シーケンスの第3実施例を示す。蒸着シーケンスCは反応ガス導入工程(34,35)、蒸着工程(31,50)及びパージ工程36から成る。反応ガス導入工程及びパージ工程は図2に示す蒸着シーケンスAと同じなので、説明を省略する。図4に示される蒸着シーケンスCの蒸着工程は第1蒸着工程31及び第2蒸着工程50から成る。第1蒸着工程31は蒸着シーケンスAの第1蒸着工程31と同じである。蒸着シーケンスCに関して、第2蒸着工程50でテトラメチルシランの流量を断続的に減少させ同時にHeの流量を断続的に増加させることにより、反応ガスの混合比が変化する。特定的に、第1蒸着工程31において、反応ガスの混合比は、約100sccmから約3000sccmのHeに対して約100sccmから約1000sccmのテトラメチルシランであり、第2蒸着工程50において、混合比は約500sccmから約10000sccmのHeに対して約20sccmから約600sccmのテトラメチルシランである。好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約1500sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程50において、混合比は約1000sccmから約5000sccmのHeに対して約30sccmから約100sccmのテトラメチルシランである。より好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約600sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程50において、混合比は約1500sccmから約3000sccmのHeに対して約30sccmから約50sccmのテトラメチルシランである。第2蒸着工程50において、テトラメチルシランに対するHeの流量比は約16またはそれ以上(好適には約30から約60である)。
図5は本発明に従うシリコンカーバイド膜を製造する方法で使用される蒸着シーケンスの第4実施例を示す。蒸着シーケンスDは反応ガス導入工程(34,35)、蒸着工程(31,60)及びパージ工程36から成る。反応ガス導入工程及びパージ工程は図2に示す蒸着シーケンスAと同じなので、説明を省略する。図5に示される蒸着シーケンスDの蒸着工程は第1蒸着工程31及び第2蒸着工程60から成る。第1蒸着工程31は蒸着シーケンスAの第1蒸着工程31と同じである。蒸着シーケンスDに関して、第2蒸着工程60でHeの流量は一定流量に維持されるが、テトラメチルシランの流量を連続的に所定の速度でゼロまで減少させることにより、反応ガスの混合比が変化する。特定的に、第1蒸着工程31において、反応ガスの混合比は、約100sccmから約3000sccmのHeに対して約100sccmから約1000sccmのテトラメチルシランであり、第2蒸着工程60において、Heの流量は一定流量に維持されるが、テトラメチルシランの流量はゼロまで減少する。好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約1500sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程60において、Heの流量は一定流量に維持されるが、テトラメチルシランの流量はゼロまで減少する。より好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約600sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程60において、Heの流量は一定流量に維持されるが、テトラメチルシランの流量はゼロまで減少する。第2蒸着工程60において、テトラメチルシランの流量減少速度は第2蒸着工程60の実行時間(少なくとも約3秒、好適には約5秒から約10秒)及びテトラメチルシランの流量により決定される。
図6は本発明に従うシリコンカーバイド膜を製造する方法で使用される蒸着シーケンスの第5実施例を示す。蒸着シーケンスEは反応ガス導入工程(34,35)、蒸着工程(31,70)及びパージ工程36から成る。反応ガス導入工程及びパージ工程は図2に示す蒸着シーケンスAと同じなので、説明を省略する。図6に示される蒸着シーケンスEの蒸着工程は第1蒸着工程31及び第2蒸着工程70から成る。第1蒸着工程31は蒸着シーケンスAの第1蒸着工程31と同じである。蒸着シーケンスEに関して、第2蒸着工程70でテトラメチルシランの流量を連続的に所定の速度でゼロまで減少させると同時にHeの流量を連続的に所定の速度で増加させることにより、反応ガスの混合比が変化する。特定的に、第1蒸着工程31において、反応ガスの混合比は、約100sccmから約3000sccmのHeに対して約100sccmから約1000sccmのテトラメチルシランであり、第2蒸着工程70において、テトラメチルシランの流量はゼロまで減少するがHeの流量は約500sccmから約10000sccmまで増加する。好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約1500sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程70において、テトラメチルシランの流量はゼロまで減少するがHeの流量は約1000sccmから約5000sccmまで増加する。より好適には、第1蒸着工程31において、反応ガスの混合比は約300sccmから約600sccmのHeに対して約200sccmから約500sccmのテトラメチルシランであり、第2蒸着工程70において、テトラメチルシランの流量はゼロまで減少するがHeの流量は約1500sccmから約3000sccmまで増加する。第2蒸着工程70において、テトラメチルシランの流量減少速度及びHeの流量増加速度は第2蒸着工程70の実行時間(少なくとも約3秒、好適には約5秒から約10秒)及び第1蒸着工程のテトラメチルシラン及びHeの流量により決定される。
上記それぞれのシーケンスの流量はシリコンカーバイド膜を200mmシリコン基板上に蒸着する場合に適応される。しかし本発明に従う方法の適用は200mmシリコン基板に限定されない。当該方法が他のサイズの基板に適用される際、反応ガスの流量は変化するが、反応ガスの混合比はそれぞれのシーケンスに記載されたものと同じである。
図8は水素ソースガスを使用する本発明の他の実施例を示す。200mmウエハ上にシリコンカーバイド層を蒸着するために、テトラメチルシラン(TMS)のような反応ガスソース及び水素ガス(H2)のような実質的な水素のソースが反応空間内に導入される。ヘリウムは不活性ガスとして使用される。半導体ウエハが反応チャンバ内に搬入され、所与の温度に加熱された後、He導入工程46で不活性ガスであるヘリウムが約100sccmから約3000sccmの範囲内の所定の流量で反応チャンバ内に導入され、約300Paから約1000Paの範囲内の所定の値に反応チャンバ49内部の圧力を制御する。TMS、H2導入工程47において、TMS50は約200sccmから約500sccmの範囲内の所定の流量で反応チャンバに導入され、H2は約10sccmから約1000sccmの範囲内の所定の流量で反応チャンバに導入される。He、H2及びTMSを導入するために、所定の時間フレームの間特定の速度でゼロから所与の値までそれぞれのガス流量が増加するところのランプアップ法が適応される。この方法は反応チャンバ内のパーティクルが浮遊し半導体基板に付着するのを防止するために使用される。そのような現象は反応チャンバ内へ大量のガスが流入することにより生じる圧力上昇により引き起こされる。
この実施例において、TMS、H2及びHeを含むガス流が工程53に示されるように安定化すると、27.12MHzの周波数を有するRF電力が約200Wから約1000Wの範囲で印加され、400kHzの周波数を有するRF電力が約50Wから約500Wの範囲で印加される。上記工程を実行することにより、シリコンカーバイド膜が形成される。
この実施例において、シリコンカーバイド膜蒸着工程は2つの工程に分割される。第1に、図8に示されるようにTMS、H2、Heを流しかつRF電力を印加することにより基板上にベース膜が形成される(TMS=300sccm、H2=50sccm、He=400sccm、400Wで27.12MHz、95Wで400kHz、基板温度=320℃、チャンバ圧力=720Pa)。第2に、活性プラズマ処理工程が実行される。ベース膜形成工程の後、連続して第2膜形成工程が実行される。この工程において、ヘリウム流量は増加するがTMS流量及びH2流量はプラズマ放電を変化させることなく減少する。この実施例において、原料ガス流量は断続的に減少しないので、活性プラズマ処理中も膜形成が続けられる(TMS=0sccmまでランプダウン、H2=0sccmまでランプダウン、He=2.5slmまでランプアップ、400Wで27.12MHz、95Wで400kHz、基板温度=320℃、チャンバ圧力=720Pa)。
ベース膜形成工程のみにより蒸着されたシリコンカーバイド膜は安定ではなく、室温で空気に晒されると膜応力及び誘電率が変化する。これは表面層の酸化によるものである。SiCのような炭素含有膜の酸化を最小化する方法は米国特許公開第2002/054962A1号に記載されているが、膜特性の変化/改良は観測されていない。また、窒素雰囲気中で10時間400℃のアニールを実行すると、膜応力の劇的変化が観測された。応力変化は約400MPaであり、それは結果的に熱応力安定性が低いことを示している。この実施例の活性プラズマ工程によりシリコンカーバイド膜を安定化する原理は水素ソースガスを使用しないプラズマCVDのものと実質的に同じである。
この実施例の活性プラズマ処理がシリコンカーバイド膜に対して実行されると、膜応力及び誘電率の不安定現象は解決される。また、誘電率及びリーク電流が低下する。
上で蒸着されたシリコンカーバイド膜のベース膜特性が表12に示されている。また、20℃から30℃の室温で空気に晒しても、この膜の誘電率(5%以下)または応力(10%以下)のいずれも大きな変化は観測されなかった。
さらに、窒素雰囲気中で10時間の間400℃でアニールが実行された際、膜応力の非常に小さい変化(50%以下)が観測された。上記した本発明の実施例に従い蒸着されたシリコンカーバイド膜は良好な熱応力性質を有する。上記したように、圧縮応力を有する導入膜は銅との密着性がよい。膜応力の変化が小さいため、バリア絶縁膜と層間絶縁膜との間の良好な接着性が期待される。シリコンカーバイド膜の1MV/cmで測定されたリーク電流及び誘電率はそれぞれ1×10−9A/cm2以下及び4.0以下である。したがって、本発明の実施例に従うシリコンカーバイド膜はエッチストップ膜としてばかりでなく銅拡散バリア層としての使用にも適している。
ここで説明されたPECVD処理により蒸着されたシリコンカーバイド膜は、従来のシリコンカーバイド膜に比べ圧縮応力とともに非常に低いリーク電流及び低い誘電率を有する。シリコンカーバイド膜は低及び高周波を混合せずに蒸着されてもよい。しかし、高及び低周波RFの好適な混合は不活性ガスの分子によるシリコンカーバイド膜の衝撃によって生じる悪い膜特性を矯正する。シリコンカーバイド膜中のSi-C結合の比率を増加することにより、膜のより大きな硬度及びより高い弾性率が得られる。
以下の例は本発明に従い蒸着されたシリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。図9Aから9Iは本発明に従い蒸着されたシリコンカーバイド層が使用されるところのデュアルダマシン構造を示す。しかし、本発明はこの実施例に限定されるものではない。
最初に、銅(Cu)層31が第1シリコンカーバイド層32で覆われる。この実施例に従うシリコンカーバイド層32は無酸素で低リーク電流及び低誘電率を有するため、銅拡散バリア層として使用するのに最も適した材料であると提案されている。シリコンカーバイド層32を蒸着する前に、表面上に残っている酸化銅を除去することによって銅表面は改善される。典型的に、シリコンカーバイド層32の蒸着前に、水素(H2)、アンモニア(NH3)またはメタン(CH4)プラズマベース還元法が使用される。CMP残留物を除去するこの銅表面還元はPECVDチャンバ内で実行される。
シリコンカーバイド層32の蒸着後、第1層間絶縁膜(この場合SiOC層33)が蒸着される。SiOC層33の蒸着後、第2シリコンカーバイド層34及び第2層間絶縁層(この場合、SiOC層35及びシリコンカーバイド層36)が図9Aに示されるように連続して蒸着される。その後、フォトレジスト37が図9Bに示されるように第3シリコンカーバイド層36の頂上に被覆される。ビアホール及びトレンチを形成するために、あらゆる適当な方法が使用される。以下はその一例である。
ビアホール39はエッチングにより形成される。ビアホールを形成する処理は以下に示される。最初に、フォトレジスト37が図9Bに示されるように除去(38)される。次に、図9Cに示されるように、第3シリコンカーバイド層36から第1シリコンカーバイド層32までビアエッチングが開始される。最後に、第1シリコンカーバイド層32の一部がエッチングされ、金属配線が露出する。
ビア形成後、トレンチのパターニングが開始される。最初に、図9Dに示されるように、フォトレジスト37が除去される。次に、図9Eに示されるように、第3シリコンカーバイド層36から第2シリコンカーバイド層34までをエッチングすることでトレンチ41が形成される。第2シリコンカーバイド層34は第2SiOC層35のエッチングが第1SiOC層33まで達するのを防止する。
TaNまたはTiN42のような銅バリア層がビアホール内に形成され、それは図9Fに示されるようにレジストの頂上を覆う。さらに、図9Gに示されるように、銅シード層43がPVD等により蒸着される。その後、図9Hに示されるように、銅44が電気めっき等によりホール内に蒸着される。CMP等により、銅バリア層42、銅44、レジスト37及び第3シリコンカーバイド層36が除去され、図9Iに示されるように表面45が露出される。
シリコンカーバイド層はデバイスを傷から保護するためのパッシベーション層としても蒸着される。シリコンカーバイド絶縁拡散バリア(DDB)層はSiOC層内への銅の拡散を有効に防止するものとして重要である。これはDDB層が低いリーク電流を有するためである。
従来のシリコンカーバイドバリア層の1MV/cmでのリーク電流及び誘電率はそれぞれ約1×10−7A/cm2及び5.0であるが、本発明の実施例に従って製造されたシリコンカーバイドバリア層ではそれぞれ約1×10−9A/cm2以下及び4.0以下である。したがって、従来のシリコンカーバイドバリア層の代わりに上記のように製造されたシリコンカーバイド層を使用すると、2MV/cmの電場で銅の拡散を防止して同じ効果を維持することができる。また、生成されたシリコンカーバイド層は、蒸着中に生成される全周波数に対する低周波の混合比率及びシリコンカーバイド膜を形成するのに使用されるガスの比率に依存して、典型的に約3.2から4.0の比較的低い誘電率を有する。
実施例
発明は以下の実施例を参照して説明される。しかし、発明はこの実施例に限定されるものではない。
実施例1及び2
従来の方法により蒸着されたシリコンカーバイド膜及び本発明の実施例に従う方法により蒸着されたシリコンカーバイド膜の比較実験が為された。以下の蒸着条件の下でシリコンカーバイド膜が半導体基板(200mmシリコン基板)上に蒸着された。図1に示されるプラズマCVD装置が蒸着処理に使用された。実施例2を除き反応ガスとしてテトラメチルシラン(TMS)及びヘリウム(He)が使用され、実施例2ではTMS及びArが使用された。
Figure 2005117052
Figure 2005117052
Figure 2005117052
Figure 2005117052
Figure 2005117052
実施例1及び2において、図7に示されたシーケンスFが適用された。すなわち、不活性ガスの流量が断続的に増加し、原料ガスの流量が連続的にゼロまで減少した。他の図と同様に、図7の軸は実際の値と正確に比例してはいない。各処理の時間間隔(秒)は図7に数値で示されている。
実験は、上記した蒸着条件の下で蒸着されたシリコンカーバイド膜の特性を評価するために実行された。以下の特性、すなわち、膜の誘電率、1時間大気中に放置した後の膜応力の変化、膜蒸着後半導体基板を400℃まで加熱した後の膜応力の変化、1MV/cmの電圧を印加したときのリーク電流値を評価した。表11は上記蒸着条件の下で蒸着されたシリコンカーバイド膜の特性の評価結果を示す。
Figure 2005117052
誘電率の評価結果から、比較例1から3のシリコンカーバイドと比較すると、比較例の誘電率は4またはそれ以上と高いのに対して、本発明に従う方法により蒸着された実施例1及び2のシリコンカーバイド膜は4またはそれ以下の低い誘電率を達成しているのがわかる。
大気中での膜応力の変化の評価結果から、比較例2のシリコンカーバイド膜の場合、圧力の方向へ65MPaだけ膜応力が変化した結果として膜質が変化したことがわかる。比較例2と比較すると、比較例1及び3のシリコンカーバイド膜の場合、大気中での膜応力の変化は小さく、膜応力は比較的安定であることがわかる。しかし、加熱前後の膜応力の変化に関し、比較例1から3のいずれかのシリコンカーバイド膜では300MPa以上の膜応力の変化が生じたことがわかる。LSIデバイス用多層配線の製造処理において、半導体基板は約400℃の環境に晒されるため、互いに接触している膜の境界が剥がれる危険性がある。結果的に、比較例1から3のシリコンカーバイド膜はLSIデバイスでの使用に不適当であると言える。
これに対して、実施例1及び2のシリコンカーバイド膜の場合、大気中での膜応力の変化はほとんどなく、膜は非常に安定であった。さらに、加熱前後の膜応力変化は100MPaまたはそれ以下であり、比較例の変化に比べ非常に小さかった。これらの結果から、実施例1及び2のシリコンカーバイド膜は非常に安定な内部構造を有することがわかる。
リーク電流値に関して、実施例1及び2は比較例1から3に比べ非常に低いリーク電流を示し、その結果から実施例1及び2のシリコンカーバイド膜は高い絶縁性能を有すると言える。LSIデバイスに適用可能なシリコンカーバイド膜のリーク電流レベルは好適には1MV/cmの電圧印加時に1.0×10−8A/cm2またはそれ以下である。実施例1及び2のシリコンカーバイド膜はこの条件を満たしている。
参考のために、実施例1の蒸着条件の下で第2蒸着工程を除いたシリコンカーバイド膜の特性が調べられた。結果として、蒸着直後の膜の誘電率は4.07であり、膜応力は−98MPaであった。膜が一週間大気中に放置された後に、膜の誘電率は4.16に変化し、膜応力は−300MPaに変化した。
実施例3
以下の表12に示される蒸着条件に従って膜形成が実行された。
Figure 2005117052
蒸着されたシリコンカーバイド層の誘電率及び1MV/cmでのリーク電流はそれぞれ約4.0以下及び1×10−9A/cm2であり、集積回路内の絶縁材料としての使用に適している。表12に示された蒸着条件に従って蒸着されたシリコンカーバイド層の誘電率、リーク電流及び膜応力のような膜特性の詳細は表13に示されている。シリコンカーバイド層の誘電率は、RF電力の混合比率の関数として変化するため調節可能である。特に、全混合電力に対する低周波RF電力の比率が減少するに従い、シリコンカーバイド層の誘電率も減少する。TMSの流量が増加するに従い、シリコンカーバイド層の誘電率は減少する。
シリコンカーバイド層の誘電率は成膜中の混合ガスの組成の関数として調節可能である。混合ガス中の炭素濃度が増加するに従い、蒸着されるシリコンカーバイド層の炭素含有量が増加し、その結果シリコンカーバイド膜の密度が減少し誘電率が減少する。また、蒸着されるシリコンカーバイド層の炭素濃度が増加するに従い、その膜の疎水性が増加し、その結果その層は集積回路内の水分バリアとしての使用に適する。
さらに、蒸着されたシリコンカーバイド層は酸素を含有しない。そのような無酸素シリコンカーバイド層は金属拡散を最小化しかつバリア層特性を改善すると思われる。例えば、蒸着されるシリコンカーバイド層は1MV/cmで約1×10−9A/cm2以下の電流遮蔽能力を有し、それは集積回路配線構造間のクロストークを最小化するのに適している。
シリコンカーバイド材料のエッチング性能は、RIE(反応性イオンエッチング)モードに基づくSiOCと上記シリコンカーバイドとの間のエッチング選択性が約5から約10であったことを示す。
Figure 2005117052
上記したように、本発明はさまざまな実施例を含む。ある実施例において、プラズマCVDにより半導体ウエハ上にシリコンカーバイド膜を製造する方法は、所与の流量のシリコン、炭素及び水素を含む原料ガス、所与の流量の不活性ガス及び付加的に水素ソースガスから成るガスを反応チャンバ内に導入する工程と、反応チャンバ内の反応空間へ少なくとも1種類の高周波電力を印加する工程と、少なくとも1種類の高周波電力を印加しながらガス中に含まれる原料ガス、不活性ガス及び付加的な水素ソースガスの混合比率を変化させる工程と、から成る。
特定的に、混合比率を変化させる工程は、原料ガスの流量のみを断続的に減少させるか、不活性ガスの流量のみを断続的に増加させるか、または原料ガスの流量を断続的に減少させると同時に不活性ガスの流量を断続的に増加させる工程から成る。
この場合、混合比率の変化後の原料ガスに対する不活性ガスの流量比は約5から約10である。
その他として、混合比率を変化させる工程は、原料ガスの流量のみを連続的に減少させるか、不活性ガスの流量のみを連続的に増加させるか、または原料ガスの流量を連続的に減少させると同時に不活性ガスの流量を連続的に増加させる工程から成る。
この場合において、原料ガスの流用は連続的にゼロまで減少する。
水素ソースガス流量は原料ガスの有料と同期化することにより、または原料ガス流量と独立に流量を減少させることにより制御される。
本発明の実施例に従う方法を使って、4またはそれ以下の誘電率を有し、大気中に放置されてもその誘電率及び膜応力は実質的に変化せず安定で、リーク電流が小さく、銅配線用のエッチストップ膜として使用可能なシリコンカーバイド膜が与えられる。
本発明の実施例に従う方法により蒸着されるシリコンカーバイド膜は、Si、C及びHから成る。このシリコンカーバイド膜はLSIデバイス用の層間絶縁膜として使用される炭素含有シリコン酸化膜(SiCOH)とは化学的組成が異なるため、炭素含有シリコン酸化膜より10倍遅いエッチング速度でエッチングされる。その結果、設計通りの銅配線用のビアまたはトレンチを形成することが簡単になった。
水素ソースガスを使用するプラズマCVDにおいて、特に低いリーク電流及び低い誘電率を有するシリコンカーバイド膜が形成される。
したがって、エッチストップ層及び銅拡散バリア層を形成する方法は、銅ダマシン構造が使用される次世代の100nm/65nm-ノード超大規模集積回路(ULSI)技術において効果的に採用される。
本発明の思想から離れることなくさまざまな修正が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、発明の態様を制限するものではないことが理解されよう。
図1は、本発明で使用可能なプラズマCVD装置の略示図である。 図2は、本発明の実施例に従うシリコンカーバイド膜を製造するために使用される膜形成制御シーケンス(シーケンスA)を示す。 図3は、本発明の他の実施例に従うシリコンカーバイド膜を製造するために使用される膜形成制御シーケンス(シーケンスB)を示す。 図4は、本発明の他の実施例に従うシリコンカーバイド膜を製造するために使用される膜形成制御シーケンス(シーケンスC)を示す。 図5は、本発明の他の実施例に従うシリコンカーバイド膜を製造するために使用される膜形成制御シーケンス(シーケンスD)を示す。 図6は、本発明の他の実施例に従うシリコンカーバイド膜を製造するために使用される膜形成制御シーケンス(シーケンスE)を示す。 図7は、本発明の他の実施例に従うシリコンカーバイド膜を製造するために使用される膜形成制御シーケンス(シーケンスF)を示す。 図8は、本発明の実施例に従うシリコンカーバイド膜を製造するために使用される水素ガスを使う膜形成制御シーケンスを示す。 図9Aは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Bは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Cは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Dは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Eは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Fは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Gは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Hは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。 図9Iは、本発明の実施例に従いシリコンカーバイド層を使ったデュアルダマシン構造の製造シーケンスの一部を示す。
符号の説明
1 プラズマCVD装置
2 反応チャンバ
3 サセプタ
4 シャワーヘッド
5 ヒータ
6 配管
7 バルブ
8、8’ 高周波発振器
9 半導体基板
10 マッチング回路
11 バルブ
12 配管
13 ガス流入口
14 質量流量制御器
15 バルブ
16 ガス流入口
17 遠隔プラズマチャンバ
18 配管
19 配管
20 排気口
21 コンダクタンス調整バルブ
22 圧力制御器
23 圧力計
24 シースヒータ
25 熱電対
26 温度制御器
27 接地
28 開口部
29 ガス導入口
30 ゲートバルブ

Claims (41)

  1. プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法であって、
    (a)シリコン、炭素及び水素を含む原料ガス並びに不活性ガスを不活性ガスに対する原料ガスの所定の混合比率で反応チャンバ内に導入する工程と、
    (b)所定の混合比率で反応チャンバ内部の反応空間へRF電力を印加し、それによって約4.0またはそれ以上の誘電率を有する未硬化シリコンカーバイド膜を半導体基板上に形成する工程と、
    (c)工程(b)より減少した混合比率で反応空間へRF電力を連続的に印加し、それによって未硬化シリコンカーバイド膜より低い誘電率を与えるようシリコンカーバイド膜を硬化させる工程と、
    から成る方法。
  2. 請求項1に記載の方法であって、硬化シリコンカーバイド膜は400℃の大気中での応力変化が未硬化シリコンカーバイド膜の約1/2以下である、ところの方法。
  3. 請求項1に記載の方法であって、シリコンカーバイド膜はSi-C-H材料から作られる、ところの方法。
  4. 請求項1に記載の方法であって、混合比率の減少は(i)原料ガスの流量を減少させるか、(ii)不活性ガスの流量を増加させるか、(iii)原料ガスの流量を減少させるとともに不活性ガスの流量を増加させることによって達成される、ところの方法。
  5. 請求項4に記載の方法であって、混合比率は、該混合比率が不連続に減少するポイントの前後で一定である、ところの方法。
  6. 請求項4に記載の方法であって、混合比率は該混合比率が減少するポイントの前で一定であり、そのポイントの後で連続的に減少する、ところの方法。
  7. 請求項1に記載の方法であって、減少前の不活性ガスに対する原料ガスの混合比率は約1/1から約1/3である、ところの方法。
  8. 請求項1に記載の方法であって、減少後の不活性ガスに対する原料ガスの混合比率は約1/5から約1/100である、ところの方法。
  9. 請求項1に記載の方法であって、減少後の不活性ガスに対する原料ガスの混合比率は約ゼロである、ところの方法。
  10. 請求項1に記載の方法であって、原料ガスは、テトラメチルシラン、トリメチルシラン、及び/またはジメチルシランから成る、ところの方法。
  11. 請求項1に記載の方法であって、不活性ガスは、ヘリウム、アルゴン、ネオン、キセノンまたはクリプトンから成る、ところの方法。
  12. 請求項1に記載の方法であって、さらに、膜形成前に反応空間を安定化する工程であって、原料ガスの流量及び不活性ガスの流量が所定の混合比率に達するまでランプアップ法によりゼロから増加する、ところの工程からなる、ところの方法。
  13. 請求項1に記載の方法であって、RF電力は低周波電力及び高周波電力から成る、ところの方法。
  14. 請求項13に記載の方法であって、低周波電力は2MHz以下の周波数を有する電力であり、高周波電力は2MHz以上の周波数を有する電力である、ところの方法。
  15. 請求項1に記載の方法であって、硬化させるための時間は、厚さ約20nmから約100nmの未硬化シリコンカーバイド膜あたり約5秒から約10秒である、ところの方法。
  16. 請求項1に記載の方法であって、混合比率減少前の原料ガスの流量及び不活性ガスの流量はそれぞれ約100sccmから約1000sccm及び約100sccmから約3000sccmである、ところの方法。
  17. 請求項1に記載の方法であって、シリコンカーバイド膜はエッチストップ膜である、ところの方法。
  18. 請求項1に記載の方法であって、工程(a)において、原料ガス及び不活性ガスに加え、水素ソースガスが反応チャンバ内に導入される、ところの方法。
  19. 請求項18に記載の方法であって、工程(c)において、水素ソースガス流量は水素ソースガス流量を原料ガス流量と同期させることにより変更される、ところの方法。
  20. 請求項18に記載の方法であって、工程(c)において、水素ソースガス流量は工程(b)より減少する、ところの方法。
  21. 請求項20に記載の方法であって、水素ソースガス流量は工程(c)の終わりで約ゼロまで減少する、ところの方法。
  22. プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法であって、
    (a)所与流量のシリコン、炭素及び水素を含む原料ガス並びに所与流量の不活性ガスを反応チャンバ内に導入し、反応チャンバ内の反応空間へRF電力を印加することにより、反応チャンバ内に配置された半導体基板上に約4.0以上の誘電率を有する未硬化シリコンカーバイド膜を形成する工程と、
    (b)RF電力を反応空間に連続的に印加しながら、不活性ガスに対する原料ガスの混合比率を断続的または連続的に減少させその後維持することにより、約4.0以下の誘電率を与えるようシリコンカーバイド膜を硬化させる工程と、
    から成る方法。
  23. 請求項22に記載の方法であって、混合比率の減少は、(i)原料ガスの流量を減少させるか、(ii)不活性ガスの流量を増加させるか、または(iii)原料ガスの流量を増加させるとともに不活性ガスの流量を増加させることにより達成される、ところの方法。
  24. 請求項22に記載の方法であって、混合比率は、混合比率の不連続減少ポイントの前後で一定である、ところの方法。
  25. 請求項22に記載の方法であって、シリコンカーバイド膜はエッチストップ膜である、ところの方法。
  26. 請求項22に記載の方法であって、工程(a)において、原料ガス及び不活性ガスに加え、水素ソースガスが反応チャンバ内に導入される、ところの方法。
  27. 請求項26に記載の方法であって、工程(b)において、水素ソースガス流量は水素ソースガス流量を原料ガス流量と同期させることにより変更される、ところの方法。
  28. 請求項26に記載の方法であって、工程(b)において、水素ソースガス流量は工程(a)より減少する、ところの方法。
  29. 請求項28に記載の方法であって、水素ソースガス流量は工程(b)の終わりでゼロまで減少する、ところの方法。
  30. プラズマCVDにより半導体基板上にシリコンカーバイド膜を形成する方法であって、
    (A)シリコン、炭素及び水素を含む原料ガス、水素ソースガス並びに不活性ガスを所定の混合比率で反応チャンバ内に導入する工程と、
    (B)所定の混合比率で反応チャンバ内部の反応空間へRF電力を印加し、それによって未硬化シリコンカーバイド膜を半導体基板上に形成する工程と、
    (C)工程(B)より水素ソースガス流量が減少した混合比率で反応空間へRF電力を連続的に印加し、それによって未硬化シリコンカーバイド膜より低い誘電率及びリーク電流を与えるようシリコンカーバイド膜を硬化させる工程と、
    から成る方法。
  31. 請求項30に記載の方法であって、RF電力は、低周波電力及び高周波電力から成る、ところの方法。
  32. 請求項31に記載の方法であって、低周波電力は全電力の約1/2以下である、ところの方法。
  33. 請求項30に記載の方法であって、工程(B)において水素ソースガス流量は約10sccmから約5000sccmである、ところの方法。
  34. 請求項30に記載の方法であって、工程(C)において水素ソースガス流量は約0sccmから約1000sccmである、ところの方法。
  35. 請求項30に記載の方法であって、工程(C)は約5秒から約10秒間実行される、ところの方法。
  36. プラズマCVDにより半導体基板上に配線を形成する方法であって、
    プラズマCVDにより、シリコン、炭素、酸素及び水素並びに付加的に不活性ガスを含むガスを使用して半導体基板上に絶縁膜を形成する工程と、
    請求項1の方法により絶縁膜上にエッチストップ層としてシリコンカーバイド膜を形成する工程と、
    基板を銅配線用エッチングにさらす工程と、
    から成る方法。
  37. 請求項30に記載の方法であって、絶縁膜はSi-C-O-H材料から成り、エッチストップ層はSi-C-H材料から成る、ところの方法。
  38. 銅層と接触する膜を含む層間構造を半導体基板上に製造する方法であって、
    (i)半導体基板上に多重層を形成する工程と、
    (ii)多重層を層間結合するための穴をエッチングにより形成する工程と、
    (iii)穴の中に銅を蒸着する工程と、
    (iv)多重層の頂部から余分の銅を除去する工程と、
    (v)請求項30の方法により多重層の頂上にシリコンカーバイド膜を蒸着し、それによって銅がシリコンカーバイド膜により覆われる工程と、
    から成る方法。
  39. 請求項38に記載の方法であって、工程(i)において、多重層は、基板上に順に積層された下部エッチストップ層、下部低誘電率層、中間エッチストップ層、上部低誘電率層、及び上部エッチストップ層から成り、工程(ii)において穴は上部エッチストップ層の頂上にレジストを形成しかつ該レジストを使って多重層をエッチングすることによりビアホール及びトレンチを形成することによって生成され、工程(iv)においてレジスト及び上部エッチストップ層は余分な銅を除去する際に除去される、ところの方法。
  40. 請求項39に記載の方法であって、下部エッチストップ層、中間エッチストップ層、及び上部エッチストップ層は請求項30の方法により形成される、ところの方法。
  41. 請求項39に記載の方法であって、工程(i)から(iv)は少なくとも1回繰り返される、ところの方法。
JP2004295687A 2003-10-09 2004-10-08 シリコンカーバイド膜を製造する方法 Pending JP2005117052A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/682,180 US6919270B2 (en) 2002-10-10 2003-10-09 Method of manufacturing silicon carbide film
US10/722,179 US6991959B2 (en) 2002-10-10 2003-11-25 Method of manufacturing silicon carbide film

Publications (1)

Publication Number Publication Date
JP2005117052A true JP2005117052A (ja) 2005-04-28

Family

ID=34316905

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004295687A Pending JP2005117052A (ja) 2003-10-09 2004-10-08 シリコンカーバイド膜を製造する方法

Country Status (4)

Country Link
US (1) US6991959B2 (ja)
EP (1) EP1523034A3 (ja)
JP (1) JP2005117052A (ja)
KR (1) KR20050034566A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009071226A (ja) * 2007-09-18 2009-04-02 Nec Corp 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
WO2020249004A1 (zh) 2019-06-12 2020-12-17 宝山钢铁股份有限公司 板坯连铸二冷区的电磁搅拌装置及方法

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
JP4235066B2 (ja) * 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 薄膜形成方法
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
JP4435666B2 (ja) * 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7459388B2 (en) * 2006-09-06 2008-12-02 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures using adhesion layers having high internal compressive stresses
TWI399451B (zh) * 2008-09-05 2013-06-21 Yu Hsueh Lin 傳動機構之表面鍍膜方法
JP5133852B2 (ja) * 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
CN103796950A (zh) 2011-09-16 2014-05-14 英派尔科技开发有限公司 石墨烯缺陷的改性
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN103887233B (zh) * 2014-04-08 2017-05-17 苏州大学 集成电路用低介电常数薄膜层的制备工艺
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
DE102016121220B3 (de) * 2016-11-07 2018-05-09 Snaptrack, Inc. Schichtenfolge mit alternierender akustischer Impedanz, akustisches Bauelement mit der Schichtenfolge und Verfahren zur Herstellung
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
FR3068506B1 (fr) * 2017-06-30 2020-02-21 Soitec Procede pour preparer un support pour une structure semi-conductrice
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109804463B (zh) * 2019-01-02 2021-04-16 长江存储科技有限责任公司 用于形成双镶嵌互连结构的方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
RU2767098C2 (ru) * 2021-07-29 2022-03-16 Общество с ограниченной ответственностью "Открытый код" Cvd-реактор синтеза гетероэпитаксиальных пленок карбида кремния на кремниевых подложках

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JPH11209876A (ja) * 1998-01-26 1999-08-03 Nippon Asm Kk 薄膜形成装置及び方法
JPH11312649A (ja) * 1998-04-30 1999-11-09 Nippon Asm Kk Cvd装置
JP3468347B2 (ja) * 1998-06-22 2003-11-17 日本エー・エス・エム株式会社 半導体素子の製造方法
JP2000012783A (ja) * 1998-06-22 2000-01-14 Nippon Asm Kk 半導体素子の製造方法
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP3072989B1 (ja) * 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 半導体基板上に薄膜を形成する成膜装置における成膜方法
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
JP3242901B2 (ja) * 1999-06-18 2001-12-25 日本エー・エス・エム株式会社 半導体形成方法及び装置
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009071226A (ja) * 2007-09-18 2009-04-02 Nec Corp 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
WO2020249004A1 (zh) 2019-06-12 2020-12-17 宝山钢铁股份有限公司 板坯连铸二冷区的电磁搅拌装置及方法

Also Published As

Publication number Publication date
US20040115876A1 (en) 2004-06-17
KR20050034566A (ko) 2005-04-14
US6991959B2 (en) 2006-01-31
EP1523034A3 (en) 2006-01-11
EP1523034A2 (en) 2005-04-13

Similar Documents

Publication Publication Date Title
JP2005117052A (ja) シリコンカーバイド膜を製造する方法
US6919270B2 (en) Method of manufacturing silicon carbide film
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
JP4566651B2 (ja) 低比誘電率膜を形成する方法
US7718553B2 (en) Method for forming insulation film having high density
KR101185757B1 (ko) 층간 절연막 및 배선 구조와 그것들의 제조 방법
US6699784B2 (en) Method for depositing a low k dielectric film (K>3.5) for hard mask application
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP2004247725A (ja) シリコンカーバイド膜を形成する方法
JP4049214B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
JP2005033203A (ja) シリコンカーバイド膜の形成方法
JP2015521375A (ja) 流動性膜のための改善された緻密化
KR20080106984A (ko) 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
JP2008306182A (ja) 無機シラザンベース絶縁膜を形成する方法
KR20170081248A (ko) 선택적 코발트 층을 열적으로 형성하기 위한 방법들
WO2007117320A2 (en) A method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
JP4743470B2 (ja) 半導体基板上にCu層と接触する膜を形成するための方法
TWI819257B (zh) 具有可調整碳含量之碳氮化矽間隙填充
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
JP4758938B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
JP4034197B2 (ja) 半導体装置の製造方法
US20230142684A1 (en) Single Precursor Low-K Film Deposition and UV Cure for Advanced Technology Node
TW202333223A (zh) 用於氧化鎢移除之氟化鎢浸泡及處理