JP2020507922A - ハードマスク応用向けのホウ素がドープされた炭化タングステン - Google Patents

ハードマスク応用向けのホウ素がドープされた炭化タングステン Download PDF

Info

Publication number
JP2020507922A
JP2020507922A JP2019541270A JP2019541270A JP2020507922A JP 2020507922 A JP2020507922 A JP 2020507922A JP 2019541270 A JP2019541270 A JP 2019541270A JP 2019541270 A JP2019541270 A JP 2019541270A JP 2020507922 A JP2020507922 A JP 2020507922A
Authority
JP
Japan
Prior art keywords
layer
gas
based precursor
tungsten
precursor gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019541270A
Other languages
English (en)
Other versions
JP2020507922A5 (ja
JP7229929B2 (ja
Inventor
エスワラナンド ベンカタサブラマニアン,
エスワラナンド ベンカタサブラマニアン,
アブヒジット バス マリック,
アブヒジット バス マリック,
ロイ, サスミット シンガ
ロイ, サスミット シンガ
武仁 越澤
武仁 越澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020507922A publication Critical patent/JP2020507922A/ja
Publication of JP2020507922A5 publication Critical patent/JP2020507922A5/ja
Application granted granted Critical
Publication of JP7229929B2 publication Critical patent/JP7229929B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本開示の実行形態は概して、集積回路の製造に関する。より詳細には、本書に記載の実行形態は、基板上にハードマスク膜を堆積させるための技法を提供する。一実行形態では、基板上にハードマスク層を形成する方法が提供される。この方法は、処理チャンバ内にシード層混合ガスを供給することによって、基板上にシード層を形成することを含む。方法は、処理チャンバ内に遷移層混合ガスを供給することよって、シード層上に、タングステン、ホウ素、及び炭素を含む遷移層を形成することを更に含む。方法は、処理チャンバ内に主要堆積混合ガスを供給することよって、遷移層上に、タングステン、ホウ素、及び炭素を含むバルクハードマスク層を形成することを更に含む。【選択図】図2

Description

本開示の実行形態は概して、集積回路の製造に関する。より詳細には、本書に記載の実行形態は、基板上にハードマスク膜を堆積させるための技法を提供する。
関連技術の説明
ハーフミクロン未満の単位のフィーチャ、及び更に小さなフィーチャを確実に作製することは、半導体デバイスの次世代の超大規模集積(VLSI)及び極超大規模集積(ULSI)のための、重要な技術的課題の1つである。しかし、回路技術の限界が更新されるにつれて、寸法が縮小しつつあるVLSI及びULSIの相互接続技術により、処理能力に対して更なる要求が突きつけられてきた。VLSI及びULSIを成功させる上で、及び、個々の基板及びダイの回路の密度及び品質を向上させるための継続的な取り組みにおいては、基板上に信頼性の高いゲート構造物を形成することが重要である。
更に、集積回路の密度を高めることに対する需要によっても、集積回路構成要素の製造において使用されるプロセスシーケンスに要求が課せられる。例えば、従来型のフォトリソグラフィ技法を使用するプロセスシーケンスにおいては、基板上に配置された材料層の積層体の上に、エネルギー感応性レジストの層が形成される。フォトレジストマスクを形成するために、このエネルギー感応性レジスト層はパターンの画像に曝露される。その後、このマスクパターンは、エッチングプロセスを使用して、積層体の材料層のうちの一又は複数に転写される。エッチングプロセスで使用される化学エッチング剤(chemical etchant)は、積層体の材料層に対するエッチング感応性が、エネルギー感応性レジストのマスクに対するものよりも高くなるよう、選択される。つまり、化学エッチング剤は、エネルギー感応性レジストよりもずっと速い速度で、材料積層体の一又は複数の層をエッチングする。積層体の一又は複数の材料層に対するエッチング感応性がレジストを凌駕していることにより、エネルギー感応性レジストが、パターン転写の完遂前に消費されることが防止される。ゆえに、高感度のエッチング剤により、パターン転写の精度が向上する。
半導体デバイスを形成するために使用される構造物の形状寸法限界は、技術的限界とせめぎ合うものなので、小さな限界寸法と高いアスペクト比とを有する構造物、及び、種々の材料を有する構造物を製造するための、正確なパターン転写の必要性を満たすことは、益々困難になってきている。例えば、パターン分解能を制御するために、エネルギー感応性レジストの厚さは減少してきている。かかる薄型レジスト層(例えば約2000A未満)は、化学エッチング剤による腐食のため、転写プロセス中に下にある材料層をマスクするには不十分でありうる。パターン転写を促進するために、エネルギー感応性レジストと下にある材料層との間に、中間層(ハードマスク(HM)層と称される)が使用されることが多い。化学エッチング剤に対するハードマスク層の耐性は大きいからである。従来的には、多くの場合、酸窒化ケイ素、炭化ケイ素、又は炭素の膜が、ハードマスク層に利用される材料となる。
パターンを材料に転写するために利用されるハードマスク層は、エッチング中に、相当程度の時間にわたり、浸食性エッチング剤に曝露される。十分なエッチング耐性を有しないハードマスク層は、浸食性エッチング剤に長時間曝露された後に寸法が変化することがあり、その結果、不正確なパターン転写及び寸法制御の損失が生じる。更に、ハードマスク層のために選択された材料と、膜積層体で隣接して配置された層のために選択された材料との類似性により、それらの間には類似したエッチング特性がもたらされ、ひいては、エッチング中の選択性が低下しうる。ハードマスク層と隣接した層との間で選択性が低下することにより、ハードマスク層の、不均一で傾斜した、変形したプロファイルが生じ、ひいては、パターン転写の劣化、及び、正確な構造寸法制御の失敗につながりうる。
金属がドープされた膜が、そのエッチング選択性の高さにより、ハードマスク応用向けに研究されている。この高いエッチング選択性は、構造物を深くエッチングするのに役立つ。現行の金属がドープされたハードマスク膜に関する主な課題の1つは、膜粗さが高く、粒径が大きいことであり、これは、局所的な限界寸法均一性(CDU)の低下という、エッチング後の及びパターンエッチングの問題につながる。現行の金属がドープされたハードマスク膜は、下にある膜から、除去又はストリップすることが困難でもある。
ゆえに、高いエッチング選択性を有すると共に、粒径が小さく粗さが低く(平滑モルフォロジー)、除去が容易な改良型のハードマスク膜が必要とされている。
本開示の実行形態は概して、集積回路の製造に関する。より詳細には、本書に記載の実行形態は、基板上にハードマスク膜を堆積させるための技法を提供する。一実行形態では、基板上にハードマスク層を形成する方法が提供される。この方法は、処理チャンバ内にシード層混合ガスを供給することによって、基板上にシード層を形成することを含む。方法は、処理チャンバ内に遷移層混合ガスを供給することよって、シード層上に、タングステン、ホウ素、及び炭素を含む遷移層を形成することを更に含む。方法は、処理チャンバ内に主要堆積混合ガスを供給することよって、遷移層上に、タングステン、ホウ素、及び炭素を含むバルクハードマスク層を形成することを更に含む。
別の実行形態では、ハードマスク層が提供される。このハードマスク層は、シード層と、遷移層と、遷移層上に配置されたバルクハードマスク層とを備える。シード層は炭化ホウ素層である。遷移層はタングステン炭化ホウ素層である。バルクハードマスク層は、タングステン炭化ホウ素層である。
更に別の実行形態では、ハードマスク層を形成する方法が提供される。この方法は、基板上にシード層を形成するために、少なくともホウ素ベースの前駆体ガスと炭素ベースの前駆体ガスとを含む混合ガスを、処理チャンバ内に配置された基板の表面上に供給することを含む。方法は、シード層上に遷移層を形成するために、混合ガスにおいて供給される、処理チャンバ内へのホウ素ベースの前駆体ガスの一定の流れを維持しつつ、炭素ベースの前駆体ガスを漸減し、タングステンベースの前駆体ガスを漸増することを、更に含む。方法は、バルクハードマスク層を形成するために、混合ガスにおけるタングステンベースの前駆体ガスを、タングステンベースの前駆体ガスが所定の流量に到達するまで継続的に供給することと、タングステンベースの前駆体ガスを一定の所定の流量に維持することとを、更に含む。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている実行形態のより詳細な説明が、実行形態を参照することによって得られる。一部の実行形態は付随する図面に示されている。しかし、本開示は他の等しく有効な実行形態も許容しうるため、付随する図面は、この開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに、留意されたい。
本書に記載の実行形態を実践するために使用されうるPECVDシステムの概略断面図を示す。 本書に記載の一又は複数の実行形態による、タングステン炭化ホウ素のハードマスク層を形成するための方法のフロー図を示す。 本書に記載の一又は複数の実行形態による、膜積層体上にタングステン炭化ホウ素のハードマスク層を形成するためのシーケンスを示す。 本書に記載の一又は複数の実行形態による、タングステン炭化ホウ素のハードマスク層を形成するためのガス流の図を示す。 本開示の一又は複数の実行形態により形成された、タングステン炭化ホウ素のハードマスク層の走査電子顕微鏡(SEM)写真を示す。 本開示の一又は複数の実行形態により形成された、酸化物上の1,000Aの膜の粗さを示している、走査電子顕微鏡(SEM)写真である。 本書に記載の実行形態により形成された、タングステン炭化ホウ素のハードマスク層のエッチング選択性と、他の従来技術のハードマスク層のエッチング選択性とを示しているグラフである。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すために同一の参照番号を使用している。1つの実行形態の要素及び特徴は、更なる記述がなくとも、他の実行形態に有益に組み込まれうると、想定される。
以下の開示では、基板上にハードマスク膜を堆積させるための技法について説明する。本開示の様々な実行形態についての網羅的な理解を提供するために、以下の説明及び図1から図7において、特定の詳細事項を明記する。プラズマ処理、ハードマスク膜堆積、及びエッチングに関連することが多い周知の構造物及びシステムについて説明する、その他の詳細事項は、様々な実行形態についての説明を不必要に分かりにくくすることを避けるために、以下の開示には明記しない。
図に示している詳細事項、寸法、角度、及びその他の特徴の多くは、特定の実行形態を単に例示するものにすぎない。したがって、本開示の主旨及び範囲から逸脱しなければ、他の実行形態が、その他の詳細事項、構成要素、寸法、角度、及び特徴を有することも可能である。加えて、本開示の更なる実行形態は、後述する詳細事項のいくつかがなくとも、実践されうる。
以下では、任意の好適な薄膜堆積システムを使用して実施されうるPECVDプロセスに言及しつつ、本書に記載の実行形態について説明する。好適なシステムの例は、カリフォルニア州Santa ClaraのApplied Materials, Inc.から市販されている、DXZ(R)処理チャンバを使用しうるCENTURA(R)システム、PRECISION5000(R)システム、PRODUCER(R)システム、PRODUCER(R)GTTMシステム、PRODUCER(R)XP PRECISIONTMシステム、及びPRODUCER(R)SETMシステムを含む。PECVDプロセスを実施することが可能なその他のツールも、本書に記載の実行形態から恩恵を受けるよう適合しうる。加えて、本書に記載のPECVDプロセスを可能にする任意のシステムが、有利に使用されうる。本書に記載の装置の説明は、例示であり、本書に記載の実行形態の範囲を限定するものと理解すべきでも、解釈すべきでもない。
現在、金属がドープされた膜がハードマスク(HM)応用向けに研究されている。その高いエッチング選択性が、深さのある構造物をエッチングするために必要不可欠だからである。金属がドープされたハードマスク膜に関する主な課題の1つは、粗さが高く粒径が大きいことであり、このことは、局所的CDUの低下という、エッチング後の及びパターンエッチングの問題につながる。別の問題は、除去の容易さ、すなわち「ストリップ可能性」である。現行のハードマスク膜応用は炭素ベースの膜を使用する。炭素ベースの膜は、本質的に不定形(amorphous)であるが、そのエッチング選択性は、近い将来のノードの、益々厳格になる要件を満たし、高アスペクト比エッチングに適合するには、もはや十分ではない。そのため、金属ベースのハードマスクが研究されている。金属ベースのハードマスクは、必要とされる高いエッチング選択性を提供するからである。しかし、金属ベースのハードマスク膜は、それ自体に問題(粗さ及びストリップ可能性を含む)がある。粗さ及びストリップ可能性という問題は、膜を製造するために使用される堆積プロセスを問わず、存在する。ゆえに、高いエッチング選択性を有すると共に、粒径が小さく(平滑モルフォロジー)、ストリップ可能性が高い膜が、必要とされている。
本開示の一部の実行形態は、ハードマスク応用で使用されうる、小粒子で平滑なタングステン炭化ホウ素の薄膜を提供する。堆積されたタングステン炭化ホウ素のハードマスク膜におけるタングステンの量/パーセントは、応用に応じて変動しうる。膜中のタングステン取り込み(incorporation)の原子パーセントは、次のように算出される。((W/(W+B+C))%)本開示の様々な実行形態において、タングステン炭化ホウ素のハードマスク膜は、少なくとも、30、35、40、45、50、55、60、65、70、又は75原子パーセントのタングステンを含有しうる。タングステン炭化ホウ素のハードマスク膜は、最大で、35、40、45、50、55、60、65、70、75、又は80原子パーセントのタングステンを含有しうる。タングステン炭化ホウ素のハードマスク膜は、約30〜約80原子パーセントのタングステンを含有しうる。タングステン炭化ホウ素のハードマスク膜は、約50〜約70原子パーセントのタングステンを含有しうる。タングステン炭化ホウ素のハードマスク膜は、約60〜約70原子パーセントのタングステンを含有しうる。膜中の炭素取り込みの原子パーセントは、次のように算出される。((C/(W+B+C))%)タングステン炭化ホウ素のハードマスク膜は、少なくとも、10、15、20、25、30、35、40、45、50、55、60、又は65原子パーセントの炭素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、最大で、15、20、25、30、35、40、45、50、55、60、65、又は70原子パーセントの炭素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約10〜約70原子パーセントの炭素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約10〜約30原子パーセントの炭素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約20〜約30原子パーセントの炭素を含有しうる。膜中のホウ素取り込みの原子パーセントは、次のように算出される。((B/(W+B+C))%)タングステン炭化ホウ素のハードマスク膜は、少なくとも、2、5、10、15、20、又は25原子パーセントのホウ素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、最大で、5、10、15、20、25又は30原子パーセントのホウ素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約2〜約30原子パーセントの水素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約10〜約20原子パーセントのホウ素を含有しうる。前駆体として水素が使用されるある種の実行形態では、タングステン炭化ホウ素のハードマスク膜は、少なくとも、2、5、8、10、又は15原子パーセントの水素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、最大で、5、8、10、15又は20原子パーセントの水素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約2〜約15原子パーセントの水素を含有しうる。タングステン炭化ホウ素のハードマスク膜は、約5〜約10原子パーセントの水素を含有しうる。
本書に記載の一部の実行形態では、タングステン炭化ホウ素のハードマスク膜は、20A以下(例えば、18A以下、16A以下、10A以下、約10A〜16A、又は約5A〜16A)の平均粒径を有する。本書に記載の一部の実行形態では、タングステン炭化ホウ素のハードマスク膜は、約22以下(例えば、21以下、20以下、19以下、又は15以下)のパターンエッチング選択性を有する。
図1は、本書に記載の実行形態を実践するために使用されうる、静電チャック128を有するPECVDシステム100の概略図を示している。この応用におけるPECVDシステムについて説明しているが、本開示の装置及び方法は、静電チャックを使用する任意の好適なプラズマプロセスに適応しうることに、留意すべきである。PECVDシステム100は通常、チャンバリッド104を支持しているチャンバ本体102を備え、チャンバリッド104は、チャンバ本体102にヒンジで取り付けられうる。チャンバ本体102は、処理領域120を画定する、側壁112と底部壁116とを備える。チャンバリッド104は、処理領域120内に反応体ガスと洗浄ガスとを供給するための、チャンバリッド104を通るように配置された一又は複数のガス分配システム108を備えうる。側壁112内に形成され、ポンピングシステム164に連結された、周縁ポンピングチャネル125は、処理領域120からガスを排気するよう、かつ、処理領域120内の圧力を制御するよう、構成される。2つの通路122及び124が、底部壁116に形成される。静電チャックのステム126が、通路122を通り抜けている。基板リフトピン161を作動させるよう構成されたロッド130が、通路124を通り抜けている。
腐食性の処理環境から側壁112を保護するために、セラミックなどで作製されたチャンバライナ127が処理領域120内に配置される。チャンバライナ127は、側壁112に形成されたリッジ129によって支持されうる。複数の排気口131が、チャンバライナ127に形成されうる。複数の排気口131は、処理領域120と周縁ポンピングチャネル125とを接続するよう構成される。
ガス分配システム108は、反応体ガスと洗浄ガスとを供給するよう構成されており、処理領域120内にガスを供給するためにチャンバリッド104を通って配置される。ガス分配システム108は、シャワーヘッドアセンブリ142内にガスを供給する、ガス入口通路140を含む。シャワーヘッドアセンブリ142は、面板146との間に配置された遮蔽板144を有する、環状ベースプレート148で構成される。
稼働中に環状ベースプレート148を冷却するために、冷却チャネル147がガス分配システム108の環状ベースプレート148内に形成される。冷却入口145により、冷却チャネル147内に冷却剤流体(例えば水など)が供給される。冷却剤流体は、冷却剤出口149を通って、冷却チャネル147から出る。
チャンバリッド104は、一又は複数のガス入口163、168、169から遠隔プラズマ源162を通って、チャンバリッド104の上に位置付けられたガス入口マニホールド167へとガスを供給するための、それぞれに適合した通路を有する。PECVDシステム100は、一又は複数の液体供給源150と、キャリアガス及び/又は前駆体ガスを提供するよう構成された一又は複数のガス源172とを備えうる。
静電チャック128は、処理されている基板を支持し、保持するよう構成される。一実行形態では、静電チャック128は、少なくとも1つの電極123であって、その上に基板を静電固定するために電圧が印加される、電極123を備えうる。電極123は、ローパスフィルタ177を介して電極123に接続された直流電流(DC)電源176によって、電力供給される。静電チャック128は、単極、双極、三極、DC、交互嵌合型、ゾーン型などでありうる。
一実行形態では、静電チャック128は、処理領域120内に可動式に配置され、ステム126に連結された駆動システム103によって駆動される。静電チャック128は、その上に位置付けられた基板を選択されたプロセス温度まで加熱するための、加熱素子(例えば抵抗素子)を備えうる。あるいは、静電チャック128は、外部の加熱素子(ランプアセンブリなど)によって加熱されうる。駆動システム103は、処理領域120内で静電チャック128を上下させるための、リニアアクチュエータ、又はモータ・減速ギアアセンブリを含みうる。
RF源165が、インピーダンス整合回路173を通じて、シャワーヘッドアセンブリ142に連結される。シャワーヘッドアセンブリ142の面板146と、ハイパスフィルタを介して接地されうる電極123(コンデンサ178など)とが、容量プラズマ生成装置を形成する。RF源165は、シャワーヘッドアセンブリ142の面板146と静電チャック128との間での容量プラズマの生成を促進するために、シャワーヘッドアセンブリ142にRFエネルギーを提供する。ゆえに、電極123は、RF源165のための接地経路と、基板の静電クランプを可能にするためのDC電源176からの電気バイアスの、両方を提供する。
RF源165は、高周波無線周波数(HFRF)電源(例えば13.56MHzのRF発電機)、及び/又は、低周波無線周波数(LFRF)電源(例えば300〜350kHzのRF発電機)を備えうる。LFRF電源は、低周波数発電と固定整合素子の両方を提供する。HFRF電源は、固定整合を伴って使用されるよう設計され、負荷に供給される電力を調節して、順方向電力及び反射電力に関する懸念を払拭する。
ある種の実施形態では、プラズマプロセス中に、静電チャック128に固定された基板の特性がモニタされうる。ある種の実施形態では、プラズマプロセス中に、静電チャック128に固定された基板の平坦度がモニタされうる。一実施形態では、静電チャック128に固定された基板の平坦度は、基板が固定されている静電チャック128の特性を測定することによって、モニタされうる。静電チャック128の特性は、面板146に接続されたセンサ174によって測定されうる。センサ174は、面板146とインピーダンス整合回路173との間に接続されたVIプローブでありうる。一部の実施形態では、センサ174は、面板146と電極123との間の静電容量を測定するよう構成されうる。面板146と電極123との間の静電容量は、面板146と電極123との間に位置付けられた基板121の平坦度による影響を受けるからである。
図1に示しているように、PECVDシステム100はシステムコントローラ175を更に含みうる。システムコントローラ175は、PECVDシステム100内で処理されている基板121の平坦度を算出し、調整するよう構成されうる。一実行形態では、システムコントローラ175は、静電チャック128の特性(虚数インピーダンスなど)をモニタすることによって、基板121の平坦度又はチャック状態を算出しうる。虚数インピーダンスの測定により基板121の平坦度の減少が示されると、システムコントローラ175は、DC電源176を調整することによって、チャック電力を増大させうる。一実行形態では、基板121の平坦度の減少は、静電チャック128の虚数インピーダンスの負の方向の増大によって示されうる。
図2は、本開示の一実行形態による、基板上に配置された膜積層体の上にタングステン炭化ホウ素のハードマスク層を形成するための方法200のフロー図を示している。膜積層体上に形成されるハードマスク層は、例えば、膜積層体で階段状構造物を形成するために利用されうる。図3Aから図3Dは、方法200により基板上に配置された膜積層体の上にハードマスク層を形成するためのシーケンスを示す、概略断面図である。図4は、本開示の様々な実行形態による、タングステン炭化ホウ素のハードマスク層を形成するためのガス流図400を示している。
図4を参照するに、シード層(例えばシード層310)は時間「ゾーン1」において形成され(工程220)、遷移層(例えば遷移層311)は時間「ゾーン2」において形成され(工程230)、かつ、バルクハードマスク層(例えばバルクハードマスク層312)は、時間「ゾーン3」において、遷移層上に形成される(工程240)。図4に示しているように、ホウ素ベースの前駆体ガスはトレースラインGによって表わされる。炭素ベースの前駆体ガスはトレースラインGによって表わされる。タングステンベースの前駆体ガスはトレースラインGによって表わされる。水素ガスはトレースラインGによって表わされる。不活性ガス(アルゴン及びヘリウム)はトレースラインGによって表わされる。高周波無線周波数(HFRF)はトレースラインGによって表わされる。
これより、三次元半導体デバイス向けの膜積層体における階段状構造物を製造するために利用される、膜積層体上に形成されうるハードマスク層に関連して、方法200について説明するが、方法200は、その他のデバイス製造応用においても、有利に使用されうる。方法200で説明されている工程間の時間間隔(T、T、Tなど)は、同じ長さ(T=T=T=T=...)でありうるか、ガスのオンとオフに応じて異なる長さ(T=T=T=...=T(odd)、T=T=T=...=T(偶数)、かつT(奇数)>又は<T(偶数))でありうるか、又は、それ以外の異なる長さ(漸増、漸減、及びランダムを含む)でありうる。一部の実行形態では、時間間隔は0.1秒間〜150秒間の範囲にわたる。
方法200は、工程210において、基板(例えば図3Aに示している基板300)を、処理チャンバ(例えば、図1に示しているPECVDシステム100の処理チャンバ)内に位置付けることによって始まる。基板300は、静電チャック(例えば静電チャック128)に位置付けられうる。基板300は、シリコンベースの材料、又は、必要に応じて、任意の好適な絶縁性材料若しくは導電性材料であってよく、膜積層体304が基板300上に配置されており、膜積層体304は、膜積層体304で構造物302(例えば階段状構造物)を形成するために利用されうる。
図3Aの例示的な実行形態に示しているように、基板300は、実質的に平らな表面、不均一な表面、又は、構造物が表面上に形成されている実質的に平らな表面を有しうる。膜積層体304は基板300上に形成される。一実行形態では、膜積層体304は、フロントエンドプロセス又はバックエンドプロセスにおいて、ゲート構造物、接触構造物、又は相互接続構造物を形成するために利用されうる。方法200は、メモリ構造物(NAND構造物など)で使用される、膜積層体304における階段状構造物を形成するために、膜積層体304に実施されうる。一実行形態では、基板300は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコン基板、パターニングされた又はパターニングされていない基板シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった、材料でありうる。基板300は、様々な寸法を有しうる(例えば200mm、300mm、450mm、又はその他の直径の基板、並びに長方形又は正方形のパネル)。別途記載されない限り、本書に記載の実行形態及び例は、基板(200mm直径、300mm直径、又は450mm直径を有する基板)に対して実施される。基板300のためにSOI構造物が利用される実行形態では、基板300は、シリコン結晶基板上に配置された、埋設型の誘電体層を含みうる。本書で示している実行形態では、基板300は結晶シリコン基板でありうる。
一実行形態では、基板300上に配置された膜積層体304は、いくつかの垂直積層を有しうる。膜積層体304は、第1層(308a、308a、308a、・・・・・・、308aと示している)と、第2層(308b、308b、308b、・・・・・・、308b)と示している)とを含む複数の対を備え、これらの対は、膜積層体304内に反復的に形成されうる。これらの対は、交互になった、第1層(308a、308a、308a、・・・・・・、308aと示している)と第2層(308b、308b、308b、・・・・・・、308bと示している)とを含み、第1層と第2層との対が選択された数に到達するまで反復的に形成される。
膜積層体304は、半導体チップ(三次元メモリチップなど)の一部でありうる。図3Aから図3Cには、第1層(308a、308a、308a、・・・・・・、308aと示している)と第2層(308b、308b、308b、・・・・・・、308bと示している)とが3回反復している層が図示されているが、必要に応じて、第1層と第2層との任意の数の反復的な対が利用されうることが分かる。
一実行形態では、膜積層体304は、三次元メモリチップ向けの複数のゲート構造物を形成するために利用されうる。膜積層体304に形成された第1層308a、308a、308a、・・・・・・、308aは第1誘電体層であってよく、第2層308b、308b、308b、・・・・・・、308bは第2誘電体層でありうる。第1層308a、308a、308a、・・・・・・、308a及び第2層308b、308b、308b、・・・・・・、308bを形成するために利用されうる好適な誘電体層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化チタン、酸化物と窒化物との複合物、窒化物層を挟持する少なくとも一又は複数の酸化物層、及びこれらの組み合わせを含み、それ以外のものも含む。一部の実行形態では、誘電体層は、4を上回る誘電率を有する高誘電率材料でありうる。高誘電率材料の好適な例は、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、ハフニウム酸化ケイ素(HfSiO)、ハフニウム酸化アルミニウム(HfAlO)、ジルコニウム酸化ケイ素(ZrSiO)、二酸化タンタル(TaO)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマス ストロンチウム チタン(BST)、及びプラチナ ジルコニウム チタン(PZT)を含み、それ以外のものも含む。
ある特定の例では、第1層308a、308a、308a、・・・・・・、308aは酸化ケイ素層であり、第2層308b、308b、308b、・・・・・・、308bは、第1層308a、308a、308a、・・・・・・、308aの上に配置された窒化ケイ素層又はポリシリコン層である。一実行形態では、第1層308a、308a、308a、・・・・・・、308aの厚さは、約50A〜約1000A(例えば約500A)に制御されてよく、第2層308b、308b、308b、・・・・・・、308bの各々の厚さは、約50A〜約1000A(例えば約500A)に制御されうる。膜積層体304は、約100A〜約2000Aの総厚を有しうる。一実行形態では、膜積層体304の総厚は約3ミクロン〜約10ミクロンであるが、技術進歩に応じて変動することになる。
なお、ハードマスク層は、基板300上に膜積層体304が存在している状態、又は存在していない状態で、基板300の任意の表面又は任意の部分に形成されうる。
基板300が処理領域(例えば、図1のPECVDシステム100の処理領域120)に移送された後に、図3Bに示しているように膜積層体304上にシード層310を形成するために、工程220において、PECVDシステム100内にシード層混合ガスが供給される。シード層310は任意の好適なシード層でありうる。好適なシード層の例は、炭化ホウ素(BC)のシード層、窒化ホウ素(BN)のシード層、炭窒化ホウ素(BCN)のシード層、窒化炭素(CN)のシード層、炭化ケイ素(SiC)のシード層、窒化ケイ素(SiN)のシード層、ホウ素ベースのシード層、炭素のシード層、窒化チタン(TiN)のシード層、及びケイ化タングステン(WSi)のシード層を含むが、それらに限定されるわけではない一実行形態では、シード層310は、図4の「ゾーン1」に示されているプロセスによって形成される。一実行形態では、シード層310は炭化ホウ素層である。
シード層310の堆積中に、混合ガス内でプラズマを点火し形成するために利用されるプロセスパラメータは、求められる膜特性及び膜の微細構造を伴うシード層310の堆積を促進するよう、動的に制御されうるか、又は、所定の流量に事前設定されうる。シード層混合ガスは、前述のシード層を堆積させるための反応性前駆体ガスを含む。シード層を堆積させるための例示的な反応性前駆体ガスは、ホウ素ベースの前駆体ガス、炭素ベースの前駆体ガス、窒素ベースの前駆体ガス、ケイ素ベースの前駆体ガス、チタンベースの前駆体ガス、及びタングステンベースの前駆体ガスを含む。
シード層310を形成するのに好適なホウ素ベースの前駆体ガスは、トリメチルボラン((B(CH)又はTMB)、ジボラン(B)、三フッ化ホウ素(BF)、及びトリエチルボラン((B(C)又はTEB)、及びこれらの組み合わせを含むが、それらに限定されるわけではない。ホウ素ベースの前駆体ガスは、ホウ素含有混合ガスの一部でありうる。例示的なホウ素含有混合ガスは、5%のB/95%のN、5%のB/95%のHe、10%のB/90%のHe、5%のB/95%のAr、10%のB/90%のAr、5%のB/95%のH、10%のB/80%のH、又は、20%のB/80%のHを含みうる。種々の濃度のホウ素含有混合ガスが使用される場合、それにしたがって、特定の膜特性を実現するために必要とされる流量が変化しうることが想定される。
シード層を形成するのに好適な炭素ベースの前駆体ガスは、CH、C、C、C、C10などを含むが、それらに限定されるわけではない。シード層を形成するのに好適な窒素ベースの前駆体ガスは、窒素ガス(N)、NH、NO、NO、NO、Nなどを含むが、それらに限定されるわけではない。シード層を形成するのに好適なケイ素含有前駆体ガスは、シラン(SiH)、ジ―シラン(Si)、高次シランなどを含むがそれらに限定されるわけではない、シラン含有前駆体ガスを含む。シード層を形成するのに好適なタングステンベースの前駆体ガスは、WF、WCl、W(CO)などを含むが、それらに限定されるわけではない。シード層を形成するのに好適な水素ベースの前駆体ガスは、H、HO、H、及びこれらの組み合わせを含むが、それらに限定されるわけではない。シード層を形成するのに好適な不活性ガスは、アラゴン、ヘリウム、又はこれらの組み合わせを含むが、それらに限定されるわけではない。
一実行形態では、シード層混合ガスは、ホウ素ベースの前駆体ガス、炭素ベースの前駆体ガス、オプションで水素ベースの前駆体ガス、及びオプションで不活性ガスを含む。一実行形態では、ホウ素ベースの前駆体ガスはジボラン(B)であり、炭素ベースの前駆体ガスはプロペン(C)であり、オプションの水素ベースの前駆体ガスはHである。一部の例では、必要に応じて、シード層混合ガスにおいて、不活性ガス(例えばAr又はHe)もオプションで供給されうる。
以下の説明で使用されるホウ素ベースの前駆体ガス及び炭素ベースの前駆体ガスは、堆積されるシード層の種類に応じて、窒素ベースの前駆体ガス、ケイ素ベースの前駆体ガス、及びタングステンベースの前駆体ガスのうちの任意のものと置き換えられうることを、理解すべきである。窒化ホウ素のシード層が形成される一部の実行形態では、炭素ベースの前駆体ガスが窒素ベースの前駆体ガスに置き換えられることになる。炭窒化ホウ素のシード層が形成される一部の実行形態では、ホウ素ベースの前駆体ガス及び炭素ベースの前駆体ガスと共に、窒素ベースの前駆体ガスも含まれる。窒化炭素のシード層が形成される一部の実行形態では、ホウ素ベースの前駆体ガスが窒素ベースの前駆体ガスに置き換えられる。炭化ケイ素のシード層が形成される一部の実行形態では、ホウ素ベースの前駆体ガスがケイ素ベースの前駆体ガスに置き換えられる。ケイ化タングステンのシード層が形成される一部の実行形態では、ホウ素ベースの前駆体ガスがタングステンベースの前駆体ガスに置き換えられ、炭素ベースの前駆体ガスがケイ素ベースの前駆体ガスに置き換えられる。
一実行形態では、シード層310を形成するために工程220において供給されるホウ素ベースの前駆体ガスは、2秒間〜約30秒間にわたって、約100sccm〜約5,000sccm(例えば、約1,000sccm〜約3,000sccm、約2,000sccm〜約2,500sccm)に制御される。一実行形態では、シード層310を形成するために工程220において供給される炭素ベースの前駆体ガス流は、2秒間〜約30秒間にわたって、10sccm〜約1,000sccm(例えば、約20sccm〜約100sccm、約50sccm〜約80sccm)に制御される。あるいは、シード層混合ガスにおいて供給される前駆体は、炭素ベースの前駆体とホウ素ベースの前駆体との比率が約1:10〜約1:50に制御されうる。一実行形態では、シード層310を形成するために工程220において供給される水素ベースの前駆体ガス流は、2秒間〜約30秒間にわたって、1,000sccm〜約5,000sccm(例えば、約2,000sccm〜約4,000sccm、約3,000sccm〜約3,500sccm)に制御される。一実行形態では、シード層310を形成するために工程220において供給される不活性ガス流は、2秒間〜約30秒間にわたって、500sccm〜約6,000sccm(例えば、約2,000sccm〜約5,000sccm、約3,000sccm〜約4,000sccm)に制御される。
シード層310の堆積において、いくつかのプロセスパラメータはプロセス中に調節されうる。300mm基板を処理するのに好適な一実行形態では、プロセス圧力が、約0.1Torr〜約80Torr(例えば約1Torr〜約20Torr)に維持されうる。300mm基板を処理するのに好適な一実行形態では、プロセス圧力は、プロセス中に増大しうるか、プロセス中に低減しうるか、又はプロセス中に低圧と高圧との間で循環しうる。約100ワット〜約1,000ワットのRFソース電力が、シード層混合ガス中に供給される。約0ワット〜約500ワットのRFバイアス電力が、オプションで、シード層混合ガス中に供給されうる。一実行形態では、RFソース電力は高周波RFソース電力である。一実行形態では、高周波RFソース電力は、第1の所定の時点「T」における0ワットから、第2の所定の時点「T」における約500ワットまで漸増する。基板とシャワーヘッドとの間隔は、約200ミル〜約1,000ミルに制御されうる。堆積プロセスの基板温度は、摂氏約300度〜摂氏約900度(例えば摂氏約400度〜摂氏約480度)に維持される。シード層の堆積は、プラズマを用いて、プラズマを用いずに、又は部分的にプラズマを用いて、実施されうる。
一実行形態では、シード層堆積混合ガスにおいて供給される、例えばBといったホウ素ベースの前駆体ガス(図4のトレースラインGで示している)、例えばCといった炭素ベース前駆体ガス(図4のトレースラインGで示している)、オプションの水素ベースの前駆体ガス(図4のトレースラインGで示している)、オプションの不活性ガス(図4のトレースラインGで示している)は、図4のハードマスク層堆積プロセスにおける第1の所定の時点「T」から第2の所定の時点「T」まで、事前設定された流量(例えば所定のフロー値)で供給されうる。なお、図4のトレースラインGは、一例としては、炭素ベースの前駆体ガスが、ホウ素ベースの前駆体ガスの流量(例えばトレースラインG)を下回る流量で流されることを示している。更に別の例では、炭素ベースの前駆体ガスは、(例えばトレースラインGで示している)ホウ素ベースの前駆体ガスの流量を上回る流量で、供給されうる。時間ゾーン1の期間中、ホウ素ベースの前駆体ガス(トレースラインGで示している)、炭素ベース前駆体ガス(トレースラインGで示している)、水素ベースの前駆体ガス(トレースラインGで示している)、不活性ガス(トレースラインGで示している)のガス流は、工程220においてシード層310を形成する時に、シード層310が所定の厚さに到達するまで、一定に保たれる。一実行形態では、シード層310は、約50A〜約400A(約100A〜約200A、又は約100A〜約200A)の厚さを有する。
一実行形態では、基板300を静電チャック128にクランプするために、静電チャックにチャック電圧が印加される。基板300が静電チャック128の上面上に位置付けられる実行形態では、処理中に、上面が支持を提供し、基板300をクランプする。電極123を介して基板300に電気バイアスが提供され、電極123は、バイアス電圧を電極123に供給する電力供給システムと、電気的に通信可能でありうる。一実行形態では、チャック電圧は約10ボルト〜約3,000ボルトである。一実行形態では、チャック電圧は約100ボルト〜約2,000ボルトである。一実行形態では、チャック電圧は約200ボルト〜約1,000ボルトである。
図4の時間ゾーン1においてシード層310を堆積させるためのガスは、一定の流量を有することが図示されているが、一部の実行形態では、このガス流量は、シード層310の形成中に変動しうる。
シード層310が膜積層体304上に形成された後に、工程230において、第2混合ガス(例えば遷移混合ガス)が基板表面に供給される。一実行形態では、工程220で供給されるチャック電圧が、工程230においても維持される。遷移混合ガスにおいて供給される処理ガスは、遷移混合ガスの供給が完遂した後に工程240において実施される、シード層混合ガスから主要堆積混合ガスへの遷移を促進するよう、動的に制御されうる。シード層310が求められる厚さに到達した後、遷移混合ガスにおける、ホウ素ベースの前駆体ガスと炭素ベースの前駆体ガスとの比率は変更されうる。遷移混合ガスは、少なくとも、工程220のシード層混合ガスと比べて、漸減した又は絞り抑制された(throttled down)炭素ベースの前駆体ガス、及び、継続的に一定のガス流の、ホウ素ベースの前駆体ガスと、オプションの水素ベースの前駆体ガスと、不活性ガスとを含みうる。シード層混合ガスの、漸減した又は絞り抑制された炭素ベースの前駆体混合ガス、及び一定の流れのホウ素ベースの前駆体混合ガスに加えて、工程230では、遷移混合ガス中にタングステンベースの前駆体ガスが新たに導入される。好適なタングステンベースの前駆体ガスは、WF、WC、W(CO)、又は任意の好適なハロゲン化タングステンガス(例えばWXであり、ここでXは、F、Cl、Br、及びIを含むハロゲン種であり、nは1〜6の整数である)、有機金属タングステン前駆体、及びこれらの組み合わせを含むが、それらに限定されるわけではない。一例では、タングステン混合ガスにおいて供給されるタングステンベースの前駆体ガスはWFである。
なお、本書で使用される場合、「漸増する(ramp−up)」という語は、プロセスパラメータを、第1の時間設定点から第2の時間設定点まで、所定の期間にわたって、求められる漸増率(ramp−up rate)を伴って、徐々に増大させることを意味する。本書で使用されている「漸増する」という語は、スロットル又はその他のバルブの開閉作用によって引き起こされる急激な変化のことではない。
工程240(例えば図4のゾーン3)において主要堆積混合ガスを供給する前に、工程230において、工程220(例えば図4のゾーン1)におけるシード層混合ガスからのガス供給は遷移するが、これについては以下で後述する。供給される遷移混合ガスは、図3Cに示しているように、シード層310上に遷移層311を堆積させる。シード膜310上に形成される遷移層311は、遷移層311の成長と共に原子濃度が変動する、勾配膜構造(gradient film structure)を有しうる。遷移混合ガス内のタングステンベースの前駆体ガス流が徐々に漸増し、炭素ベースの前駆体ガス流が減少することで、堆積される膜中のタングステンの原子濃度が増大すると共に、炭素の原子濃度は均一に減少し、ゆえに、望ましい膜特性及び低欠陥密度を伴うように(例えば、混合ガスにおいてコンスタントに供給される)ホウ素原子と反応するよう、基板表面上でのタングステン原子の接着及び分布が支援されると、考えられている。更に、遷移混合ガス中のタングステンベースの前駆体ガスが徐々に漸増し、炭素ベースの前駆体ガス流が減少することで、平滑的な遷移と、より良好な接着及び微細構造の制御がもたらされうるとも、考えられている。タングステンベースの前駆体ガス流が徐々に漸増し、炭素ベースの前駆体ガス流が減少するので、基板上に形成される遷移層311は、基板表面に近づくほど炭素濃度が高く(例えば、タングステン濃度が低く)なり、遷移層311の厚さが増すにつれて炭素濃度が低く(例えば、タングステン濃度が高く)なる、勾配タングステン炭化ホウ素(WBC)層になりうる。遷移混合ガスにおいて供給されるガスは、遷移層311が、種々の膜特性を伴う(例えば、BCシード層から、後に工程240の主要堆積プロセスにおいて形成されるWBCバルク層への)平滑遷移を提供することを可能にするよう、動的に、かつコンスタントに変動し、調整されうる。低欠陥密度を伴って基板表面上に形成される、ホウ素、タングステン、及び炭素の原子の均一な接着により、後続の原子が核形成するのに良好な核形成部位が提供され、これにより、求められる粒子構造、粒径、及び低い膜粗さの実現が促進される。遷移混合ガスにおいて供給されるホウ素ベースの前駆体ガス流は、必要に応じて一定に保たれてよく、工程240の主要堆積プロセスに備えて、求められる勾配膜接合構造を有する遷移層311を形成するために、タングステンベースの前駆体ガスが漸増すると共に、炭素ベースの前駆体ガス流は減少して流れる。
遷移混合ガスが処理チャンバ内に供給される時に、タングステンベースの前駆体ガス(トレースラインGで示している)は、時間ゾーン2において、第2の所定の時点「T」から第3の所定の時点「T」まで、徐々に漸増しうる。時間ゾーン2で示されている期間において、ホウ素ベースの前駆体ガスの流れ(トレースラインGで示している)は一定に保たれる。時間ゾーン2で示されている期間において、炭素ベースの前駆体ガスの流れ(トレースラインGで示している)は第2の所定の時点「T」で減少し、時間ゾーン2内では一定に保たれる。水素ベースの前駆体ガスの流れ(トレースラインGで示している)、及び不活性ベースのガス(トレースラインGで示している)も、時間ゾーン2において一定に保たれうる。
一実行形態では、図4に示している、工程230において供給される炭素ベースの前駆体ガスの流量は、約5sccm〜約500sccmでありうる。工程230において供給されるタングステンベースの前駆体ガスの漸増率は、第3の所定の時点「T」において処理チャンバに供給されるタングステンベースの前駆体ガスが所定のガス流量に到達するまで、約0sccm〜約500sccmでありうる。遷移層311を形成するために工程230において供給されるホウ素ベースの前駆体ガス流は、100sccm〜約5,000sccmという一定の流量に保たれる。
遷移層311の堆積において、いくつかのプロセスパラメータはプロセス中に調節されうる。300mm基板を処理するのに好適な一実行形態では、プロセス圧力は、約0.1Torr〜約80Torr(例えば約1Torr〜約20Torr)に維持されうる。約100ワット〜約1,500ワットのRFソース電力が、シード層混合ガスに供給される。一実行形態では、RFソース電力は高周波RFソース電力である。一実行形態では、高周波RFソース電力は、第2の所定の時点「T」における500ワットから、第3の所定の時点「T」における約1,400ワットまで漸増する。約0ワット〜約500ワットのRFバイアス電力が、オプションで、遷移層混合ガスに供給されうる。遷移層の堆積は、プラズマを用いて、プラズマを用いずに、又は部分的にプラズマを用いて、実施されうる。基板とシャワーヘッドとの間隔は、約200ミル〜約1,000ミルに制御されうる。堆積プロセスの基板温度は、摂氏約300度〜摂氏約900度(例えば摂氏約480度)に維持される。
遷移混合ガスが流された後に、工程240において、図3Dに示しているように、遷移層311上にバルクハードマスク層312を形成するために、第3混合ガス(例えば主要堆積混合ガス)が処理チャンバ内に供給されうる。ゆえに、膜積層体304上に形成される総ハードマスク層314は、全部で、シード層310と、遷移層311と、バルクハードマスク層312とを含む。一部の実行形態では、バルクハードマスク層312を形成するために使用される前駆体のガス流量は、バルクハードマスク層312における欠陥密度を増大させるように、形成プロセス中に変更される。バルクハードマスク層におけるこの欠陥密度の増大により、後続層の堆積中にバルクハードマスク層312にトラップされるフッ素の量が増加し、これにより、下にある膜積層体304との界面接着が促進される。
タングステンベースの前駆体ガス(例えばガストレースラインG)は、図4に示しているように、工程240の時間ゾーン3に入ると所定の流量に到達するので、バルクハードマスク層312を堆積させるよう制御されたタングステンベースの前駆体ガスの流量は一定に保たれうる。混合ガスが遷移混合ガスから主要堆積混合ガスに切り替わると、ホウ素ベースの前駆体ガス(例えばガストレースラインG)、炭素ベースの前駆体ガス(例えばガストレースラインG)、タングステンベースの前駆体(例えばガストレースラインG)、水素ベースの前駆体(例えばガストレースラインG)、及び不活性ガス(例えばガストレースラインG)の流量は、一定に保たれうる。主要堆積混合ガスは、第3の所定の時点「T」から第4の所定の時点「T」まで供給されうる。一例では、主要堆積プロセスは、総ハードマスク層314を形成するために、約10秒間〜約400秒間(例えば、約100秒間〜約200秒間、又は約100秒間〜約150秒間)にわたって実施されうる。一実行形態では、総ハードマスク層314は、約20nm〜約1,000nm(例えば、約300nm〜約800nm、又は約400nm〜約700nm)の厚さを有する。
一例では、主要堆積混合ガスにおいて供給されるガスは、少なくとも、タングステンベースの前駆体ガスと、ホウ素ベースの前駆体ガスと、炭素ベース前駆体ガスとを含む。主要堆積混合ガスにおいては、必要に応じて、水素ベースの前駆体ガスもオプションで供給されうる。不活性ガスも、必要に応じて、主要堆積混合ガスにおいてオプションで供給されうる。一例では、主要堆積混合ガスにおいて供給されるガスは、WF、B、C、及びHを含む。一部の例では、不活性ガス(Ar又はHeなど)も、必要に応じて、主要堆積混合ガスにおいてオプションで供給されうる。かかる例では、膜積層体304上に形成されるバルクハードマスク層312はタングステン炭化ホウ素(WBC)層になる。
一部の実行形態では、低欠陥密度を有しつつ、遷移層311から架橋する、バルクハードマスク層312を維持するために、バルクハードマスク層312は一定の速度で形成される。一実行形態では、バルクハードマスク層312を形成するために工程240において供給されるタングステンベースの前駆体ガスは、約50sccm〜約500sccmに制御される。一実行形態では、ハードマスク層312を形成するために工程240において供給される炭素ベースの前駆体ガス流は、10sccm〜約1,000sccmに制御される。一実行形態では、バルクハードマスク層312を形成するために工程240において供給されるホウ素ベースの前駆体ガス流は、100sccm〜約5,000sccmに制御される。一実行形態では、バルクハードマスク層312を形成するために工程240において供給される水素ベースの前駆体ガス流は、1,000sccm〜約5,000sccmに制御される。一実行形態では、ハードマスク層312を形成するために工程240において供給される不活性ガス流は、500sccm〜約6,000sccmに制御される。
バルクハードマスク層312の堆積中に、いくつかのプロセスパラメータが調節されうる。300mm基板を処理するのに好適な一実行形態では、プロセス圧力は、約0.1Torr〜約80Torr(例えば約1Torr〜約20Torr)に維持されうる。約1,000ワット〜約2,000ワットのRFソース電力が、主要堆積混合ガスに供給される。一実行形態では、RFソース電力は高周波RFソース電力である。一実行形態では、高周波RFソース電力は、第3の所定の時点「T」から第4の所定の時点「T」まで、約1,400ワットに維持される。約0ワット〜約500ワットのRFバイアス電力が、オプションで、主要堆積混合ガスに供給されうる。バルクハードマスク層の堆積は、プラズマを用いて、プラズマを用いずに、又は部分的にプラズマを用いて、実施されうる。基板とシャワーヘッドとの間隔は、約200ミル〜約1,000ミルに制御されうる。堆積プロセスの基板温度は、摂氏約300度〜摂氏約900度(例えば摂氏約400度〜摂氏約480度)に維持される。
なお、バルクハードマスク層312の応力レベルは、工程240における主要堆積プロセス中に供給されるRFバイアス電力を調整することによって調整されうる。RFバイアス電力が高レベルで供給されると、より圧縮的なバルクハードマスク層312の膜が得られる。反対に、RFバイアス電力が低レベルで供給されると、より伸長的なバルクハードマスク層312の膜が得られる。
一部の実行形態では、総ハードマスク層314の応力レベルは、LFRF電力を印加することによって調整されうる。一実行形態では、膜応力は、アルゴンガスの存在下で350ワットのLF(350kHz)を印加することによってチューニングされうる。アルゴンガスイオンは、膜をボンバードし、膜の応力を有効に減少させる。LFRF電力は、遷移層311か、バルクハードマスク層312か、又は遷移層311とバルクハードマスク層312の両方の形成中に、印加されうる。
一例では、総ハードマスク層314(シード層310、遷移層311、及びバルクハードマスク層312を含む)は、全体で、約−100MPa〜約+1000MPaの応力範囲を有することがある。総ハードマスク層314内に形成されたシード層310と遷移層311とは、平滑な膜構造遷移の提供だけでなく、基板表面への良好な接着の提供も支援しうると、考えられている。総ハードマスク層において形成される(例えば、主に遷移層311の寄与による)平滑な膜構造遷移により、表面粗さが最小限(例えば、2nmRa未満の表面粗さ)の総ハードマスク層314の維持が、支援されうる。更に、総ハードマスク層314の粒径も、エッチング/パターニングプロセス中にマスク層として機能するための良好なエッチング選択性を提供するよう、(例えば16A未満の粒径に)制御されうる。
総ハードマスク層314が基板上に形成された後に、基板はデチャックされる。チャック電圧はオフにされる。反応性ガスはオフにされ、オプションで処理チャンバからパージされる。一実行形態では、工程中にRF電力が低減される(例えば〜200W)。オプションで、静電荷がRF経路を通って接地に散逸しているか否かを判断するために、システムコントローラ175がインピーダンスの変化をモニタする。基板が静電チャックからデチャックされると、残りのガスは処理チャンバからパージされる。処理チャンバはポンプダウンされ、基板は、リフトピン上で上昇し、チャンバから出るように移送される。
総ハードマスク層314は、基板上に形成された後に、エッチングプロセスにおいて、三次元構造物(階段状構造物など)を形成するためのパターニングマスクとして利用されうる。
総ハードマスク層314は、標準的なフォトレジストパターニング技法を使用してパターニングされうる。パターニングされたフォトレジスト(図示せず)は、総ハードマスク層314の上に形成されうる。総ハードマスク層314は、パターニングされたフォトレジスト層に対応するパターンにエッチングされてよく、その後、このパターンが基板にエッチングされうる。基板のエッチングされた部分の中に、材料が堆積されうる。総ハードマスク層314は、過酸化水素と硫酸とを含む溶液を使用して除去されうる。過酸化水素と硫酸とを含む例示的な溶液の1つは、ピラニア溶液又はピラニア腐食液として既知である。総ハードマスク層314は、Cl/O、CF/O、Cl/O/CFといった酸素とハロゲン(例えばフッ素又は塩素)を包含するエッチング化学作用を使用して、除去されることもある。総ハードマスク層314は、化学機械研磨(CMP)プロセスによって除去されることもある。
例:
以下の非限定的な例は、本書に記載の実行形態について更に示すために提供される。しかし、これらの例は、本書に記載の実行形態を全て含むことも、本書に記載の実行形態の範囲を限定することも、意図するものではない。一例では、タングステン炭化ホウ素のハードマスク膜が、希釈ガスとしてのArとHe、並びに、接着及び遷移勾配(transition ramp)のための炭化ホウ素(例えばB及びC)の接着層を伴って、PECVDリアクタ内で、摂氏400度で、かつ1400WRF(13.56MHz)の電力で、WF+C+B+Hを使用することによって、製造された。一例では、タングステン炭化ホウ素のハードマスク膜は、72原子パーセントのタングステン、8原子パーセントのホウ素、及び20原子パーセントの炭素という組成を有していた。別の例では、タングステン炭化ホウ素のハードマスク膜は、60原子パーセントのタングステン、20原子パーセントのホウ素、及び20原子パーセントの炭素という組成を有していた。主要堆積の前に、アモルファス炭化ホウ素のシード層が、500ワットのHFRF電力を伴ってB、H及びCを流すことによって堆積された。シード層の後に遷移/勾配層が堆積された。遷移/勾配層の堆積では、WFの流れは、約500ワット〜約1400ワットのHFRFの勾配と共に漸増する。この勾配層は、膜接着に役立つ。膜応力は、350ワットのLFRF(例えば350kHz)を使用することによってチューニングされうる。アルゴン希釈ガスのイオンは、膜をボンバードし、膜の応力を有効に減少させる。その他のパラメータ(堆積速度、粗さ、及び接着性など)に影響を与えずに、応力を独立的にチューニングするよう、LFRF電力は調整されうる。
図5Aは、本開示の一又は複数の実行形態により形成されたタングステン炭化ホウ素のハードマスク層の断面の走査電子顕微鏡(SEM)写真を示している。図5Bは、本開示の一又は複数の実行形態により形成されたタングステン炭化ホウ素のハードマスク層のチルト視点の走査電子顕微鏡(SEM)写真を示している。このタングステン炭化ホウ素のハードマスク層は、72原子パーセントのタングステンと、8原子パーセントのホウ素と、20原子パーセントの炭素とを含む。
図6は、本開示の一又は複数の実行形態により酸化物上に形成された、1,000Aの粗さのタングステン炭化ホウ素膜を示している、走査電子顕微鏡(SEM)写真である。このタングステン炭化ホウ素のハードマスク層は、72原子パーセントのタングステンと、8原子パーセントのホウ素と、20原子パーセントの炭素とを含む。このタングステン炭化ホウ素膜は、1.130ナノメートルの画像Rms(Rq)、0.899ナノメートルの画像Ra、及び9.780の画像Rmaxを示した。
図7は、本書に記載の実行形態により形成されたタングステン炭化ホウ素のブランケット膜のエッチング選択性と、他の従来技術のハードマスク膜のエッチング選択性とを示しているグラフである。
要約すると、本開示の利点の一部により、半導体デバイスを製造するために利用されうるタングステン炭化ホウ素のハードマスク層を形成するためのプロセスが提供される。このハードマスク層は、シード層、遷移層、及びバルクハードマスク層を含んでよく、表面粗さが低く、かつ界面における接着性が高い結合構造物を提供する。一部の実行形態では、タングステン炭化ホウ素のハードマスク層は、他のハードマスク膜と比較して、小さい粒径及び高いエッチング選択性を有し、純タングステンのものに近いパターンエッチング選択性を有する一方で、その他の金属製ハードマスクに関連する粒径及び粗さの問題を回避するものである。タングステン炭化ホウ素のハードマスク層が望ましい強固な膜特性及びエッチング選択性を有することで、結果として膜積層体において形成される構造物の寸法及びプロファイルの制御の向上が得られ、かつ、半導体デバイスの三次元積層向けの応用におけるチップデバイスの電気的性能が強化されうる。
本開示の要素、又はそれらの例示的な態様若しくは実行形態(複数可)を紹介する際の、「1つの(a、an)」及び「前記(the、said)」という冠詞は、一又は複数の要素が存在していることを意味するためのものである。
「備える(comprising)」、「含む(including)」、及び「有する(having)」という語は、包括的であることが意図されており、列挙された要素以外にも追加の要素がありうることを意味する。
以上の記述は本開示の実行形態を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決まる。

Claims (15)

  1. 基板上にハードマスク層を形成する方法であって、
    処理チャンバ内にシード層混合ガスを供給することによって、基板上にシード層を形成することと、
    前記処理チャンバ内に遷移層混合ガスを供給することよって、前記シード層上に、タングステン、ホウ素、及び炭素を含む遷移層を形成することと、
    前記処理チャンバ内に主要堆積混合ガスを供給することよって、前記遷移層上に、タングステン、ホウ素、及び炭素を含むバルクハードマスク層を形成することとを含む、
    方法。
  2. 前記遷移層混合ガスが、少なくとも、ホウ素ベースの前駆体ガスと、炭素ベースの前駆体ガスと、タングステンベースの前駆体ガスとを含む、請求項1に記載の方法。
  3. 前記主要堆積混合ガスが、前記ホウ素ベースの前駆体ガスと、前記炭素ベースの前駆体ガスと、前記タングステンベースの前駆体ガスとを含む、請求項2に記載の方法。
  4. 前記シード層混合ガスが、少なくとも、前記ホウ素ベースの前駆体ガスと前記炭素ベースの前駆体ガスとを含む、請求項3に記載の方法。
  5. 前記ホウ素ベースの前駆体ガスはBであり、前記炭素ベースの前駆体ガスはCであり、前記タングステンベースの前駆体ガスはWFである、請求項3に記載の方法。
  6. 前記遷移層混合ガスが、前記ホウ素ベースの前駆体ガスの一定のガス流量を維持しつつ、前記炭素ベースの前駆体ガスのガス流量を減少させることによって、前記処理チャンバに供給される、請求項2に記載の方法。
  7. 前記遷移層混合ガスが、前記タングステンベースの前駆体のガス流量を漸増させることによって、前記処理チャンバに供給される、請求項6に記載の方法。
  8. 前記主要堆積混合ガスが、前記ホウ素ベースの前駆体ガス、前記炭素ベースの前駆体ガス、及び前記タングステンベースの前駆体ガスを一定的に供給することによって、前記処理チャンバに供給される、請求項7に記載の方法。
  9. 前記シード層を形成している間、前記遷移層を形成している間、および前記バルクハードマスク層を形成している間において、水素ベースの前駆体ガスを前記処理チャンバ内に供給することを更に含む、請求項1から8のいずれか一項に記載の方法。
  10. 前記水素ベースの前駆体ガスを前記処理チャンバ内に供給することが、前記水素ベースの前駆体ガスを前記処理チャンバ内に一定的に供給することを含む、請求項9に記載の方法。
  11. 前記シード層を形成している間、および前記遷移層を形成している間において、高周波RF電力を勾配させることと、
    前記バルクハードマスク層を形成している間において、前記高周波RF電力を一定的に供給することとを更に含む、請求項1から10のいずれか一項に記載の方法。
  12. ハードマスク層であって、
    シード層と、遷移層と、前記遷移層上に配置されたバルクハードマスク層とを備える、ハードマスク層。
  13. 前記シード層が炭化ホウ素層であり、前記遷移層がタングステン炭化ホウ素層であり、かつ、前記バルクハードマスク層がタングステン炭化ホウ素層である、請求項12に記載のハードマスク層。
  14. 約50〜約70原子パーセントのタングステンと、約10〜約30原子パーセントの炭素と、約10〜約20原子パーセントのホウ素とを含む、請求項13に記載のハードマスク層。
  15. 勾配膜構造を有する、請求項13に記載のハードマスク層。
JP2019541270A 2017-02-01 2018-01-10 ハードマスク応用向けのホウ素がドープされた炭化タングステン Active JP7229929B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762453288P 2017-02-01 2017-02-01
US62/453,288 2017-02-01
PCT/US2018/013099 WO2018144198A1 (en) 2017-02-01 2018-01-10 Boron doped tungsten carbide for hardmask applications

Publications (3)

Publication Number Publication Date
JP2020507922A true JP2020507922A (ja) 2020-03-12
JP2020507922A5 JP2020507922A5 (ja) 2021-02-25
JP7229929B2 JP7229929B2 (ja) 2023-02-28

Family

ID=62980183

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019541270A Active JP7229929B2 (ja) 2017-02-01 2018-01-10 ハードマスク応用向けのホウ素がドープされた炭化タングステン

Country Status (6)

Country Link
US (1) US10403502B2 (ja)
JP (1) JP7229929B2 (ja)
KR (1) KR102557334B1 (ja)
CN (1) CN110249410B (ja)
TW (1) TWI749165B (ja)
WO (1) WO2018144198A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022124334A1 (ja) * 2020-12-10 2022-06-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020035869A (ja) * 2018-08-29 2020-03-05 キオクシア株式会社 マスク材、および半導体装置の製造方法
CN114901859A (zh) * 2019-11-01 2022-08-12 应用材料公司 减少缺陷的沉积工艺
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition
KR20220012474A (ko) 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
US20220293416A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Systems and methods for improved carbon adhesion
US20220341034A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Metal-doped boron films
WO2024006088A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Integrated high aspect ratio etching

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体
JP2013540359A (ja) * 2010-10-05 2013-10-31 アプライド マテリアルズ インコーポレイテッド 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
JP2016105465A (ja) * 2014-11-14 2016-06-09 ラム リサーチ コーポレーションLam Research Corporation 垂直nandホールエッチングのためのめっき金属ハードマスク
JP2016181687A (ja) * 2015-03-24 2016-10-13 ラム リサーチ コーポレーションLam Research Corporation ハードマスクのための金属誘電体膜の蒸着
JP2018098287A (ja) * 2016-12-09 2018-06-21 東芝メモリ株式会社 半導体装置の製造方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5821169A (en) 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US6958295B1 (en) * 1998-01-20 2005-10-25 Tegal Corporation Method for using a hard mask for critical dimension growth containment
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6893938B2 (en) * 2003-04-21 2005-05-17 Infineon Technologies Ag STI formation for vertical and planar transistors
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
KR100568257B1 (ko) 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
KR100669141B1 (ko) 2005-01-17 2007-01-15 삼성전자주식회사 오믹막 및 이의 형성 방법, 오믹막을 포함하는 반도체장치 및 이의 제조 방법
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
KR100669107B1 (ko) 2005-07-11 2007-01-16 삼성전자주식회사 마스크 구조물, 이의 제조 방법, 이를 이용한 패턴 형성방법 및 반도체 장치의 콘택 형성 방법
KR100735520B1 (ko) 2005-09-23 2007-07-04 삼성전자주식회사 텅스텐 실리사이드막 형성 방법 및 이를 이용한 반도체소자의 제조 방법
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
KR100713925B1 (ko) 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7728503B2 (en) 2006-03-29 2010-06-01 Ricoh Company, Ltd. Electron emission element, charging device, process cartridge, and image forming apparatus
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
KR101566925B1 (ko) 2010-01-29 2015-11-16 삼성전자주식회사 반도체소자의 제조방법
JP5621735B2 (ja) * 2010-09-03 2014-11-12 信越化学工業株式会社 パターン形成方法及び化学増幅ポジ型レジスト材料
WO2012118847A2 (en) * 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP2012204456A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8647989B2 (en) * 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
JP2017507477A (ja) * 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
JP5852151B2 (ja) 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
CN105097704B (zh) * 2014-05-04 2018-02-16 中芯国际集成电路制造(上海)有限公司 闪存器件及其形成方法
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9938616B2 (en) * 2014-07-29 2018-04-10 Lam Research Corporation Physical vapor deposition of low-stress nitrogen-doped tungsten films
JP6868553B2 (ja) 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
JP6489951B2 (ja) * 2015-06-12 2019-03-27 東芝メモリ株式会社 半導体装置の製造方法
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体
JP2013540359A (ja) * 2010-10-05 2013-10-31 アプライド マテリアルズ インコーポレイテッド 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
JP2016105465A (ja) * 2014-11-14 2016-06-09 ラム リサーチ コーポレーションLam Research Corporation 垂直nandホールエッチングのためのめっき金属ハードマスク
JP2016181687A (ja) * 2015-03-24 2016-10-13 ラム リサーチ コーポレーションLam Research Corporation ハードマスクのための金属誘電体膜の蒸着
JP2018098287A (ja) * 2016-12-09 2018-06-21 東芝メモリ株式会社 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022124334A1 (ja) * 2020-12-10 2022-06-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
KR20190105111A (ko) 2019-09-11
TWI749165B (zh) 2021-12-11
KR102557334B1 (ko) 2023-07-18
CN110249410B (zh) 2023-07-04
US10403502B2 (en) 2019-09-03
WO2018144198A1 (en) 2018-08-09
TW201841214A (zh) 2018-11-16
JP7229929B2 (ja) 2023-02-28
CN110249410A (zh) 2019-09-17
US20180218902A1 (en) 2018-08-02

Similar Documents

Publication Publication Date Title
KR102557334B1 (ko) 하드마스크 적용들을 위한 붕소 도핑 텅스텐 탄화물
KR102172141B1 (ko) 고 압축/인장 휨 웨이퍼들 상의 두꺼운 텅스텐 하드마스크 막들의 증착
US20210225650A1 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
CN109643639B (zh) 用于间隔件和硬掩模应用的硼烷介导的从硅烷和烷基硅烷物质脱氢的工艺
US11430655B2 (en) Low temperature high-quality dielectric films
JP7471492B2 (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
US20240087894A1 (en) High density carbon films for patterning applications
US11894230B2 (en) Tribological properties of diamond films
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
TW202111147A (zh) 低k介電膜
JP7441244B2 (ja) 低い粗さのダイヤモンドフィルムの堆積
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
TW202415796A (zh) 用於圖案化應用的高密度碳膜

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210108

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210108

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230117

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230215

R150 Certificate of patent or registration of utility model

Ref document number: 7229929

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150