JP7487189B2 - 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露 - Google Patents

間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露 Download PDF

Info

Publication number
JP7487189B2
JP7487189B2 JP2021521282A JP2021521282A JP7487189B2 JP 7487189 B2 JP7487189 B2 JP 7487189B2 JP 2021521282 A JP2021521282 A JP 2021521282A JP 2021521282 A JP2021521282 A JP 2021521282A JP 7487189 B2 JP7487189 B2 JP 7487189B2
Authority
JP
Japan
Prior art keywords
film
remote
sic
thickness
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021521282A
Other languages
English (en)
Other versions
JPWO2020081367A5 (ja
JP2022505310A (ja
Inventor
ユアン・ガンジ
ナラケヴィキュート・イエヴァ
ゴン・ボー
バラダラジャン・バドリ・エヌ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022505310A publication Critical patent/JP2022505310A/ja
Publication of JPWO2020081367A5 publication Critical patent/JPWO2020081367A5/ja
Application granted granted Critical
Publication of JP7487189B2 publication Critical patent/JP7487189B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

参照による援用
本出願の一部として、PCT願書様式を本明細書と同時に提出する。同時に提出したPCT願書様式において特定される、本出願が利益または優先権を主張する各出願は、その内容全体が参照により、あらゆる目的の下に本明細書に組み込まれる。
半導体装置などの装置の製造には、基板の凹状フィーチャに、各種の誘電体膜、導電膜、または半導電膜を堆積する場合がある。こうしたフィーチャを充填する技術は各種存在するが、装置の小型化やフィーチャの狭小化に伴い、ボイドやシームを生じることなくフィーチャを充填することはますます難しくなっている。
本明細書において提供される背景技術は、本開示の背景を概略的に提示することを目的としたものである。この背景技術に記載されている範囲内での本発明者らの研究、並びにそのほかの点で出願時に先行技術と認められない可能性がある記載の態様は、明示的にも暗示的にも、本開示に対する先行技術とは認められない。
本開示は、基板の1つまたは複数のフィーチャにドープまたは非ドープシリコン炭化物(SiCxyz)膜を堆積する方法を提供する。この方法は、基板の1つまたは複数のフィーチャにSiCxyz膜の第1の膜厚を堆積することと、1つまたは複数のフィーチャの各々の上面付近における開口のサイズが大きくなるような条件下で、SiCxyz膜を遠隔水素プラズマに曝露することと、SiCxyz膜の第1の膜厚の上にSiCxyz膜の第2の膜厚を堆積することであって、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値であることと、を含む。
いくつかの実装形態において、前記方法は、1つまたは複数のフィーチャが略充填されるまで、SiCxyz膜を遠隔水素プラズマに曝露する工程と、1つまたは複数のフィーチャにSiCxyz膜の新たな膜厚を堆積する工程とを繰り返すことをさらに含む。いくつかの実装形態において、遠隔水素プラズマの条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を含み、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成は、1つまたは複数のフィーチャの各々の上面付近における開口のサイズが、1つまたは複数のフィーチャの各々の底面付近における開口のサイズよりも大きくなるように制御される。遠隔水素プラズマへの曝露の処理時間は、約0.5秒~約120秒であってもよい。処理レートは、SiCxyz膜の堆積およびSiCxyz膜の遠隔水素プラズマへの曝露の1サイクルあたり、SiCxyz膜の10Å以下であってもよい。遠隔水素プラズマの遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度を有する遠隔水素プラズマを含んでもよい。いくつかの実装形態において、第1の膜厚および第2の膜厚の各々は、約0.5Å~約4.5Åである。いくつかの実装形態において、SiCxyz膜の第1の膜厚を堆積することは、1つまたは複数のシリコン含有前駆体反応をチャンバに流すことと、遠隔プラズマ源から生成された1つまたは複数の水素ラジカルを反応チャンバ内の基板に向けて導入することと、を含み、1つまたは複数の水素ラジカルは、1つまたは複数のシリコン含有前駆体と反応してSiCxyz膜の第1の膜厚を堆積する。いくつかの実装形態において、水素ラジカルの少なくとも90%は、基底状態の水素ラジカルである。いくつかの実装形態において、遠隔水素プラズマの条件は、1つまたは複数のフィーチャの各々の上面付近における開口のサイズを少なくとも約5%大きくする。いくつかの実装形態において、遠隔水素プラズマの条件は、SiCxyz膜の第1の膜厚の炭素の原子濃度が約10%~約30%のとき、1つまたは複数のフィーチャの各々の上面付近における開口のサイズを大きくする。いくつかの実装形態において、SiCxyz膜の第1の膜厚を堆積する工程およびSiCxyz膜を遠隔水素プラズマに曝露する工程は、真空ブレイクを導入することなく行われる。いくつかの実装形態において、前記方法は、間隙充填性能を調節するために、SiCxyz膜の第1の膜厚を堆積することと、SiCxyz膜の第1の膜厚を遠隔水素プラズマに曝露することとの間に時間間隔を設けることを含む。
別の態様は、装置に関する。装置は、反応チャンバと、反応チャンバ内に配置された1つまたは複数のフィーチャを有する基板を支持するための基板支持部と、コントローラと、を備える。コントローラは、基板の1つまたは複数のフィーチャに、ドープまたは非ドープシリコン炭化物(SiCxyz)膜の第1の膜厚を堆積する工程と、1つまたは複数のフィーチャの各々の上面付近における開口のサイズが大きくなるような条件下で、SiCxyz膜を遠隔水素プラズマに曝露する工程と、SiCxyz膜の第1の膜厚の上にSiCxyz膜の第2の膜厚を堆積する工程と、を実行するための命令を含んで構成されている。ここで、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値である。
いくつかの実装形態において、コントローラは、1つまたは複数のフィーチャが略充填されるまで、SiCxyz膜を遠隔水素プラズマに曝露する工程と、1つまたは複数のフィーチャにSiCxyz膜の新たな膜厚を堆積する工程と、を繰り返す工程を実行するための命令をさらに含んで構成されている。いくつかの実装形態において、遠隔水素プラズマの条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を含み、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成は、1つまたは複数のフィーチャの各々の上面付近における開口のサイズが、1つまたは複数のフィーチャの各々の底面付近における開口のサイズよりも大きくなるように制御される。遠隔水素プラズマの遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度を有する遠隔水素プラズマを含んでもよい。いくつかの実装形態において、第1の膜厚および第2の膜厚の各々は約10Å以下である。いくつかの実装形態において、コントローラは、間隙充填性能を調節するために、SiCxyz膜の第1の膜厚を堆積することと、SiCxyz膜の第1の膜厚を遠隔水素プラズマに曝露することとの間に時間間隔を設ける工程を実行するための命令をさらに含んで構成されている。
上記および他の態様を、図面を参照しながら以下に詳述する。
図1は、基板のフィーチャの一例を示す断面概略図である。
図2Aは、堆積・エッチング・堆積(dep-etch-dep)プロセスを用いた間隙充填が行われる例示的な基板のフィーチを示す概略断面図である。 図2Bは、堆積・エッチング・堆積プロセスを用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。 図2Cは、堆積・エッチング・堆積プロセスを用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。
図3Aは、反応抑制剤を用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。 図3Bは、反応抑制剤を用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。 図3Cは、反応抑制剤を用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。
図4は、いくつかの実装形態に係る例示的な基板に用いられる種々の間隙充填材を示す概略断面図である。
図5Aは、いくつかの実装形態に係る遠隔プラズマ化学堆積工程と遠隔水素プラズマ曝露工程とを用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。 図5Bは、いくつかの実装形態に係る遠隔プラズマ化学堆積工程と遠隔水素プラズマ曝露工程とを用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。 図5Cは、いくつかの実装形態に係る遠隔プラズマ化学堆積工程と遠隔水素プラズマ曝露工程とを用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。
図6は、いくつかの実装形態に係る遠隔プラズマ源を備えた例示的なプラズマ処理装置の概略図である。
図7は、いくつかの他の実装形態に係る遠隔プラズマ源を備えた例示的なプラズマ処理装置の概略図である。
図8は、いくつかの実装形態に係る基板の複数のフィーチャに堆積されたSiCxyz膜の透過電子顕微鏡(TEM:Transmission Electron Microscope)画像である。
図9は、いくつかの実装形態に係る基板の複数のフィーチャに堆積されたSiCxyz膜のTEM画像である。
本開示において、「半導体ウェーハ」、「ウェーハ」、「基板」、「ウェーハ基板」および「部分的に製造された集積回路」という用語は互換的に用いられる。「部分的に製造された集積回路」という用語が、集積回路の多数の製造工程のいずれかにおけるシリコンウェーハを意味することは、当業者であれば理解できるところである。半導体装置の分野で用いられるウェーハまたは基板の直径は通常、200mm、300mmまたは450mmである。以下の詳細な説明は、本開示がウェーハで実装されていることを前提としている。しかしながら、本開示は、これに限定されるものではない。ワークピースは、種々の形状、サイズおよび素材で構成されてもよい。半導体ウェーハの他に、本開示を利用できるワークピースには、プリント回路基板など各種の物品がある。
基板は、「フィーチャ」または「トレンチ」を含む場合がある。本開示において用いられる「フィーチャ」とは、基板の非平面構造を意味し、多くの場合、半導体装置の製造工程において変形された表面と言ってもよい。「ネガ型フィーチャ」または「凹状フィーチャ」と呼ばれる場合もあるフィーチャの例には、トレンチ、ビア、間隙、凹状領域などが含まれる。本開示において、これらの用語を、互換的に用いられる場合がある。フィーチャの一例として、半導体基板または基板上の層に形成された孔またはビアが挙げられる。別の一例として、基板または層にあるトレンチが挙げられる。フィーチャは通常、アスペクト比(深さ・横寸法比)を有する。フィーチャは、狭小および/または凹状の開口、フィーチャ内の狭窄部、および高アスペクト比のうち、1つまたは複数を特徴としてもよい。高アスペクト比を有するフィーチャは、10:1以上、15:1以上、20:1以上、25:1以上、30:1以上、40:1以上、50:1以上、または100:1以上の深さ・横アスペクト比を有することができる。各種実施形態において、フィーチャは、障壁層や接着層などの下層を有する場合がある。下層の非限定的な例としては、シリコン酸化物、シリコン窒化物、非ドープシリコン炭化物、酸素ドープされたシリコン炭化物、窒素ドープされたシリコン炭化物、金属酸化物、金属窒化物、金属炭化物、金属層などの誘電体層および導体層がある。
基板のフィーチャには、様々な種類があってもよい。いくつかの実施形態において、フィーチャは、直立した側壁、右上がりの側壁、または右下がりの側壁を有する場合がある。いくつかの実施形態において、フィーチャは、側壁形状または側壁粗さを有する場合がある。これらの側壁形状または側壁粗さは、フィーチャを形成するためのエッチング処理の結果生じる場合がある。いくつかの実施形態において、フィーチャは、底部よりも上部が大きいフィーチャ開口、または上部よりも底部が大きいフィーチャ開口を有することができる。いくつかの実施形態において、フィーチャに、部分的に材料を充填し、またはフィーチャが1つまたは複数の下層を有することができる。上記のいずれかの実施形態のようなフィーチャの間隙充填は、フィーチャの種類およびプロファイルに依存することができる。半導体製造プロセスは、間隙充填プロセスまたは誘電体間隙充填プロセスを含むことが多い。フィーチャの充填には通常、化学蒸着(CVD:Chemical Vapor Deposition)法および/または原子層堆積(ALD:Atomic Layer Deposition)法が用いられる。しかしながら、従来の方法では、フィーチャ内に好ましくないシームやボイドが生じることが多かった。いくつかの実施形態において、間隙充填においてシームおよび/またはボイドが存在すると、抵抗の上昇、汚染、充填材の損失、性能低下、ひいては装置の故障につながる場合がある。
フィーチャのアスペクト比が高くなると、CVD気相反応物の物質移動限界によって、上面での堆積がより厚く、凹部面での堆積がより薄くなる「ブレッドローフィング」堆積効果が生じることがある。この結果、フィーチャが完全に充填される前に、フィーチャ開口の上部が閉じてしまう。CVDプロセスと異なり、ALDプロセスでは、表面媒介性の堆積反応を用いて、一層ずつ膜を堆積する。これらの膜は通常、コンフォーマルとなる。ALDにより、コンフォーマル性の高い膜を堆積することが可能ではあるが、高アスペクト比のフィーチャに膜を堆積することは難しい可能性がある。側壁にそった膜の段差被覆性および均一性は、例えば、堆積前駆体、反応イオンおよび/またはラジカルの移動ならびに副生成物に依存する。フィーチャの横寸法が減少するにつれて、またはフィーチャの深さが増加するにつれて、堆積前駆体および/または反応種のフィーチャ内における移動および拡散がより難しくなる。したがって、拡散が制限されることにより、フィーチャの上部において前駆体および反応種への曝露が増え、フィーチャの底部において前駆体および反応種への曝露が減る。これにより、高アスペクト比のフィーチャ内にシームおよび/またはボイドが生じる可能性がある。
図1は、基板のフィーチャの一例を示す概略断面図である。基板100は、従来のCVD、プラズマCVD(PECVD:Plasma-Enhanced Chemical Vapor Deposition)、ALDまたはプラズマALD法(PEALD:Plasma-Enhanced Atomic Layer Deposition)を用いて充填されたフィーチャ101を有する。フィーチャ101の側壁に沿って堆積された間隙充填材102の接合箇所に、シーム106が生じている。ALDまたはプラズマALD法を用いた場合、フィーチャ101上部の開口が閉じ、分子移動が次第に難しくなる。これにより、フィーチャ101の上部付近にシーム106が生じ、フィーチャ101内にボイド108が残ることとなる。CVDまたはプラズマCVD法を用いた場合、フィーチャ101の側壁よりも縁部において間隙充填材102の蓄積が速くなる傾向があるため、フィーチャ101が充填される前にフィーチャ101の上部が閉塞し、シーム106に「挟まれた」状態となる。これにより、フィーチャ101内にボイド108が残ることとなる。
ボイドおよびシームの形成に加えて、フィーチャ内に堆積された膜は、フィーチャの上部付近に堆積された膜とは異なった、劣化した膜質を有する場合がある。これは、特定の理論に限定されるものではなく、フィーチャ底部に到達する反応種の数および分布が、フィーチャ上部と異なり、かつフィーチャ上部よりも少ないためであると考えられる。いくつかの実施形態において、堆積された膜をエッチングし、フィーチャ上部、フィーチャ底部およびフィーチャ側壁におけるエッチング速度を観察し、比較することにより、膜質を評価することができる。
CVD、PECVD、ALDまたはPEALDプロセスにおける間隙充填性能を改善するため、多くのアプローチのいずれかが、一般的に用いられる。
いくつかの実施形態において、CVD、PECVD、ALDまたはPEALD間隙充填のために堆積速度を低下させることが可能である。堆積速度を低下させることにより、間隙充填構造における堆積プロファイルの微調整が可能となり、間隙充填性能を改善することができる。例えば、ALDまたはPEALDプロセスにおいて、フィーチャが閉塞する前により多くのサイクルを実行できる。これに加えて、またはこれに代えて、初期サイクルの期間を長くすることにより、拡散された前駆体および/または反応種をフィーチャの底部および側壁に到達させることができる場合がある。しかしながら、間隙充填を向上するために堆積速度を低下させると、全体的な処理量の低下につながり、一般的には低アスペクト比のフィーチャおよび/または開口が大きいフィーチャに適している。
いくつかの実施形態において、フィーチャの充填に堆積・エッチング・堆積(dep-etch-dep:deposition, etch, deposition)法が用いられる。堆積・エッチング・堆積法では、間隙充填材を堆積した後にその一部をエッチバックしてフィーチャの開口を開き、その後、同じ間隙充填材を再度堆積することにより間隙充填を完了、または間隙充填プロセスを進行させる。図2A乃至2Cは、堆積・エッチング・堆積プロセスを用いた間隙充填が行われる基板のフィーチャの一例を示す概略断面図である。図2Aは、基板200のフィーチャ201の一例を示した図であり、フィーチャ201に材料202aが堆積されている状態を示している。材料202aは、フィーチャ201の上面、側壁および底面に沿ってコンフォーマルに堆積されてもよい。いくつかの実施形態において、材料202aは、ALDまたはPEALDを用いて堆積されてもよい。一般的なALDサイクルは、以下を含んでもよい:(i)前駆体材料を基板表面上に供給して吸着させる投与工程、(ii)チャンバから過剰な前駆体材料を除去し、基板表面上に自己制限単分子層を残す工程、(iii)反応物を供給し、吸着した前駆体材料と反応させる工程、および(iv)未反応の反応物または反応による副生成物をチャンバから除去する工程。投与工程において、前駆体材料によって活性部位が占拠されると、それ以上の前駆体材料は基板表面にほとんど、またはまったく吸着されないような自己制限手法で前駆体材料を吸着させてもよい。同様に、反応物は、自己制限または吸着制限手法で前駆体材料と反応する場合がある。除去工程において、過剰な前駆体材料、反応による副生成物および/または未反応の反応物をチャンバから除去してもよい。これにより、ALDサイクルが完了する。図2Bは、基板200のフィーチャ201の一例を示した図であり、材料202aがエッチバックされている状態を示している。例えば、図2Bに示すように、材料202aをエッチバックすることにより、テーパー状のプロファイルにすることができる。したがって、フィーチャ201の底部よりもフィーチャ201の上部付近において、より多くの材料202aが除去される。図2Bにて実行されるエッチング工程において、堆積された材料202aの形状を整えることによって、より多くの材料をフィーチャ201に充填できるようになる。これに続く堆積工程において、フィーチャ201の底部よりもフィーチャ201の上部付近により多くの材料が堆積されると、ボイドが小さいかまたはまったく存在しない態様でフィーチャ201を充填することができる。図2Cは、基板200のフィーチャ201の一例を示した図であり、フィーチャ201に材料202bが堆積された状態を示している。材料202bは、図2Aおよび2Bに示す材料202aを含む。図2Cに示すように、堆積およびエッチング工程の結果、材料202bは、フィーチャ201を略充填してもよい。材料202bの堆積により、ボイド203が生じる場合があるが、堆積工程の間に1つまたは複数のエッチング工程を挟むことにより、ボイド203を小さくすることができる。堆積・エッチング・堆積法によりボイドおよび/またはシームの形成を抑制することができるものの、堆積・エッチング・堆積の間のエッチング工程により、下地材料がエッチングされてしまう場合があり、それにより装置の不安定化や故障のおそれにつながることが多い。さらに、堆積・エッチング・堆積法では、堆積・エッチング・堆積が複数回行われたり、および/または堆積チャンバとエッチングチャンバとの間の移動が複数回行われることが多く、これが全体的な処理量の低下につながる。
いくつかの実施形態において、間隙充填材がトポグラフィ状に異なる手法でフィーチャ内に成長または形成されるように反応抑制剤を用いることができる。例えば、抑制剤は、材料と反応し表面を不動態化し、成長を抑制できる。フィーチャのフィールド領域および上部領域において基板表面の不動態化がより進み、フィーチャ内までの距離が大きくなるにつれて基板表面の不動態化の進行を緩和できる。このようにして、フィーチャ上部における堆積を選択的に抑制する一方で、フィーチャ下部における堆積の抑制を緩和しつつ、または抑制せずに進めることができる。その結果、ボトムアップ充填が向上する。図3A乃至3Cは、反応抑制剤を用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。図3Aは、基板300のフィーチャ301の一例を示した図であり、フィーチャ301の少なくともフィールド領域および上部領域が、間隙充填材の堆積/成長を抑制する反応物に曝露された状態を示している。反応物は材料と反応し、基板300上に不動態化層305を形成する。例えば、窒素ガス(N2)またはアンモニア(NH3)を用いて、窒化物を成分とする不動態化層305を形成してもよい。ALDシリコン二酸化物(SiO2)などの間隙充填材は、窒化物の表面上では核形成の速度が遅くなる。図3Bは、フィーチャ301に間隙充填材302aが堆積された状態を示している。間隙充填材302aは、フィーチャ301の上面、側壁および底面に沿って堆積されてもよい。不動態化層305は、フィーチャ301のフィールド領域および上部領域における間隙充填材302aの堆積/成長を選択的に抑制する。その結果、フィーチャ301の他の領域においては、間隙充填材302aの堆積/成長の抑制が緩和され、または抑制されずに進む。図3Cは、間隙充填材302bが堆積し、フィーチャ301が略充填された状態を示している。間隙充填材302bの堆積の結果ボイド303が生じる場合があるが、反応抑制剤がフィーチャ301内のボトムアップ充填を促進することにより、ボイド303を小さくすることができる。反応抑制剤により、ボイドおよび/またはシームの形成を抑制することができるものの、反応抑制剤は、一部の種類の化学反応やプロセスに限定される。すなわち、種々のプロセスに応じて、異なる反応抑制剤が必要となる。一部の反応抑制剤は、SiCxyz膜の堆積/成長、特定の堆積方法(遠隔プラズマCVDなど)を用いたSiCxyz膜の堆積/成長、または所望の特性(酸化物および窒化物に対する高いエッチング選択性)を有するSiCxyz膜の堆積/成長を抑制するのに適していない場合がある。したがって、間隙充填に反応抑制剤を施用する場合、一部の化学反応、堆積方法および膜特性に限定されてしまう可能性がある。
本開示は、遠隔プラズマCVDおよび遠隔プラズマ曝露を用いて、間隙充填のためにドープまたは非ドープシリコン炭化物を堆積することに関する。ドープまたは非ドープシリコン炭化物間隙充填材により、1つまたは複数の高アスペクト比フィーチャを充填または少なくとも略充填する。いくつかの実施形態において、ドープまたは非ドープシリコン炭化物間隙充填材は、シリコン酸炭化物(SiCO)である。いくつかの実施形態において、ドープまたは非ドープシリコン炭化物間隙充填材は、シリコン炭窒化物(SiCN)である。水素ガスを含む材料ガスは、遠隔プラズマ源に供給され、遠隔プラズマ源が材料ガスを解離し、励起エネルギー状態のイオンとラジカルを生成させる。励起後、励起エネルギー状態にあるラジカルは、反応チャンバ内で緩和し、極めて低エネルギー状態または基底状態のラジカルに遷移する。反応チャンバには、1つまたは複数のシリコン含有前駆体が供給される。反応チャンバ内で、極めて低エネルギー状態または基底状態のラジカルによって1つまたは複数のシリコン含有前駆体内の結合が選択的に切断されることにより、1つまたは複数の高アスペクト比フィーチャ内にドープまたは非ドープシリコン炭化物間隙充填材が形成される。堆積工程および処理工程を交互に行うことにより間隙充填が行われる。ここで、堆積工程は、遠隔プラズマCVDにより特定の膜厚のドープまたは非ドープシリコン炭化物間隙充填材を堆積することを含む。処理工程は、ドープまたは非ドープシリコン炭化物間隙充填材を遠隔水素プラズマに曝露することを含む。遠隔水素プラズマ処理の条件は、高アスペクト比フィーチャの各々の上面付近における開口サイズが処理後に大きくなるように制御される。いくつかの例において、この上面付近における開口サイズは、高アスペクト比フィーチャの各々の底面付近における開口サイズよりも処理後に大きくなる。いくつかの実施形態において、遠隔水素プラズマ処理の条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を制御することにより制御される。プラズマ堆積とプラズマ処理との間に種々の時間間隔を設けることにより、間隙充填性能を調節できる。
シリコン炭化物膜は、半導体装置によく用いられる。本明細書において、「シリコン炭化物」という用語は、非ドープまたはドープシリコン炭化物を含み、例えば、酸素ドープされたシリコン炭化物すなわちシリコン酸炭化物(SiCO)、窒素ドープされたシリコン炭化物すなわちシリコン炭窒化物(SiCN)、窒素および酸素ドープされたシリコン炭化物すなわちシリコン酸炭窒化物(SiOCN)などを含む。多くの場合、ドープシリコン炭化物は、原子が酸素、窒素または他の元素の原子のいずれであっても、ドーパント原子を最大約50原子%有する。このドーピングレベルにより、所望の膜特性が得られる。本明細書において、「ドープまたは非ドープシリコン炭化物」とは、具体的には「SiCxyz」を指し、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値である。
ドープまたは非ドープシリコン炭化物膜は、金属拡散バリア、エッチストッパ層、ハードマスク層、ソース注入領域およびドレイン注入領域のゲートスペーサ、磁気抵抗メモリ(MRAM)または抵抗変化型メモリ(RRAM)の封入バリア、空隙における密封拡散バリアなどの用途に使用されてもよい。いくつかの実施形態において、ドープまたは非ドープシリコン炭化物膜は、トランジスタ装置の高アスペクト比フィーチャの間隙充填材として用いられてもよい。
図4は、いくつかの実施態様に係る例示的な基板に用いられる種々の間隙充填材を示す概略断面図である。半導体装置400は、第1の導電性構造402および第2の導電性構造404を含んでもよい。いくつかの実施形態において、半導体装置400は、トランジスタ装置である。スペーサ420により、第1の導電性構造402と第2の導電性構造404とを分離してもよい。第1の間隙充填材により、第1の導電性構造402の上方に第1の絶縁キャップ層412が形成されるとともに、第2の間隙充填材により、第2の導電性構造404の上方に第2の絶縁キャップ層414が形成される。第1の絶縁キャップ層412は、第2の絶縁キャップ層414とは異なるエッチング選択性を有してもよい。例えば、第1の絶縁キャップ層412の第1の間隙充填材は、ドライエッチングまたはウェットエッチング条件下で、第2の絶縁キャップ層414を形成する第2の間隙充填材に対して、少なくとも7:1のエッチング選択性を有してもよい。第1の間隙充填材は、高降伏電圧および低リーク電流を含む優れた電気特性を有してもよい。さらに、第1の間隙充填材は、低誘電率(low-k)を有してもよく、第1の間隙充填材の実効誘電率は、約4.0以下、約3.5以下、約3.0以下または約2.5以下である。いくつかの実施形態において、第1の間隙充填材は、本開示の遠隔プラズマCVDプロセスにより形成されたSiCOである。第2の間隙充填材は、シリコン窒化物やシリコン酸化物など、窒化物または酸化物としてもよい。いくつかの実施形態において、第1の導電性構造402は、トランジスタ装置におけるソース/ドレイン接点を有し、第2の導電性構造404は、トランジスタ装置におけるゲート電極層とゲート誘電体層とを備えるゲートスタックを有する。第1の間隙充填材を、本開示の遠隔プラズマCVDプロセスにより形成されたSiCOとすることにより、優れた電気特性、低誘電率および他の間隙充填材に対する高エッチング選択性を提供するだけでなく、大きなシームおよび/またはボイドを残さない良好な段差被覆性や間隙充填性能をも提供する。
遠隔プラズマCVD工程と遠隔水素プラズマ曝露工程とを交互に行うプロセスにより、ドープまたは非ドープシリコン炭化物間隙充填材で基板のフィーチャを充填または少なくとも略充填してもよい。すなわち、遠隔プラズマCVDにより特定の膜厚のドープまたは非ドープシリコン炭化物間隙充填材を堆積してもよく、それに続いて、制御された遠隔水素プラズマ曝露が実行される。そして、フィーチャが充填または少なくとも略充填されるまで、これらの工程を繰り返してもよい。本明細書において略充填とは、フィーチャが少なくとも約98体積%充填されていると言及してもよい。
図5A乃至5Cは、いくつかの実装形態に係る遠隔プラズマCVD工程と遠隔水素プラズマ曝露工程とを用いた間隙充填が行われる例示的な基板のフィーチャを示す概略断面図である。図5A乃至5Cに示すプロセス500の工程500a乃至500cは、追加の工程を含んでもよいし、これより少ない工程であってもよいし、これとは異なる工程であってもよい。図5A乃至5Cに示すプロセス500の工程500a乃至500cは、図6および図7にて説明するプラズマ処理装置のいずれかにより実行されてもよい。
プロセス500の工程500aにおいて、SiCxyz膜506の第1の膜厚は、基板502のフィーチャ504に堆積される。図5A乃至5Cにおいて、基板502には、1つのフィーチャ504のみ示されているが、基板502は、1つまたは複数のフィーチャ504を有してもよいことを理解されたい。いくつかの実施形態において、SiCxyz膜506は、シリコン酸炭化物(SiCO)を含む。SiCxyz膜506の第1の膜厚は、遠隔プラズマCVDプロセスにより堆積される。遠隔プラズマCVDプロセスでは、基板502近傍の比較的温和な条件下で、基板502の表面上にSiCxyz膜506の第1の膜厚が堆積される。
SiCxyz膜506の第1の膜厚を堆積することは、1つまたは複数のシリコン含有前駆体を反応チャンバに注入することと、遠隔プラズマ源から生成された1つまたは複数の水素ラジカルを反応チャンバ内の基板502に向けて導入することとを含む。反応チャンバ内で、1つまたは複数の水素ラジカルが1つまたは複数のシリコン含有前駆体と反応することにより、SiCxyz膜506の第1の膜厚が堆積される。1つまたは複数のシリコン含有前駆体は、1つまたは複数のシリコン―水素(Si-H)結合および/またはシリコン―シリコン(Si-Si)結合を有するシリコン含有前駆体を含むことができる。いくつかの実施形態において、シリコン含有前駆体は、1つまたは複数のシリコン―炭素(Si-C)結合を有することができる。いくつかの実施形態において、シリコン含有前駆体は、1つまたは複数のシリコン―酸素(Si-O)結合を有することができる。いくつかの実施形態において、シリコン含有前駆体は、1つまたは複数のシリコン―窒素(Si-N)結合を有することができる。シリコン含有前駆体の例を以下でより詳しく説明する。
堆積プロセス中に、Si-H結合および/またはSi-Si結合は切断され、堆積したSiCxyz膜506におけるシリコン含有前駆体間の結合を形成するための反応部位として機能する。また、切断された結合は、堆積中または堆積後に実施される熱プロセス中に架橋部位としても機能することができる。反応部位における結合および架橋は全体として、生成されたSiCxyz膜506における主鎖またはマトリックスを形成することができる。いくつかの実施形態では、比較的温和な条件にすることで、SiCxyz膜506の堆積層におけるSi-C結合ならびに、存在する場合には、Si-O結合およびSi-N結合を保持または略保持することができる。したがって、基板502近傍の反応条件は、Si-H結合および/またはSi-Si結合を選択的に切断する、例えば、切断されたSi-H結合から水素を抽出するように設定されるが、Si-O結合から酸素を、Si-N結合から窒素を、またはSi-C結合から炭素を抽出するようには設定されない。しかしながら、酸素などの共反応物を導入して、Si-C結合から炭素を抽出してもよい。本明細書に記載の反応条件は通常、基板502の露出面(SiCxyz膜506が堆積される面)に存在する。さらに、本明細書に記載の反応条件は、基板502から上方の一定距離、例えば、基板502から上方約0.5マイクロメートル~約150ミリメートルの位置にも存在してよい。実際、シリコン含有前駆体の活性化は、基板502から上方かなりの距離において気相で起こる場合がある。関連する反応条件は通常、基板502の露出面全体にわたって均一または略均一であるが、一部の用途では多少変更してもよい。
基板502近傍の環境は、シリコン含有前駆体間に加えて、極めて低エネルギー状態または基底状態の1つまたは複数のラジカルを含む。1つまたは複数のラジカルは、1つまたは複数の水素ラジカルを含むことができる。水素ラジカルは、水素原子ラジカルまたは水素ラジカル種と呼ばれることもある。いくつかの実施形態において、基板502近傍の水素ラジカルのすべて、ほぼすべて、またはかなりの割合が基底状態にあり、例えば基板502近傍の水素ラジカルの少なくとも約90%または95%が基底状態にある。一例として、水素ガス(H2)を、遠隔プラズマ源のヘリウムなどの不活性キャリアガスに供給されてもよい。水素ラジカルは、遠隔プラズマ源内で生成され、反応チャンバに導入される。遠隔プラズマ源内で生成された際、水素ラジカルは、励起エネルギー状態にある。例えば、励起エネルギー状態の水素は、少なくとも10.2eVのエネルギーを有することができる(第1の励起状態)。励起した水素ラジカルは、シリコン含有前駆体を非選択的に分解し、Si-H、Si-Si、Si-N、Si-OおよびSi-C結合を容易に切断する可能性がある。これにより、SiCxyz膜506の組成や物理的/電気的特性が改変される可能性がある。これにより、膜の誘電率の上昇、降伏電圧の低下、リーク電流の上昇、コンフォーマル性の低下をもたらしてしまう可能性がある。プロセス条件は、水素ラジカルが再結合せずに基板502に衝突するとき、エネルギーを喪失するか緩和するように制御される。プロセス条件は、基板502近傍の環境において水素ラジカルが極めて低エネルギー状態または基底状態になるように制御される。これにより、当該環境において、極めて低エネルギー状態または基底状態の水素ラジカルは、Si-O結合、Si-N結合およびSi-C結合を総じて保持しつつ、Si-H結合およびSi-Si結合を選択的に切断することが可能となる。例えば、プラズマ処理装置または関連部品は、遠隔プラズマ源から基板502に向けて拡散する水素ラジカルの滞留時間が励起状態の水素原子ラジカルのエネルギー緩和時間よりも長くなるように設計されてもよい。図6および7に示したプラズマ処理装置は、基板502近傍の環境において水素ラジカルのかなりの割合が基底状態となる温和な状態を生成するように構成されてもよい。
水素ラジカルの材料ガスは、キャリアガスなど他の種とともに供給されてもよい。シリコン含有前駆体は、キャリアガスなど他の種とともに供給されてもよい。例示的なキャリアガスとしては、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、クリプトン(Kr)、キセノン(Xe)などが挙げられるが、これらに限定されるものではない。キャリアガスの濃度は、材料ガスの濃度よりもかなり高くすることができる。本明細書において、材料ガスに対してキャリアガスの濃度が「かなり高い」とは、体積%で少なくとも3倍超と言及してもよい。例えば、水素ガスは、約1%~50%の水素濃度でヘリウムキャリアガスに供給されてもよい。キャリアガスの存在により、材料ガスのイオン化の増加と再結合の減少を図ることができる。通常、圧力を下げることにより材料ガスのイオン化の増加と再結合の減少が促進されるが、キャリアガスの存在においても、同様の効果が得られる。これにより、高圧力であっても、ヘリウムなどのキャリアガスが材料ガスとともに注入されたとき、再結合を最小限にしつつかなりの割合のラジカルを生成できる。堆積中に反応チャンバを高圧にすることによって、SiCxyz膜506のコンフォーマル性を改善してもよい。反応チャンバを高圧にするとは、約3トール超または約5トール超、例えば約7トール超の圧力に相当してもよい。
いくつかの実施形態において、シリコン含有前駆体は、主要種と希少種とを含む混合物として導入される。希少種は、SiCxyz膜506の組成や構造的特徴に大きく寄与しなくてもよい。いくつかの実施形態において、シリコン含有前駆体は、堆積したSiCxyz膜506のほぼすべての質量とされており、かつ、約5原子%未満または約2原子%未満を構成する遠隔プラズマ源からの少量の水素または他の元素とともに構成される。いくつかの実施形態において、堆積反応は、シリコン含有前駆体および水素ラジカル以外の共反応物を含む。共反応物は、堆積したSiCxyz膜506の組成に寄与してもよいし、寄与しなくてもよい。このように、共反応物によりSiCxyz膜506の第1の膜厚の組成を微調整してもよい。共反応物の例としては、二酸化炭素(CO2)、一酸化炭素(CO)、水(H2O)、メタノール(CH3OH)、酸素(O2)、オゾン(O3)、窒素(N2)、亜酸化窒素(N2O)、アンモニア(NH3)、ジアゼン(N22)、メタン(CH4)、エタン(C26)、アセチレン(C22)、エチレン(C24)、ジボラン(B26)およびこれらの組み合わせなどを含む。これらの材料は、窒化剤、酸化剤、還元剤などに用いることができる。共反応物の選択に応じて、共反応物は、SiCxyz膜506における炭素、酸素または窒素の含有量を増減してもよい。いくつかの実施形態において、共反応物は、水素ラジカルと同じ流路を通って反応チャンバに導入されてもよい。共反応物は、シリコン含有前駆体の上流側で導入されてもよく、この場合、共反応物が、少なくとも部分的にラジカルおよび/またはイオンに変換されてもよい。いくつかの実施形態において、共反応物は、シリコン含有前駆体と同じ流路を通って反応チャンバに導入されてもよい。この場合、共反応物は、水素ラジカルの下流側で導入されてもよく、通常はプラズマに直接曝露されない。いくつかの実施形態において、共反応物は、プロセスガス中に約0.05質量%以下、約0.01質量%以下または約0.001質量%以下の濃度で存在してもよい。いくつかの実施形態において、共反応物は、例えば約2質量%以下や約0.1質量%以下などのより高い濃度で存在してもよい。いくつかの実施形態において、共反応物は、例えば約10質量%以上や約20質量%以上などのさらに高い濃度で存在してもよい。いくつかの実施形態において、共反応物の結合を、水素ラジカルにより選択的に切断して、共反応物を活性化してもよい。
SiCxyz膜506の第1の膜厚を堆積するためのプロセス条件は、制御可能である。いくつかの実施形態において、基板502近傍の環境における温度は概ね、SiCxyz膜506の堆積中に基板502を支持する台座の温度によって制御可能である。いくつかの実施形態において、処理温度は、約50℃~約500℃、または約250℃~約400℃とすることができる。温度を上げることで、基板表面上の架橋を増加させることができる。いくつかの実施形態において、反応チャンバ内の圧力を制御して、反応性ラジカルの生成を促進できる。いくつかの実施形態において、チャンバ圧力は、約35トール以下とすることができ、一部の用途では約10トール~約20トール、他の一部の用途では約0.2トール~約5トールとすることができる。
SiCxyz膜506の形成に用いられるシリコン含有前駆体の各々は、少なくとも1つのSi-H結合および/または少なくとも1つのSi-Si結合を含んでもよい。シリコン含有前駆体の各々は、少なくとも1つのSi-O結合、Si-N結合および/またはSi-C結合を任意に含んでもよい。いくつかの実施形態において、シリコン含有前駆体の各々は、O-C結合またはN-C結合を含まない。例えば、前駆体は、アルコキシ(-O-R:Rは炭化水素基などの有機基)またはアミン(-NR12:R1およびR2は互いに独立して水素基または有機基)を含まない。特定の理論に限定されるものではないが、これらの基が存在すると、前駆体または前駆体が存在する断片の付着係数が高くなってしまうと考えられる。
堆積反応に用いられるシリコン含有前駆体は、特定の化学物質群または化学物質群の混合物に限定してもよい。いくつかの実施形態において、シリコン含有前駆体は、シロキサンを含む。シロキサンは、環状、三次元状、ケージ状または直鎖状の構造であってよい。いくつかの実施形態において、シリコン含有前駆体は、アルキルシランまたはその他の炭化水素置換シランを含むことができる。例えば、シリコン含有前駆体は、アルキルカルボシランを含むことができる。いくつかの実施形態において、シリコン含有前駆体は、アルコキシシランを含む。また、いくつかの実施形態において、シリコン含有前駆体は、シラザンを含む。シラザンは、環状または直鎖状の構造であってよい。シリコン含有前駆体に用いられる化学物質群の具体例は、Varadarajanらによる米国特許出願番号14/616,435「シリコン炭化物膜のコンフォーマル堆積」(2015年2月6日出願)に記載されており、その内容全体が参照により、あらゆる目的の下に本明細書に組み込まれている。
SiCxyz膜506を堆積するにあたり、種類が一部異なる複数のシリコン含有前駆体をプロセスガスに含めることができる。例えば、シロキサンとアルキルシランとを併用したり、シロキサンとアルコキシシランとを併用したりすることができる。個々の前駆体の相対的な割合は、選択した前駆体の化学構造および生成したSiCxyz膜506の用途に応じて選択可能である。例えば、シロキサンの量を、シランの量よりもモル百分率で大きくすることにより、より多孔質な膜とすることができる。
いくつかの実施形態において、シリコン酸炭化物膜を堆積する際に、シリコン含有前駆体は、環状シロキサンや直鎖状シロキサンなどのシロキサンを含んでもよい。いくつかの実施形態において、シリコン酸炭化物膜を堆積する際に、シリコン含有前駆体は、アルキルシランを含んでもよい。また、酸素含有共反応物を導入してアルキルシランと反応させてもよい。
シリコン含有前駆体は、コンフォーマル性の高いSiCxyz膜506を生成するために選択されてもよい。ここで、コンフォーマル性は、フィーチャ504の底部、側壁または上部に堆積した膜の平均膜厚と、フィーチャ504の底部、側壁または上部に堆積した膜の平均膜厚とを比較することにより計算されてもよい。例えば、コンフォーマル性は、フィーチャ504の側壁に堆積した膜の平均膜厚をフィーチャ504の上部に堆積した膜の平均膜厚で除し、得られた値に100を乗じることでパーセントを算出されてもよい。付着係数が低いシリコン含有前駆体ほど、よりコンフォーマル性の高い膜を形成できると考えられる。ここで「付着係数」とは、ある表面に吸着/付着する吸着種(断片や分子など)の数と、同じ期間中にその表面に衝突する種の総数との比率を指す用語である。付着係数は、記号Scで表されることもある。Scの値は、0(いずれの種も付着しない)から1(すべての衝突種が付着)までの値である。付着係数は、衝突種の種類、表面温度、表面被覆率、表面の詳細構造および衝突種の運動エネルギーなど、様々な要因に影響される。
プロセス500の工程500aにおいて、SiCxyz膜506の第1の膜厚は、少なくとも約75%、少なくとも約80%、少なくとも約85%、少なくとも約90%、少なくとも約95%または少なくとも約98%のコンフォーマル性を有してもよい。SiCxyz膜506の第1の膜厚は、約10Å以下または約5Å以下としてもよい。いくつかの実施形態において、SiCxyz膜506の第1の膜厚は、約0.5Å~約5Åまたは約0.5Å~約4.5Åとしてもよい。
SiCxyz膜506の第1の膜厚は、所定の堆積時間にわたり堆積することにより、所望の膜厚にできる。いくつかの実施形態において、堆積時間は約1秒~約200秒または約5秒~約100秒とすることができる。SiCxyz膜506の第1の膜厚は、続いて行われる遠隔プラズマ処理が十分に浸透し、第1の膜厚を高密度化および収縮できるように制御可能である。さらに、第1の膜厚は、続いて行われる遠隔プラズマ処理工程の所望処理レートに応じて制御可能である。
SiCxyz膜506の第1の膜厚は、基板502のフィーチャ504に堆積される。ここで、フィーチャ504はトレンチ、凹部または孔形状をとることができる。フィーチャ504は、少なくとも約5:1、少なくとも約10:1、少なくとも約15:1、少なくとも約20:1、少なくとも約30:1、少なくとも約40:1、少なくとも約50:1、または少なくとも約100:1の深さ・横アスペクト比を有することができる。例えば、高アスペクト比を有するフィーチャとは、少なくとも10:1のアスペクト比を有してもよい。横寸法は、フィーチャ504の上部における幅または直径であってもよい。いくつかの実施形態において、フィーチャ504の横寸法は、約200nm未満、約100nm未満、約2nm~約100nm、または約2nm~約50nmとしてもよい。いくつかの実施形態において、フィーチャ504の深さは約0.1μm~約100μm、約0.5μm~約50μm、約0.5μm~約25μm、または約1μm~約25μmとしてもよい。
SiCxyz膜506の組成は、続いて行われる遠隔プラズマ曝露工程におけるSiCxyz膜506の第1の膜厚の収縮量に影響を及ぼしてもよい。いくつかの実装形態において、SiCxyz膜506の組成は、約10%~約40%または約10%~約30%の炭素の原子濃度を有することができる。いくつかの実装形態において、炭素の原子濃度は、前駆体の選択、前駆体の流量、共反応物の選択、および酸素などの共反応物の流量の堆積パラメータを調整することにより制御されてもよい。例えば、SiCxyz膜506の堆積において酸素の量を増やすことで、SiCxyz膜506からより多くの炭素を抽出できる。いくつかの実装形態において、SiCxyz膜506における炭素の原子濃度は、工程500b中に遠隔水素プラズマ曝露の条件下でフィーチャ504の上面付近の開口サイズが大きくなるように制御される。
プロセス500の工程500bにおいて、SiCxyz膜506は、フィーチャ504の上面付近の開口サイズが大きくなるような条件下で、遠隔水素プラズマに曝露される。遠隔水素プラズマは、SiCxyz膜506の第1の膜厚がフィーチャ504の上面付近で収縮するように、SiCxyz膜506の第1の膜厚を処理するために用いられる。フィーチャ504の上面付近におけるSiCxyz膜506の第1の膜厚の収縮量は、SiCxyz膜506の第1の膜厚および組成に依存することができる。また、フィーチャ504の上面付近におけるSiCxyz膜506の第1の膜厚の収縮量は、遠隔プラズマ条件にも依存することができる。
また、堆積中に材料ガスのラジカルを生成するための遠隔プラズマ源は、フィーチャ504の上面付近におけるSiCxyz膜506の第1の膜厚を収縮するための処理中に材料ガスのラジカルを生成する機能をも果たしてもよい。したがって、SiCxyz膜の堆積とSiCxyz膜の処理とは、同一の反応チャンバ内で行ってもよい。これにより、同一のツールを用いて堆積サイクルと処理サイクルとを交互に行うことができる。その結果、真空ブレイク(例えばエアブレイクなど)を導入することなく、SiCxyz膜506の第1の膜厚の堆積と、遠隔水素プラズマへの第1の膜厚の曝露とを行うことができる。真空ブレイクにより、処理量の低下や基板502の酸化を招くおそれがあり、この酸化によって電気抵抗の増加および性能の低下を招くおそれがある。
SiCxyz膜506の第1の膜厚の遠隔水素プラズマへの曝露は、シリコン含有前駆体を供給することなく行われる。すなわち、SiCxyz膜506の第1の膜厚の堆積時には1つまたは複数のシリコン含有前駆体を注入して遠隔水素プラズマの水素ラジカルと反応させるが、SiCxyz膜506の第1の膜厚の曝露時にはシリコン含有前駆体の注入は停止される。水素ガスを含む材料ガスを、ヘリウムなどの不活性キャリアガスとともに供給することができる。いくつかの実施形態において、材料ガスは、水素、窒素、NH3などのN-H含有種、酸素、H2O、CO2、N2Oなどの酸素含有種、またはこれらの組み合わせを含むことができる。材料ガスは、遠隔プラズマ源に供給される。そして、遠隔プラズマ源内で水素ラジカルが生成され、基板502に向けて反応チャンバ内に導入される。遠隔プラズマ源にて生成される際、水素ラジカルは、励起エネルギー状態にある。水素ラジカルは、再結合せずに基板502に衝突するとき、エネルギーを喪失するか緩和する。SiCxyz膜506の第1の膜厚は、水素ラジカルの少なくともかなりの割合が極めて低エネルギー状態または基底状態で、遠隔水素プラズマに曝露される。いくつかの実施形態において、材料ガスのラジカルの少なくとも90%が、基底状態の水素ラジカルである。遠隔水素プラズマのこれら水素ラジカルは、フィーチャ504の上面付近におけるSiCxyz膜506の第1の膜厚を高密度化および収縮させるのに用いられる。イオン濃度が最小であることと、水素ラジカルが低エネルギー状態にあることとが少なくとも部分的な要因となり、SiCxyz膜506を遠隔水素プラズマに曝露しても基本的に基板502の下地層を損傷することはない。
SiCxyz膜506の膜厚は、各遠隔水素プラズマ処理の処理レートに応じて堆積可能である。したがって、1堆積-処理サイクルあたりのSiCxyz膜506の堆積量を制御することで、間隙充填性能が改善する。工程500aにおいて、SiCxyz膜506の第1の膜厚は、約10Å以下、約5Å以下、または約0.5Å~約4.5Åである。したがって、1堆積-処理サイクルあたり、約10Å以下、約5Å以下、または約0.5Å~約4.5Åの膜厚のSiCxyz膜506が堆積される。処理レートを高くすることは、1サイクルあたりで堆積されるSiCxyz膜506の膜厚を小さくすることに相当する。処理レートを高くすることで、間隙充填性能を改善してもよい。
SiCxyz膜506の組成は、遠隔水素プラズマ処理によるSiCxyz膜506の第1の膜厚の収縮効果が大きくなるように堆積可能である。具体的には、工程500aにおいて、SiCxyz膜506における炭素の原子濃度を調節することができ、炭素の原子濃度を約10%~約40%または約10%~約30%とすることができる。いくつかの実装形態において、SiCxyz膜506における炭素の原子濃度が低くなると、フィーチャ504の上面付近の開口サイズの増加が大きくなる。炭素の原子濃度を制御する場合、遠隔水素プラズマの条件を用いてフィーチャ504の上面付近の開口サイズを大きくすることができる。これにより、間隙充填性能を改善できる。
遠隔水素プラズマの条件は、フィーチャ504の底面付近よりも上面付近におけるSiCxyz膜506の第1の膜厚を優先的に処理するように制御可能である。フィーチャ504の上面付近におけるSiCxyz膜506の第1の膜厚は、フィーチャ504の底面付近に比べて、より多くの遠隔水素プラズマの水素ラジカルに曝露されてもよい。いくつかの実施形態において、遠隔水素プラズマの水素ラジカルがフィーチャ504の底面に向かって拡散または移動することを制限するように、処理時間および/または処理レートを制御してもよい。例えば、処理時間を短くすることで、水素ラジカルが拡散/移動してフィーチャ504の底面に到達することを制限してもよい。いくつかの実施形態において、遠隔水素プラズマへの曝露の処理時間は、約0.5秒~約120秒、約1秒~約30秒、約2秒~約20秒、または約5秒~約15秒である。いくつかの実施形態において、遠隔水素プラズマへの曝露の処理時間は約10秒である。処理時間は、フィーチャ504のアスペクト比に応じて異なり、SiCxyz膜506の第1の膜厚を高密度化および収縮させられる程に長いが、フィーチャ504の底面への水素ラジカルの拡散および移動を制限できる程に短い処理時間である。
遠隔水素プラズマの条件は、フィーチャ504の上面付近の開口サイズを大きくするように制御可能である。いくつかの実施形態において、フィーチャ504の上面付近の開口サイズは、フィーチャ504の底面付近の開口サイズよりも大きくされる。遠隔水素プラズマへの曝露により、水素を抽出して、Si-O-Si結合およびSi-C-Si結合の形成が増加するよう架橋を促すことにより、SiCxyz膜506を高密度化できる。さらに、遠隔水素プラズマへの曝露により、適切な条件下でSiCxyz膜506の膜厚を収縮させることができる。いくつかの実施形態において、処理電力を制御することにより、SiCxyz膜506の膜厚の高密度化および収縮を促すことができる。いくつかの実施形態において、誘導結合プラズマのRF電力を調節することにより、処理電力を制御できる。ここで、RF電力は、約300W~10kW、約1kW~約8kW、または約2kW~約6kWとすることができる。処理中に遠隔プラズマ源に供給されるRF電力を調整することにより、材料ガスの水素ラジカルの生成を増加させることができる。いくつかの実施形態において、処理電力は、遠隔プラズマガスの組成と少なくとも部分的に相関できる。遠隔プラズマガスの組成は、キャリアガスに対する材料ガスの濃度を含むことができ、材料ガスの濃度が高くなるほど水素ラジカルの生成量が増加し、これにより処理電力の増加につながる。いくつかの実施形態において、材料ガス(例えば水素ガスなど)の濃度は少なくとも10体積%で残部が不活性キャリアガス、少なくとも15体積%で残部が不活性キャリアガス、少なくとも20体積%で残部が不活性キャリアガス、少なくとも25体積%で残部が不活性キャリアガス、約10体積%~約50体積%で残部が不活性キャリアガス、または約10体積%~約30体積%で残部が不活性キャリアガスである。具体的には、ガス混合物は、水素ガスと、残部としてヘリウムなどの不活性キャリアガスを含むことができ、このガス混合物は、水素ガスが少なくとも10体積%で残部がヘリウム、水素ガスが少なくとも15体積%で残部がヘリウム、水素ガスが少なくとも20体積%で残部がヘリウム、水素ガスが少なくとも25体積%で残部がヘリウム、水素ガスが約10体積%~約50体積%で残部がヘリウム、または水素ガスが約10体積%~約30体積%で残部がヘリウムを含む。これに対して、一般的なガス混合物における水素ガスの濃度は1~10体積%で、残部がヘリウムである。処理電力および遠隔プラズマガスの組成は、SiCxyz膜506の組成に応じて調整されてもよい。いくつかの実施形態において、SiCxyz膜506における炭素の原子濃度を低くした場合には、遠隔水素プラズマ処理における処理電力および/または水素材料ガス濃度を下げつつ、フィーチャ504の上面付近の開口サイズを大きくしてもよい。
フィーチャ504の上面付近の開口サイズは、フィーチャ504の上面に沿った横方向距離(例えば直径など)を用いて測定可能である。具体的には、開口サイズは、「フィーチャ504の上面の対向コーナー間の距離」-「フィーチャ504の上面のコーナーにおけるSiCxyz膜506の膜厚」で測定可能である。いくつかの実施形態において、工程500b後のフィーチャ504の上面付近の開口サイズは、パーセント量で少なくとも約1%、少なくとも約5%、少なくとも約10%、少なくとも約20%、少なくとも約50%、少なくとも約100%、約1%~約100%、約5%~約100%、約1%~約50%、または約5%~約50%増大可能である。例えば、フィーチャ504の上面付近の開口サイズは、工程500bを経て、20nmから30nm超に可能であり、この場合、少なくとも50%の増大となる。
いくつかの実施形態において、フィーチャ504の上面付近の開口サイズは、フィーチャ504の底面付近の開口サイズよりも大きくされる。フィーチャ504の底面付近の開口サイズは、フィーチャ504の底面に沿った横方向距離(直径など)を用いて測定できる。具体的には、開口サイズは、「フィーチャ504の底面の対向コーナー間の距離」-「フィーチャ504の底面のコーナーにおけるSiCxyz膜506の膜厚」で測定できる。ここで、フィーチャ504の底面とは、SiCxyz膜506で充填される際の最下部の露出面のことをいう。工程500b後、フィーチャ504の底面付近の開口サイズは増大しないか、増大したとしてもフィーチャ504の上面付近の開口よりも小さい増大量となる。
いくつかの実施形態において、遠隔水素プラズマの条件は、SiCxyz膜506の収縮量が底部開口よりも上部開口において大きくなるように制御可能である。遠隔プラズマの水素ラジカルおよび/またはイオンは、フィーチャ504の底部開口よりも上部開口において優先的に処理が行われるよう、等方性または略等方性な性質を有することができる。フィーチャ504の上面付近の開口サイズがフィーチャ504の底面付近の開口よりも大きくなるよう、処理レート、処理時間、処理電力および/または遠隔プラズマガスの組成を制御できる。フィーチャ504の上面付近の開口サイズがフィーチャ504の底面付近の開口よりも大きくなるよう、SiCxyz膜506の組成および膜厚を制御できる。
処理レート、処理時間、処理電力、遠隔プラズマガスの組成、SiCxyz膜506の組成およびSiCxyz膜506の膜厚以外のパラメータを制御して、上部開口においてSiCxyz膜506を収縮させることも可能であることを理解されたい。他の調節可能なパラメータとしては、限定されるものではないが、タイミング、ガスの組成、ガス流量、チャンバ圧力、チャンバ温度、基板温度、堆積およびプラズマ処理間の時間間隔、および基板の位置を含む。これらのパラメータを遠隔水素プラズマへの曝露中に調節して、遠隔プラズマの特性に影響を与えることができる。これにより、フィーチャ504の上面付近の開口サイズに作用することができる。いくつかの実施形態において、チャンバ圧力は、約0.2トール~約5トールまたは約1トール~約3トールとすることができる。いくつかの実施形態において、チャンバ圧力は、3トール超または5トール超とすることができ、他のプロセス条件(例えば不活性キャリアガスなど)により十分なイオン化と滞留時間の短縮が図られる。いくつかの実施形態において、材料ガスは、CO2、CO、H2O、CH3OH、O2、O3、N2、N2O、NH3、N22、CH4、C26、C22、C24、B26またはこれらの組み合わせなどの1つまたは複数の共反応物とともに流入可能である。いずれの共反応物を選択するかによって、1つまたは複数の共反応物は、SiCxyz膜506における酸素、窒素または炭素の含有量を増減できる。いくつかの実施形態において、1つまたは複数の共反応物は、CO2、O2、N2、NH3またはこれらの組み合わせを含んでもよい。酸素ガスや酸素ラジカルの存在により、Si-C結合から炭素が抽出されやすくなり、これにより炭化物が酸化物に変換される。
いくつかの実施形態において、SiCxyz膜506の第1の膜厚を堆積する工程500aと、SiCxyz膜506の第1の膜厚を遠隔水素プラズマに曝露する工程500bとの間に、時間間隔を設けてもよい。この時間間隔中、プラズマは停止される一方で、一部のガスは反応チャンバ内に流入し続ける。いくつかの実施形態において、ガスは、工程500aでの堆積中に流されるシリコン含有前駆体を含んでもよい。プラズマが停止される時間間隔中は、間隙充填性能にマイナスに作用するおそれのある残渣堆積は生じない。いくつかの実装形態において、時間間隔は、約5秒、約10秒、約20秒などの約1秒~約30秒としてもよい。
プロセス500の工程500cにて、基板502のフィーチャ504にSiCxyz膜506の第2の膜厚が堆積される。第2の膜厚は、SiCxyz膜506の第1の膜厚の上に、または第1の膜厚を覆うように堆積できる。SiCxyz膜506の第2の膜厚を堆積する態様は、SiCxyz膜506の第1の膜厚を堆積する態様と同じか、少なくとも類似したものとすることができる。具体的には、第1の膜厚の堆積では、工程500aにて、1つまたは複数のシリコン含有前駆体を反応チャンバに流し、遠隔プラズマ源で生成された水素ラジカルを導入して1つまたは複数のシリコン含有前駆体と反応させるが、第2の膜厚の堆積は、工程500cにて上記の工程500aを繰り返すことを含む。工程500cにおける堆積時間、膜厚、チャンバ圧力、チャンバ温度、基板温度、RF電力レベル、ガス流量、ガス組成およびその他のパラメータは、工程500aと同じでもよいし、異なっていてもよい。SiCxyz膜506の第1の膜厚は、遠隔プラズマCVDプロセスにより堆積され、SiCxyz膜506の第2の膜厚は遠隔プラズマCVDプロセスにより堆積される。ここで、遠隔プラズマCVDプロセスは、基板502近傍の比較的温和な条件下で、SiCxyz膜506の第2の膜厚を基板502の表面に堆積する。この比較的温和な条件については、工程500aに記載している。
いくつかの実施形態において、SiCxyz膜506の第2の膜厚のコンフォーマル性は、少なくとも約75%、少なくとも約80%、少なくとも約85%、少なくとも約90%、少なくとも約95%または少なくとも約98%としてもよい。SiCxyz膜506の第2の膜厚は、約10Å以下または約5Å以下としてもよい。いくつかの実施形態において、SiCxyz膜506の第2の膜厚は、約0.5Å~約5Åまたは約0.5Å~約4.5Åとしてもよい。
SiCxyz膜506の第2の膜厚の堆積は、SiCxyz膜506の処理およびSiCxyz膜506の第1の膜厚の堆積と同じ反応チャンバ内で行ってもよい。このため、工程間で真空ブレイク(例えばエアブレイクなど)を導入することなく、SiCxyz膜506の第2の膜厚を堆積できる。
プロセス500の工程500cはさらに、フィーチャ504を充填または略充填するまで工程500bおよび工程500aを繰り返すことを含むことができる。本明細書において、フィーチャ504の充填に関して「略充填する」とは、SiCxyz膜506がフィーチャ504の体積の少なくとも98%を占めることを言う。フィーチャ504をSiCxyz膜506で略充填する際、シームおよび/またはボイド508が形成される場合がある。しかし、本開示に記載の遠隔プラズマCVDおよび遠隔水素プラズマ曝露を用いることにより、シームおよび/またはボイド508の形成を阻止、または少なくともシームおよび/またはボイド508のサイズを最小限にすることができる。
工程500cにおいて工程500bおよび工程500aを繰り返すことは、以下を繰り返すことを含むことができる:(i)フィーチャ504の上面における開口サイズが大きくなるように、SiCxyz膜506を遠隔水素プラズマに曝露すること、および(ii)フィーチャ504にSiCxyz膜506の新たな膜厚を堆積すること。いくつかの実施形態において、工程500cにおける処理レート、処理時間、処理電力および/または遠隔プラズマガスの組成は、工程500bおよび工程500aにおける処理レート、処理時間、処理電力および/または遠隔プラズマガスの組成と同一である。例えば、フィーチャ504を充填または略充填するまで、1堆積-処理サイクルあたり5Å以下の処理レート、約0.5秒~120秒の処理時間、約1kW~約8kWの供給RF電力である処理電力、および約10体積%~約50体積%の水素濃度で残部がヘリウムである遠隔プラズマガス組成を備えることができる。工程500cにおいて工程500bを繰り返す間の遠隔水素プラズマの条件は、フィーチャ504の上面付近の開口サイズが大きくなるように制御される。いくつかの実施形態では、工程500cにおいて工程500bを繰り返す際、フィーチャ504の上面付近の開口サイズは、フィーチャ504の底面付近の開口よりも大きくされる。工程500cにて繰り返される工程500aのいずれかにおいてフィーチャ504の開口が閉じられると、間隙充填が完了する。
いくつかの実施形態において、工程500cにてSiCxyz膜506の第2の膜厚を堆積することと、工程500b(すなわち、プラズマ処理)を繰り返すこととの間に、時間間隔を設けてもよい。この時間間隔中、プラズマは停止される一方、一部のガスは、反応チャンバ内に流入し続ける。いくつかの実施形態において、ガスは、工程500bのプラズマ処理中に流される水素ガス、不活性キャリアガスおよび/または共反応物ガスを含んでもよい。プラズマが停止される時間間隔中は、間隙充填性能にマイナスに作用するおそれのある残渣堆積は生じない。いくつかの実施態様において、時間間隔は、約5秒、約10秒、約20秒など、約1秒~約30秒としてもよい。一般的に言えば、プラズマ堆積工程とプラズマ処理工程との間に様々な時間間隔を設けて、間隙充填性能を調節してもよい。つまり、時間間隔は、堆積からプラズマ処理への移行時および/またはプラズマ処理から堆積への再移行時に設けてもよい。
いくつかの実施形態において、処理レート、処理時間、処理電力および/または遠隔プラズマガスの組成のパラメータは、フィーチャ504の形状に応じて調整されてもよい。フィーチャ504のアスペクト比に応じて、処理レート、処理時間、処理電力および/または遠隔プラズマガスの組成を変化させることができる。例えば、対象のフィーチャ形状に基づいて処理レートを柔軟に調節することにより、フィーチャ504の充填形状を整え、間隙充填性能を改善できる。このようにして、1堆積-処理サイクルあたりのSiCxyz膜506の堆積膜厚を調節することにより、適切な処理効率を維持しつつ、シームおよび/またはボイド508の形成を最小限にすることができる。
本開示の遠隔プラズマCVDにより堆積されるSiCxyz膜506は、酸化物材料および窒化物材料の両方に対して高いエッチング選択性を有し、ドライエッチングまたはウェットエッチング条件下で、酸化物材料および窒化物材料に対して少なくとも7:1のエッチング選択性を有する。また、SiCxyz膜506は、高降伏電圧や低リーク電流などの優れた電気特性を有してもよい。さらに、SiCxyz膜506は、低誘電率(low-k)を有してもよく、SiCxyz膜506の実効誘電率は約4.0以下、約3.5以下、約3.0以下または約2.5以下である。
本開示の一態様は、本明細書に記載の方法を実現するように構成された装置である。適切な装置は、本開示に係るプロセス工程を実現するためのハードウェアと、プロセス工程を制御するための命令を有するシステムコントローラとを有する。いくつかの実施形態において、上記プロセス工程を実行するための装置は、遠隔プラズマ源を含むことができる。遠隔プラズマ源は、ダイレクトプラズマ方式に比べて温和な反応条件を提供する。適切な遠隔プラズマ装置の一例は、Varadarajanらによる米国特許出願番号14/062,648「シリコン炭素含有膜の化学蒸着に用いられる基底状態水素ラジカル源」(2013年10月24日)に記載されており、その内容全体が参照により、あらゆる目的の下に本明細書に組み込まれている。
図6は、一部の実施形態に係る遠隔プラズマ装置の概略図である。装置600は、シャワーヘッド620を備えた反応チャンバ610を含む。反応チャンバ610内で、基板630は、ステージまたは台座635の上に載置される。いくつかの実施形態において、台座635に加熱/冷却部材を搭載できる。コントローラ640を装置600の構成部品に接続し、装置600の動作を制御できる。例えばコントローラ640は、温度プロセス条件および/または圧力プロセス条件など、装置600の動作におけるプロセス条件を制御するための命令を有してもよい。いくつかの実施形態において、コントローラ640は、前駆体ガス、共反応物ガス、材料ガスおよびキャリアガスの流量を制御するための命令を有してもよい。コントローラ640は、遠隔水素プラズマの処理レート、処理時間、処理電力および遠隔プラズマガス組成を制御するための命令を有してもよい。コントローラ640については以下でより詳しく説明する。
動作の間、ガスまたはガス混合物は反応チャンバ610に連結された1つまたは複数のガス入口を通じて、反応チャンバ610内に導入される。いくつかの実施形態において、2つ以上のガス入口は反応チャンバ610に連結される。第1のガス入口655は、反応チャンバ610に連結されるとともに、容器650に接続可能である。第2のガス入口665は、反応チャンバ610に連結されるとともに、遠隔プラズマ源660に接続可能である。遠隔プラズマの構成を備えた実施形態において、前駆体の供給ラインと、遠隔プラズマ源660において生成されたラジカル種の供給ラインとは分離される。このため、前駆体とラジカル種とが基板630に到達する前に相互作用することは実質的にない。いくつかの実施形態において、ガスラインを入れ替えてもよく、第2のガス入口665を通じて容器650から流れる前駆体ガスを供給し、遠隔プラズマ源660が第1のガス入口655を通じてイオンおよびラジカルを供給するようにしてもよい。
1つまたは複数のラジカル種は、遠隔プラズマ源660で生成され、第2のガス入口665を通じて反応チャンバ610に流入するように構成されてもよい。任意の種類のプラズマ源を遠隔プラズマ源660として用いて、ラジカル種を生成してもよい。プラズマ源の種類としては、これに限定されないが、容量結合プラズマ、誘導結合プラズマ、マイクロ波プラズマ、DCプラズマ、レーザ生成プラズマなどがある。容量結合プラズマの一例として、高周波(RF)プラズマが挙げられる。高周波プラズマは、13.56MHz以上で動作するように構成可能である。こうした遠隔プラズマ源660の一例として、Lam Research Corporation(カリフォルニア州フレモント)製のGAMMA(登録商標)が挙げられる。こうしたRF遠隔プラズマ源660の別の一例として、MKS Instruments(マサチューセッツ州ウィルミントン)製のAstron(登録商標)が挙げられる。Astron(登録商標)は、440kHzで動作でき、かつ、1つまたは複数の基板を並行して処理するためのより大型の装置に固定されるサブユニットとして用いることができる。いくつかの実施形態において、マイクロ波プラズマは、同じくMKS Instruments製のAstex(登録商標)などの遠隔プラズマ源660として使用可能である。マイクロ波プラズマは、2.45GHzの周波数で動作するように構成可能である。遠隔プラズマ源660に供給されるガスは、水素、窒素、酸素および本明細書の他の箇所にて言及したその他のガスを含んでもよい。一部の実施形態において、水素は、ヘリウムなどのキャリアに供給される。例えば、水素ガスは、堆積工程中にヘリウムキャリアガスに約1~50体積%濃度で供給されてもよく、処理工程中には水素ガスがヘリウムキャリアガスに少なくとも約10体積%濃度で供給されてもよい。
前駆体を容器650に備えることができ、第1のガス入口655を通じてシャワーヘッド620に供給できる。シャワーヘッド620は、基板630に向けて前駆体を反応チャンバ610内へ分配する。基板630は、シャワーヘッド620の下方に位置することができる。シャワーヘッド620は、任意の適した形状を有することができ、基板630にガスを分配するための送出口の数および配置も任意であってもよい。前駆体は、その流量を制御しつつ、シャワーヘッド620、ひいては基板630に供給可能である。
遠隔プラズマ源660で形成される1つまたは複数のラジカル種は、気相状態で基板630に向けて供給されることが可能である。1つまたは複数のラジカル種は、第2のガス入口665を通って反応チャンバ610内に流入できる。第2のガス入口665は、図6に示したように基板630の表面に対して横方向に位置している必要はないことを理解されたい。一部の実施形態において、第2のガス入口665は、基板630の真上またはそれ以外の場所に位置していてもよい。遠隔プラズマ源660と反応チャンバ610との間の距離は、遠隔プラズマ源660において生成されたイオン化種がほぼ中性化されるような温和な反応条件を備えるように構成可能であるが、極めて低エネルギー状態にある少なくとも一部のラジカル種は、基板630近傍の環境に留まる。これら低エネルギー状態のラジカル種は、再結合して安定化合物を形成することはない。遠隔プラズマ源660と反応チャンバ610との間の距離は、プラズマの反応性(例えば、部分的にはRF電力レベルによって決定される)、プラズマ中のガス密度(例えば、水素原子の濃度が高いと、その大部分が反応チャンバ610に到達する前に再結合してH2を形成する場合がある)、およびその他の要素に応じて決定することができる。いくつかの実施形態において、遠隔プラズマ源660と反応チャンバ610との間の距離は、約5cmや約15cmなど、約1cm~30cmとすることができる。
いくつかの実施形態において、主要なシリコン含有前駆体または水素ラジカルではない共反応物が、堆積反応中に導入される。いくつかの実施態様において、装置600は、第2のガス入口665を通じて共反応物を導入するように構成され、その場合、共反応物は少なくとも部分的にプラズマに変換される。いくつかの実施態様において、装置600は、第1のガス入口655を通じてシャワーヘッド620を通って共反応物を導入するように構成されている。共反応物の例として、酸素、窒素、アンモニア、二酸化炭素、一酸化炭素などが含まれる。
図7は、他の一部の実装形態に係る遠隔プラズマ源を備えたプラズマ処理装置の一例を示す概略図である。プラズマ処理装置700は、反応チャンバ704から分離した遠隔プラズマ源702を含む。遠隔プラズマ源702は、マルチポートガス分配器706を通じて反応チャンバ704と流体結合している。ガス分配器706は、シャワーヘッドと呼ばれてもよい。ラジカル種は、遠隔プラズマ源702にて生成され、反応チャンバ704に供給される。遠隔プラズマ源702およびマルチポートガス分配器706の下流側で、1つまたは複数のシリコン含有前駆体が反応チャンバ704に供給される。1つまたは複数のシリコン含有前駆体は、反応チャンバ704の化学蒸着ゾーン708内でラジカル種と反応し、基板712の表面にSiCxyz膜を堆積する。化学蒸着ゾーン708は、基板712の表面近傍の環境を含む。
基板712は、基板支持部または台座714上に支持される。台座714は、反応チャンバ704内で移動し、基板712を化学蒸着ゾーン708内に位置してもよい。図7に示す実施形態において、台座714が基板712を化学蒸着ゾーン708内まで上昇させた状態を示している。また、いくつかの実施形態において、台座714は、基板712の温度を調整してもよい。これにより、基板712上の熱活性による表面反応をある程度選択的に制御することができる。
図7は、遠隔プラズマ源702の周囲に配置されたコイル718を示している。ここで、遠隔プラズマ源702は、例えば、石英ドームなどの外壁を有する。コイル718は、プラズマ発生器コントローラ722に電気的に結合されている。プラズマ発生器コントローラ722は、誘導結合プラズマ発生を介してプラズマ領域724内にプラズマを発生および維持するのに使用されてもよい。いくつかの実施態様において、プラズマ発生器コントローラ722は、コイル718への電力供給用の電源を含んでもよい。この電力は、プラズマ発生中に約1kw~6kwの範囲とすることができる。いくつかの実装形態において、平行平板型プラズマ発生または容量結合型プラズマ発生用の電極またはアンテナを用いて、誘導結合プラズマ発生ではなくプラズマ励起により、ラジカルの供給を継続的に生成するようにしてもよい。プラズマ領域724内でプラズマを点火・維持するのに用いる機構にかかわらず、ラジカル種は、プラズマ励起により膜堆積および膜処理中に継続的に生成されてもよい。いくつかの実施態様において、水素ラジカルは、定常状態での膜堆積中に略定常状態の条件下で生成される。ただし、膜堆積および膜処理の開始時および終了時に過渡現象が生じる場合がある。
水素ガスまたは他の材料ガスを遠隔プラズマ源702に供給しながら、プラズマ領域724内で水素ラジカルの供給が継続的に生成されてもよい。遠隔プラズマ源702にて、励起水素ラジカルが生成されてもよい。再励起、再エネルギー供給または他のラジカルとの再結合が行われない場合、励起水素ラジカルは、これらのエネルギーを失うか緩和する。したがって、励起水素ラジカルは緩和し、極めて低エネルギー状態または基底状態の水素ラジカルを形成することができる。
水素ガスまたは他の材料ガスは、1つまたは複数の追加のガスで希釈されてもよい。これら1つまたは複数の追加のガスは、遠隔プラズマ源702に供給されてもよい。いくつかの実装形態において、水素ガスまたは他の材料ガスは、1つまたは複数の追加のガスと混合してガス混合物を形成する。ここで、1つまたは複数の追加のガスはキャリアガスを含むことができる。非限定的な追加のガスの例としては、ヘリウム、ネオン、アルゴン、クリプトン、キセノンなどが挙げられる。1つまたは複数の追加のガスは、遠隔プラズマ源702内における定常状態のプラズマ条件を支持または安定化してもよく、過渡的なプラズマ点火またはプラズマ消火プロセスを補助してもよい。いくつかの実装形態において、例えば、水素ガスまたは他の材料ガスをヘリウムで希釈することにより、プラズマ崩壊を伴わずに全圧を高くすることが可能である。言い換えると、水素ガスとヘリウムとの希釈ガス混合物を用いることにより、遠隔プラズマ源702へのプラズマ電力を増加することなく、ガス全圧を高くすることが可能である。図7に示すように、材料ガス供給源726が、水素ガスまたは他の材料ガスを供給するために遠隔プラズマ源702と流体結合している。さらに、追加ガス供給源728が、1つまたは複数の追加のガスを供給するために遠隔プラズマ源702と流体結合している。また、1つまたは複数の追加のガスは、上記の共反応物ガスも含んでもよい。図7の実施形態では、材料ガスと1つまたは複数の追加のガスとのガス混合物が別々のガス出口から導入される態様を示しているが、ガス混合物は、遠隔プラズマ源702に直接導入されてもよいことを理解されたい。すなわち、予混合された希釈ガス混合物を、1つのガス出口を通って遠隔プラズマ源702に供給してもよい。
励起水素ラジカル、ヘリウムラジカル、緩和したガス/ラジカルなどのガスは、マルチポートガス分配器706を通じて、遠隔プラズマ源702から反応チャンバ704に流出する。マルチポートガス分配器706および反応チャンバ704内のガスは通常、継続的なプラズマ励起は行われない。いくつかの実装形態において、マルチポートガス分配器706は、イオンフィルタおよび/または光子フィルタを含む。イオンおよび/または光子をフィルタリングすることにより、基板の損傷、分子の好ましくない再励起、および/または反応チャンバ704内でのシリコン含有前駆体の選択的な崩壊または分解を低減することができる。マルチポートガス分配器706は、ガス流を反応チャンバ704内へ拡散させるための複数のガス口734を有してもよい。いくつかの実装形態において、複数のガス口734は、互いに離間されてもよい。また、いくつかの実装形態において、複数のガス口734は、一定間隔で離間して配列され、遠隔プラズマ源702と反応チャンバ704とを区分する板を貫通する流路または貫通孔として配置されてもよい。複数のガス口734により、遠隔プラズマ源702から流出するラジカルを反応チャンバ704内にスムーズに分散および拡散することができる。
一般的な遠隔プラズマ源は、反応容器から遠方に設置される。したがって、壁面衝突などによるラジカルの消滅および再結合により、アクティブ種が大きく減少する場合がある。これに対して、いくつかの実装形態において、ラジカルが反応チャンバ704へと自由に通過できるように、一般的なプロセス条件下での平均自由行程またはガス流の滞留時間を考慮して、複数のガス口734の寸法を構成することができる。いくつかの実装形態において、複数のガス口734の開口は、マルチポートガス分配器706の露出面積の約5%~約20%を占めてもよい。いくつかの実装形態において、複数のガス口734の各々は、軸方向長さと直径の比率を約3:1~10:1、または約6:1~8:1としてもよい。このようなアスペクト比とすることにより、励起状態のラジカル種の大部分が緩和して基底状態のラジカル種に遷移するための時間を十分に確保しつつ、複数のガス口734を通過するラジカル種の壁面衝突頻度を減らしてもよい。いくつかの実装形態において、複数のガス口734の寸法は、マルチポートガス分配器706を通過するガスの滞留時間が、励起状態のラジカル種の一般的なエネルギー緩和時間よりも長くなるように構成されてもよい。図7中、水素材料ガスの励起状態のラジカル種を・Hで示し、水素材料ガスの基底状態のラジカル種を・Hで示す。
いくつかの実装形態において、複数のガス口734を出た励起状態のラジカル種は、反応チャンバ704内に設けられた緩和ゾーン738に流入してもよい。緩和ゾーン738は、化学蒸着ゾーン708の上流側であってマルチポートガス分配器706の下流側に位置している。マルチポートガス分配器706を出た励起状態のラジカル種のほぼすべてまたは少なくとも90%が、緩和ゾーン738にて緩和状態のラジカル種に遷移する。言い換えると、緩和ゾーン738に流入する励起状態のラジカル種(励起水素ラジカルなど)のほぼすべてが、脱励起されるかまたは緩和状態のラジカル(基底状態の水素ラジカルなど)に遷移してから緩和ゾーン738を出る。いくつかの実装形態において、プロセス条件または緩和ゾーン738の形状は、緩和ゾーン738を流れるラジカル種の滞留時間、例えば平均自由行程および平均分子速度により決定される時間が、緩和ゾーン738からの流出時にラジカル種を緩和状態にできる時間となるように構成されてもよい。
マルチポートガス分配器706から緩和ゾーン738へのラジカル種の供給とともに、1つまたは複数のシリコン含有前駆体および/または1つまたは複数の共反応物は、化学蒸着ゾーン708に導入されてもよい。1つまたは複数のシリコン含有前駆体は、ガス分配器またはガス出口742を通じて導入されてもよい。ここで、ガス出口742は前駆体供給源740と流体結合されてもよい。緩和ゾーン738は、マルチポートガス分配器706とガス出口742との間の空間内に含まれてもよい。ガス出口742は、緩和ゾーン738から流出するガス混合物と平行な方向に1つまたは複数のシリコン含有前駆体を導入すること、互いに離間した複数の開口を含んでもよい。ガス出口742は、マルチポートガス分配器706および緩和ゾーン738の下流側に位置してもよい。ガス出口742は、化学蒸着ゾーン708および基板712の上流側に位置してもよい。化学蒸着ゾーン708は、反応チャンバ704内であってガス出口742と基板712との間に位置する。
1つまたは複数のシリコン含有前駆体の流れのほぼすべてについて、マルチポートガス分配器706近傍の励起状態のラジカル種と混合することを防止してもよい。緩和状態または基底状態のラジカル種は、基板712近傍の領域において1つまたは複数のシリコン含有前駆体と混合する。化学蒸着ゾーン708は、緩和状態または基底状態のラジカル種が1つまたは複数のシリコン含有前駆体と混合する、基板712近傍の領域を含む。緩和状態または基底状態のラジカル種は、SiCxyz膜のCVD形成中に、気相状態の1つまたは複数のシリコン含有前駆体と混合する。しかしながら、緩和状態または基底状態のラジカル種は、SiCxyz膜の高密度化および収縮中は、気相状態のいずれのシリコン含有前駆体とも混合しない。
いくつかの実装形態において、共反応物をガス出口742から導入して、1つまたは複数のシリコン含有前駆体とともに流入させてもよい。共反応物は、遠隔プラズマ源702の下流側で導入されてもよい。共反応物は、前駆体供給源740またはガス出口742と流体結合された他の供給源(不図示)から供給されてもよい。いくつかの実装形態において、共反応物をマルチポートガス分配器706から導入して、遠隔プラズマ源702で生成されたラジカル種とともに反応チャンバ704内に流入させてもよい。これにより、遠隔プラズマ源702に供給される共反応物ガスのラジカルおよび/またはイオンを含むことができる。共反応物は、追加ガス供給源728から供給されてもよい。
ガス出口742は、1つまたは複数のシリコン含有前駆体の逆拡散または逆流を防止するのに十分な距離だけ、マルチポートガス分配器706から離間されてもよい。いくつかの実装形態において、ガス出口742と複数のガス口734との離間距離は、約0.5インチ~約5インチ、約1.5インチ~約4.5インチ、または約1.5インチ~約3インチであってもよい。
プロセスガスは、ポンプ(不図示)に流体結合された出口748を通じて反応チャンバ704から排出されてもよい。したがって、過剰なシリコン含有前駆体、共反応物、ラジカル種および希釈ガス、置換ガスまたはパージガスは、反応チャンバ704から排出することができる。いくつかの実装形態において、システムコントローラ750がプラズマ処理装置700と動作可能に通信する。いくつかの実装形態において、システムコントローラ750は、データシステム754(メモリなど)に格納された命令を実行するように構成されたプロセッサシステム752(マイクロプロセッサなど)を含む。いくつかの実装形態において、システムコントローラ750は、プラズマ発生器コントローラ722と通信し、プラズマパラメータおよび/またはプラズマ条件を制御してもよい。いくつかの実装形態において、システムコントローラ750は、台座714と通信し、台座714の昇降および温度を制御することができる。いくつかの実装形態において、システムコントローラ750は、RF電力設定、周波数設定、デューティサイクル、パルス時間、反応チャンバ704内の圧力、遠隔プラズマ源702内の圧力、材料ガス供給源726および追加ガス供給源728からのガス流量、前駆体供給源740および他の供給源からのガス流量、台座714の温度、反応チャンバ704の温度など、その他のプロセス条件が制御されてもよい。
以下に記載する図7のコントローラ750の態様は、図6のコントローラ640にも当てはまる。コントローラ750は、プラズマ処理装置700の動作におけるプロセス条件を制御するための命令を含んでもよい。コントローラ750は通常、1つまたは複数の記憶装置と、1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータコントローラボードなどを含んでもよい。適切な制御動作を実施するための命令が、プロセッサで実行される。これらの命令は、コントローラ750に関連付けられたメモリ装置に記憶されてもよいし、ネットワークを介して提供されてもよい。
一部の実施形態において、コントローラ750は、本明細書に記載するプラズマ処理装置700のすべてまたはほとんどの活動を制御する。例えば、コントローラ750は、SiCxyz膜の堆積に関連するプラズマ処理装置700のすべてまたはほとんどの活動および、場合により、SiCxyz膜を含む製造フローにおけるその他の工程をも制御してもよい。コントローラ750は、間隙充填工程のための遠隔プラズマ条件の処理レート、処理時間、処理電力および遠隔プラズマガス組成を制御するための命令セットを含むシステム制御ソフトウェアを実行してもよい。また、コントローラ750は、タイミング、堆積工程とプラズマ処理工程間の時間間隔、ガス組成、ガス流量、チャンバ圧力、チャンバ温度、基板位置および/またはその他のパラメータを制御するための命令セットを含むシステム制御ソフトウェアも実行してもよい。いくつかの実施形態において、コントローラ750に関連付けられた記憶装置に記憶されたその他のコンピュータプログラム、スクリプトまたはルーチンを用いてもよい。基板712近傍の環境を比較的温和な反応条件とすべく、RF電力レベル、プラズマ領域724へのガス流量、化学蒸着ゾーン708へのガス流量、プラズマ点火のタイミングなどのパラメータがコントローラ750によって調整・維持される。さらに、基板位置を調整することにより、基板712近傍の環境における高エネルギーラジカルの存在を低減してもよい。マルチステーション反応器の場合は、コントローラ750が異なる装置ステーションごとに異なる命令を含んでもよいし、同一の命令を含んでもよい。したがって、各装置ステーションは独立してまたは同期して動作することが可能である。
いくつかの実施形態において、コントローラ750は、基板712の1つまたは複数のフィーチャへのSiCxyz膜の第1の膜厚の堆積、1つまたは複数のフィーチャの各々の上面付近における開口サイズを大きくする条件下でのSiCxOyNz膜の遠隔水素プラズマへの曝露、および基板712の1つまたは複数のフィーチャへのSiCxyz膜の第2の膜厚の堆積などの工程を実行するための命令を含んでもよい。SiCxyz膜の第1の膜厚および第2の膜厚を堆積する際、コントローラ750は、1つまたは複数のシリコン含有前駆体を反応チャンバ704に流入するための命令、および遠隔プラズマ源702から生成された1つまたは複数の水素ラジカルを反応チャンバ704内の基板712に向けて導入するための命令を含んでもよい。反応チャンバ704内で、1つまたは複数の水素ラジカルが1つまたは複数のシリコン含有前駆体と反応することにより、SiCxyz膜が堆積される。また、いくつかの実施形態において、コントローラ750はさらに、遠隔水素プラズマ条件下で1つまたは複数のフィーチャの各々の上面付近における開口サイズが大きくなるように、SiCxyz膜の原子濃度を制御するための命令を含んでもよい。また、いくつかの実施形態において、コントローラ750はさらに、基板712の1つまたは複数のフィーチャが充填または略充填されるまで、SiCxyz膜を遠隔水素プラズマに曝露する工程と、1つまたは複数のフィーチャにSiCxyz膜の新たな膜厚を堆積する工程とを繰り返すための命令を含んでもよい。いくつかの実施形態において、遠隔水素プラズマの条件は、処理レート、処理時間、処理電力および/または遠隔プラズマガスの組成を含み、これらの条件は、1つまたは複数のフィーチャの各々の上面付近における開口サイズが、これら1つまたは複数のフィーチャの各々の底面付近における開口サイズよりも大きくなるように制御される。いくつかの実施形態において、遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度または約10体積%~約30体積%の水素濃度を含む。いくつかの実施形態において、SiCxyz膜の第1の膜厚および第2の膜厚はそれぞれ、約0.5Å~約10Åまたは約0.5Å~約4.5Åである。
いくつかの実施形態において、装置700は、コントローラ750と関連付けられたユーザインタフェースを含んでもよい。ユーザインタフェースは、表示画面、装置700および/またはプロセス条件のグラフィックソフトウェア表示、およびポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力装置を含んでもよい。
上記の工程を制御するためのコンピュータプログラムコードは、例えばアセンブリ言語、C、C++、Pascal、Fortranなど、任意の従来のコンピュータ読み取り可能なプログラミング言語で記述される。コンパイルされたオブジェクトコードまたはスクリプトをプロセッサが実行することにより、プログラムで識別されたタスクを実行する。
プロセスを監視するための信号は、システムコントローラのアナログおよび/またはデジタル入力接続を介して提供されてもよい。プロセスを制御するための信号は、プロセッサシステム752のアナログおよびデジタル出力接続上に出力される。
本明細書に記載の方法は一般に、1つまたは複数のプロセスツール、1つまたは複数のチャンバ、1つまたは複数のプロセスプラットフォーム、および/または特定のプロセス部品(例えばウェーハ台座やガス流システム)などの半導体処理装置を含むシステム上で実施できる。これらのシステムは、半導体ウェーハまたは基板のプロセス前、プロセス中およびプロセス後におけるシステムの動作を制御するための電子機器と一体化されてもよい。これらの電子機器は一般にコントローラと呼ばれ、1つまたは複数のシステムの各種の部品およびサブ部品を制御してもよい。プロセス要件および/またはシステムの種類に応じて、コントローラは、本明細書に記載したいずれのプロセスも制御可能なようにプログラムされてもよい。これらのプロセスは、プロセスガスの供給、温度設定(加熱および/冷却など)、圧力設定、真空設定、電力設定、RF発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置・動作設定、ならびに、ツールに対するウェーハの搬出入および特定のシステムと接続または連携されたその他の移送ツールおよび/またはロードロックに対するウェーハの搬出入を含む。
広義には、コントローラは、様々な集積回路、論理回路、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよく、命令の送受信、動作の制御、洗浄動作の有効化、エンドポイント測定の有効化などを行う。集積回路は、プログラム命令を記憶するファームウェアとしてのチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つまたは複数のマイクロプロセッサ、もしくはプログラム命令(ソフトウェアなど)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)としてコントローラに通信される命令とされてもよく、半導体ウェーハ上でもしくは半導体ウェーハのために、またはシステムに対して特定のプロセスを実施するための動作パラメータを定義する。いくつかの実施形態において、動作パラメータは、1つまたは複数の層、材料(シリコン炭化物など)、表面、回路、および/またはウェーハダイの製造において1つまたは複数のプロセスステップを達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
いくつかの実装形態において、コントローラは、コンピュータの一部であってもよいし、コンピュータに結合されていてもよい。ここで、コンピュータは、システムと一体化しているか、システムに結合されているか、その他の形でシステムとネットワーク接続されているか、これらを組み合わせた形態をとる。例えば、コントローラは、「クラウド」上に存在してもよいし、工場ホストコンピュータシステムのすべてまたは一部に存在してもよい。これにより、ウェーハプロセスのリモートアクセスが可能になる。コンピュータは、システムへのリモートアクセスを有効化して、製造工程の進捗状況の監視、過去の製造工程履歴の調査または、複数の製造工程から傾向または性能指標の調査を行うことができ、現在のプロセスのパラメータを変更したり、現在のプロセスに従ってプロセス工程を設定したり、新たなプロセスを開始したりすることができる。いくつかの例において、リモートコンピュータ(サーバなど)からシステムに対して、ネットワークを介してプロセスレシピを提供できる。ここで、ネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定の入力やプログラミングを可能にするユーザインタフェースを含んでもよい。これらのパラメータおよび/または設定はその後、リモートコンピュータからシステムに送信される。いくつかの例において、コントローラは、データとして命令を受信する。このデータは、1つまたは複数の工程において実行される各プロセスステップのパラメータを指定する。なお、これらのパラメータは、実行するプロセスの種類およびコントローラが連携または制御するように構成されているツールの種類に対して固有のパラメータであってもよいことを理解されたい。したがって、上述したように、コントローラは、1つまたは複数の個別のコントローラを備えることなどによって分散されてもよい。これら個別のコントローラはネットワーク化され、本明細書に記載のプロセスおよび制御といった共通の目的に向けて動作する。このような目的のための分散コントローラの一例として、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔に存在する1つまたは複数の集積回路と通信するチャンバに搭載された1つまたは複数の集積回路が挙げられる。これらの集積回路は協働してチャンバにおけるプロセスを制御する。
本明細書に記載のドープまたは非ドープシリコン炭化物の堆積および処理に加えて、システムの例として、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD:physical vapor deposition)チャンバまたはPVDモジュール、CVDチャンバまたはCVDモジュール、ALDチャンバまたはALDモジュール、原子層エッチング(ALE:atomic layer etch)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、および半導体ウェーハの作製および/または製造に関連する、または使用可能なその他のあらゆる半導体処理システムが挙げられる。
上述した通り、ツールによって実行される1つまたは複数のプロセスステップに応じて、コントローラは、他のツール回路またはツールモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、付近のツール、工場内の各所に配置されたツール、メインコンピュータ、他のコントローラ、または半導体製造工場内のツール位置および/または搭載ポートに対してウェーハコンテナの受け渡しを行う材料輸送で使用されるツールのうち、1つまたは複数と通信してもよい。
上記の装置/プロセスは、例えば半導体デバイス、ディスプレイ、LED、太陽電池パネルなどを作製または製造するためのリソグラフィパターニングツールまたはリソグラフィパターニングプロセスと併用してもよい。通常(必ずしもではないが)、こうしたツール/プロセスは、共通の製造工場で一緒に使用または実行される。膜のリソグラフィパターニングは通常、以下の工程の一部またはすべてを含み、各工程は多くの利用可能なツールにより実現可能である:(1)スピンオンツールまたはスプレーオンツールを用いて、ワークピース、すなわち基板上にフォトレジストを塗布、(2)加熱板、炉または紫外線硬化ツールを用いてフォトレジストを硬化、(3)ウェーハステッパなどのツールを用いて、フォトレジストを可視光、紫外線またはX線に露光、(4)ウェットベンチなどのツールを用いて、レジストを選択的に除去するようにレジストを現像して、レジストパターンを形成、(5)ドライエッチングツールまたはプラズマアシストエッチングツールを用いて、下層膜またはワークピースにレジストパターンを転写、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去。
図8は、いくつかの実装形態に係る基板の複数のフィーチャに堆積されたSiCxyz膜のTEM画像である。SiCxyz膜は、複数のフィーチャの間隙充填材として機能する。SiCxyz膜は、シリコン酸炭化物を含んでもよい。SiCxyz膜は、遠隔プラズマCVD工程と、遠隔水素プラズマ曝露工程とを交互に行うことにより堆積されてもよい。処理レートは、1堆積-処理サイクルあたり約10Å超である。遠隔プラズマガスの組成は、1体積%~5体積%の水素濃度を有し、残部がヘリウムである。遠隔水素プラズマ曝露の処理時間は少なくとも10秒である。図8に示すように、複数のフィーチャの各々にボイドが生じている。
図9は、いくつかの実装形態に係る基板の複数のフィーチャに堆積されたSiCxyz膜のTEM画像である。SiCxyz膜は、複数のフィーチャの間隙充填材として機能する。SiCxyz膜は、シリコン酸炭化物を含んでもよい。SiCxyz膜は、遠隔プラズマCVD工程と、遠隔水素プラズマ曝露工程とを交互に行うことにより堆積されてもよい。遠隔プラズマCVD工程と、遠隔水素プラズマ曝露工程との間に様々な時間間隔を設けて、間隙充填性能を調節できる。しかしながら、遠隔水素プラズマ曝露の条件は、図9に示すボイドのサイズを制限するように制御される。処理レートは、1堆積-処理サイクルあたり5Å以下である。遠隔水素プラズマの処理電力は、約2kW~約6kWである。遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度で残部がヘリウムである。遠隔水素プラズマ曝露の処理時間は約0.5秒~約120秒である。図9に示すように、複数のフィーチャの各々にボイドが生じているものの、図8に比べてかなり小さくなっている。
以上、本実施形態の詳細な理解に供するため、数々の具体的詳細を示してきた。本開示の実施形態は、これら具体的詳細のすべてまたは一部を除いて実施されてもよい。また、本開示の実施形態が不必要に曖昧になることを避けるため、公知のプロセス工程については詳細な説明を省いた。なお、本開示の実施形態を具体的な実施形態に関連して説明してきたが、本開示の実施形態の範囲を限定する意図ではないことを理解されたい。
明確な理解に資する目的で上記実施形態をある程度詳細に説明してきたが、添付の特許請求の範囲内で、一部変更や変形を行ってもよい。なお、本実施形態のプロセス、システムおよび装置を実現する方法として多くの代替方法が存在する。したがって、本実施形態は、あくまでも例示であって本開示を限定するものではない。実施形態は、本明細書に記載の詳細に限られるものではない。本開示は、以下の形態により実現されてもよい。
[形態1]
基板の1つまたは複数のフィーチャにドープまたは非ドープシリコン炭化物(SiC x y z )膜を堆積する方法であって、
前記基板の前記1つまたは複数のフィーチャに前記SiC x y z 膜の第1の膜厚を堆積することと、
前記1つまたは複数のフィーチャの各々の上面付近における開口のサイズが大きくなるような条件下で、前記SiC x y z 膜を遠隔水素プラズマに曝露することと、
前記SiC x y z 膜の前記第1の膜厚の上に前記SiC x y z 膜の第2の膜厚を堆積することであって、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値であることと、
を含む、方法。
[形態2]
形態1に記載の方法であって、
前記1つまたは複数のフィーチャが略充填されるまで、前記SiC x y z 膜を前記遠隔水素プラズマに曝露する工程と、前記1つまたは複数のフィーチャに前記SiC x y z 膜の新たな膜厚を堆積する工程とを繰り返すこと、
をさらに含む、方法。
[形態3]
形態1に記載の方法であって、
前記遠隔水素プラズマの前記条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を含み、前記処理時間、前記処理レート、前記処理電力および/または前記遠隔プラズマガスの組成は、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズが、前記1つまたは複数のフィーチャの各々の底面付近における開口のサイズよりも大きくなるように制御される、
方法。
[形態4]
形態3に記載の方法であって、
前記遠隔水素プラズマへの曝露の前記処理時間は、約0.5秒~約120秒である、
方法。
[形態5]
形態3に記載の方法であって、
前記処理レートは、前記SiC x y z 膜の堆積および前記SiC x y z 膜の遠隔水素プラズマへの曝露の1サイクルあたり、前記SiC x y z 膜の10Å以下である、
方法。
[形態6]
形態3に記載の方法であって、
前記遠隔水素プラズマの前記遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度を有する遠隔水素プラズマを含む、
方法。
[形態7]
形態1乃至6のいずれか1項に記載の方法であって、
前記第1の膜厚および前記第2の膜厚の各々は約10Å以下である、
方法。
[形態8]
形態7に記載の方法であって、
前記第1の膜厚および前記第2の膜厚の各々は約0.5Å~約4.5Åである、
方法。
[形態9]
形態1乃至6のいずれか1項に記載の方法であって、
前記SiC x y z 膜の前記第1の膜厚を堆積することは、
1つまたは複数のシリコン含有前駆体を反応チャンバに流すことと、
遠隔プラズマ源から生成された1つまたは複数の水素ラジカルを前記反応チャンバ内の前記基板に向けて導入することと、を含み、前記1つまたは複数の水素ラジカルは、前記1つまたは複数のシリコン含有前駆体と反応して前記SiC x y z 膜の前記第1の膜厚を堆積する、
方法。
[形態10]
形態9に記載の方法であって、
前記水素ラジカルの少なくとも90%は、基底状態の水素ラジカルである、
方法。
[形態11]
形態1乃至6のいずれか1項に記載の方法であって、
前記遠隔水素プラズマの前記条件は、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズを少なくとも約5%大きくする、
方法。
[形態12]
形態1乃至6のいずれか1項に記載の方法であって、
前記遠隔水素プラズマの前記条件は、前記SiC x y z 膜の前記第1の膜厚の炭素の原子濃度が約10%~約30%のとき、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズを大きくする、
方法。
[形態13]
形態1乃至6のいずれか1項に記載の方法であって、
前記SiC x y z 膜はシリコン酸炭化物(SiCO)を含む、
方法。
[形態14]
形態1乃至6のいずれか1項に記載の方法であって、
前記SiC x y z 膜の前記第1の膜厚を堆積する工程と、前記SiC x y z 膜を前記遠隔水素プラズマに曝露する工程とは、真空ブレイクを導入せずに行われる、
方法。
[形態15]
形態1乃至6のいずれか1項に記載の方法であって、
前SiC x y z 膜は、ドライエッチングまたはウェットエッチング条件下で、窒化物材料および酸化物材料に対して7:1より大きいエッチング選択性を有する、
方法。
[形態16]
形態1乃至6のいずれか1項に記載の方法であって、
前記SiC x y z 膜の前記第1の膜厚を堆積することと、前記SiC x y z 膜を前記遠隔水素プラズマに曝露することとの間に時間間隔を設けて、間隙充填性能を調節すること
をさらに含む、方法。
[形態17]
形態1乃至6のいずれか1項に記載の方法であって、
前記SiC x y z 膜を前記遠隔水素プラズマに曝露した後に時間間隔を設けて、間隙充填性能を調節すること
をさらに含む、方法。
[形態18]
装置であって、
反応チャンバと、
前記反応チャンバ内に配置された1つまたは複数のフィーチャを有する基板を支持するための基板支持部と、
コントローラと、を備える装置であって、
前記コントローラは、
前記基板の前記1つまたは複数のフィーチャに、ドープまたは非ドープシリコン炭化物(SiC x y z )膜の第1の膜厚を堆積する工程と、
前記1つまたは複数のフィーチャの各々の上面付近における開口のサイズが大きくなるような条件下で、前記SiC x y z 膜を遠隔水素プラズマに曝露する工程と、
前記SiC x y z 膜の前記第1の膜厚の上に前記SiC x y z 膜の第2の膜厚を堆積する工程であって、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値である、工程と、
を実行するための命令を含んで構成されている、
装置。
[形態19]
形態18に記載の装置であって、
前記コントローラは、
前記1つまたは複数のフィーチャが略充填されるまで、前記SiC x y z 膜を前記遠隔水素プラズマに曝露する工程と、前記1つまたは複数のフィーチャに前記SiC x y z 膜の新たな膜厚を堆積する工程とを繰り返す工程を実行するための命令をさらに含んで構成されている、
装置。
[形態20]
形態18に記載の装置であって、
前記遠隔水素プラズマの前記条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を含み、前記処理時間、前記処理レート、前記処理電力および/または前記遠隔プラズマガスの組成は、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズが、前記1つまたは複数のフィーチャの各々の底面付近における開口のサイズよりも大きくなるように制御される、
装置。
[形態21]
形態20に記載の装置であって、
前記遠隔水素プラズマの前記遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度を有する遠隔水素プラズマを含む、
装置。
[形態22]
形態18乃至21のいずれか1項に記載の装置であって、
前記コントローラは、
前記SiC x y z 膜の前記第1の膜厚を堆積することと、前記SiC x y z 膜を前記遠隔水素プラズマに曝露することとの間に時間間隔を設けて、間隙充填性能を調節する工程を実行するための命令をさらに含んで構成されている、
装置。
[形態23]
形態18乃至21のいずれか1項に記載の装置であって、
前記コントローラは、
前記SiC x y z 膜を前記遠隔水素プラズマに曝露した後に時間間隔を設けて、間隙充填性能を調節する工程を実行するための命令をさらに含んで構成されている、
装置。
[形態24]
形態18乃至21のいずれか1項に記載の装置であって、
前記第1の膜厚および前記第2の膜厚の各々は約10Å以下である、
装置。

Claims (24)

  1. 基板の1つまたは複数のフィーチャにドープまたは非ドープシリコン炭化物(SiCxyz)膜を堆積する方法であって、
    前記基板の前記1つまたは複数のフィーチャに前記SiCxyz膜の第1の膜厚を堆積することと、
    前記1つまたは複数のフィーチャの各々の上面付近における開口のサイズが前記基板の前記1つまたは複数のフィーチャの各々の底面における開口に比べて大きくなるような条件下で、前記SiCxyz膜を遠隔水素プラズマに曝露することと、
    前記SiCxyz膜の前記第1の膜厚の上に前記SiCxyz膜の第2の膜厚を堆積することであって、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値であることと、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記1つまたは複数のフィーチャが略充填されるまで、前記SiCxyz膜を前記遠隔水素プラズマに曝露する工程と、前記1つまたは複数のフィーチャに前記SiCxyz膜の新たな膜厚を堆積する工程とを繰り返すこと、
    をさらに含む、方法。
  3. 請求項1に記載の方法であって、
    前記遠隔水素プラズマの前記条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を含み、前記処理時間、前記処理レート、前記処理電力および/または前記遠隔プラズマガスの組成は、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズが、前記1つまたは複数のフィーチャの各々の前記底面付近における前記開口のサイズよりも大きくなるように制御される、
    方法。
  4. 請求項3に記載の方法であって、
    前記遠隔水素プラズマへの曝露の前記処理時間は、約0.5秒~約120秒である、
    方法。
  5. 請求項3に記載の方法であって、
    前記処理レートは、前記SiCxyz膜の堆積および前記SiCxyz膜の遠隔水素プラズマへの曝露の1サイクルあたり、前記SiCxyz膜の10Å以下である、
    方法。
  6. 請求項3に記載の方法であって、
    前記遠隔水素プラズマの前記遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度を有する遠隔水素プラズマを含む、
    方法。
  7. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記第1の膜厚および前記第2の膜厚の各々は約10Å以下である、
    方法。
  8. 請求項7に記載の方法であって、
    前記第1の膜厚および前記第2の膜厚の各々は約0.5Å~約4.5Åである、
    方法。
  9. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記SiCxyz膜の前記第1の膜厚を堆積することは、
    1つまたは複数のシリコン含有前駆体を反応チャンバに流すことと、
    遠隔プラズマ源から生成された1つまたは複数の水素ラジカルを前記反応チャンバ内の前記基板に向けて導入することと、を含み、前記1つまたは複数の水素ラジカルは、前記1つまたは複数のシリコン含有前駆体と反応して前記SiCxyz膜の前記第1の膜厚を堆積する、
    方法。
  10. 請求項9に記載の方法であって、
    前記水素ラジカルの少なくとも90%は、基底状態の水素ラジカルである、
    方法。
  11. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記遠隔水素プラズマの前記条件は、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズを、前記基板の前記1つまたは複数のフィーチャの各々の前記底面付近における前記開口に比べて少なくとも約5%大きくする、
    方法。
  12. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記遠隔水素プラズマの前記条件は、前記SiCxyz膜の前記第1の膜厚の炭素の原子濃度が約10%~約30%のとき、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズを、前記基板の前記1つまたは複数のフィーチャの各々の前記底面付近における前記開口に比べて大きくする、
    方法。
  13. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記SiCxyz膜はシリコン酸炭化物(SiCO)を含む、
    方法。
  14. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記SiCxyz膜の前記第1の膜厚を堆積する工程と、前記SiCxyz膜を前記遠隔水素プラズマに曝露する工程とは、真空ブレイクを導入せずに行われる、
    方法。
  15. 請求項1乃至6のいずれか1項に記載の方法であって、
    前SiCxyz膜は、ドライエッチングまたはウェットエッチング条件下で、窒化物材料および酸化物材料に対して7:1より大きいエッチング選択性を有する、
    方法。
  16. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記SiCxyz膜の前記第1の膜厚を堆積することと、前記SiCxyz膜を前記遠隔水素プラズマに曝露することとの間に時間間隔を設けて、間隙充填性能を調節すること
    をさらに含む、方法。
  17. 請求項1乃至6のいずれか1項に記載の方法であって、
    前記SiCxyz膜を前記遠隔水素プラズマに曝露した後に時間間隔を設けて、間隙充填性能を調節すること
    をさらに含む、方法。
  18. 装置であって、
    反応チャンバと、
    前記反応チャンバ内に配置された1つまたは複数のフィーチャを有する基板を支持するための基板支持部と、
    コントローラと、を備える装置であって、
    前記コントローラは、
    前記基板の前記1つまたは複数のフィーチャに、ドープまたは非ドープシリコン炭化物(SiCxyz)膜の第1の膜厚を堆積する工程と、
    前記1つまたは複数のフィーチャの各々の上面付近における開口のサイズが前記基板の前記1つまたは複数のフィーチャの各々の底面における開口に比べて大きくなるような条件下で、前記SiCxyz膜を遠隔水素プラズマに曝露する工程と、
    前記SiCxyz膜の前記第1の膜厚の上に前記SiCxyz膜の第2の膜厚を堆積する工程であって、xはゼロより大きい値であり、yはゼロ以上の値であり、zはゼロ以上の値である、工程と、
    を実行するための命令を含んで構成されている、
    装置。
  19. 請求項18に記載の装置であって、
    前記コントローラは、
    前記1つまたは複数のフィーチャが略充填されるまで、前記SiCxyz膜を前記遠隔水素プラズマに曝露する工程と、前記1つまたは複数のフィーチャに前記SiCxyz膜の新たな膜厚を堆積する工程とを繰り返す工程を実行するための命令をさらに含んで構成されている、
    装置。
  20. 請求項18に記載の装置であって、
    前記遠隔水素プラズマの前記条件は、処理時間、処理レート、処理電力および/または遠隔プラズマガスの組成を含み、前記処理時間、前記処理レート、前記処理電力および/または前記遠隔プラズマガスの組成は、前記1つまたは複数のフィーチャの各々の前記上面付近における前記開口のサイズが、前記1つまたは複数のフィーチャの各々の前記底面付近における前記開口のサイズよりも大きくなるように制御される、
    装置。
  21. 請求項20に記載の装置であって、
    前記遠隔水素プラズマの前記遠隔プラズマガスの組成は、約10体積%~約50体積%の水素濃度を有する遠隔水素プラズマを含む、
    装置。
  22. 請求項18乃至21のいずれか1項に記載の装置であって、
    前記コントローラは、
    前記SiCxyz膜の前記第1の膜厚を堆積することと、前記SiCxyz膜を前記遠隔水素プラズマに曝露することとの間に時間間隔を設けて、間隙充填性能を調節する工程を実行するための命令をさらに含んで構成されている、
    装置。
  23. 請求項18乃至21のいずれか1項に記載の装置であって、
    前記コントローラは、
    前記SiCxyz膜を前記遠隔水素プラズマに曝露した後に時間間隔を設けて、間隙充填性能を調節する工程を実行するための命令をさらに含んで構成されている、
    装置。
  24. 請求項18乃至21のいずれか1項に記載の装置であって、
    前記第1の膜厚および前記第2の膜厚の各々は約10Å以下である、
    装置。
JP2021521282A 2018-10-19 2019-10-10 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露 Active JP7487189B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862748186P 2018-10-19 2018-10-19
US62/748,186 2018-10-19
PCT/US2019/055671 WO2020081367A1 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Publications (3)

Publication Number Publication Date
JP2022505310A JP2022505310A (ja) 2022-01-14
JPWO2020081367A5 JPWO2020081367A5 (ja) 2022-10-12
JP7487189B2 true JP7487189B2 (ja) 2024-05-20

Family

ID=70284056

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021521282A Active JP7487189B2 (ja) 2018-10-19 2019-10-10 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露

Country Status (5)

Country Link
US (4) US11848199B2 (ja)
JP (1) JP7487189B2 (ja)
KR (5) KR20230085954A (ja)
CN (1) CN113195786A (ja)
WO (1) WO2020081367A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR20220082751A (ko) * 2020-12-09 2022-06-17 에이에스엠 아이피 홀딩 비.브이. 실리콘-탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US20230050255A1 (en) * 2021-08-13 2023-02-16 Applied Materials, Inc. Seam removal in high aspect ratio gap-fill
US20230360924A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Low temperature carbon gapfill

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211170A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2006351694A (ja) 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP2013529391A (ja) 2010-05-27 2013-07-18 アプライド マテリアルズ インコーポレイテッド シリコン膜用選択エッチング
JP2017092475A (ja) 2015-11-12 2017-05-25 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
WO2018111570A1 (en) 2016-12-16 2018-06-21 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Family Cites Families (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US20010012667A1 (en) 1999-01-15 2001-08-09 Yi Ma Clustered system and method for formation of integrated circuit devices
EP1208002A4 (en) 1999-06-03 2006-08-02 Penn State Res Found MATERIALS WITH NETWORK OF SURFACE POROSITY COLUMNS DEPOSITED IN THIN FILM
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
CN1278867C (zh) 1999-09-29 2006-10-11 精工爱普生株式会社 打印机及其控制方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100705189B1 (ko) 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
WO2003019645A1 (fr) 2001-08-30 2003-03-06 Tokyo Electron Limited Procede et appareil de formation d'un film
EP1436742A1 (en) 2001-09-18 2004-07-14 Pro-Corp Holdings International Limited Image recognition inventory management system
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6935553B2 (en) 2002-04-16 2005-08-30 Senju Metal Industry Co., Ltd. Reflow soldering method
JP4683825B2 (ja) 2002-04-24 2011-05-18 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP2004363241A (ja) 2003-06-03 2004-12-24 Advanced Lcd Technologies Development Center Co Ltd 結晶化半導体層の形成方法及び形成装置ならびに半導体装置の製造方法
KR20050002525A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 확산방지막 제조방법
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
EP1799883A2 (en) 2004-08-18 2007-06-27 Dow Corning Corporation Coated substrates and methods for their preparation
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
WO2006057464A2 (ja) 2004-11-29 2006-06-01 Univ Tokyo Nat Univ Corp シリコンナノ線状体の製造方法およびシリコンナノ線状体
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7972910B2 (en) 2005-06-03 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of integrated circuit device including thin film transistor
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101427361A (zh) 2006-02-28 2009-05-06 St微电子(克偌林斯2)股份有限公司 电介质材料中的金属互连
JP5040913B2 (ja) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 半導体装置の製造方法
EP2036120A4 (en) 2006-05-30 2012-02-08 Applied Materials Inc NOVEL PLASMA CURING AND PLASMA CURING PROCESS TO ENHANCE THE QUALITY OF SILICON DIOXIDE FILM
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (ja) 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
WO2009012067A1 (en) 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
JP5006938B2 (ja) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR20090106112A (ko) 2008-04-04 2009-10-08 울산대학교 산학협력단 다결정 탄화규소 버퍼층위에 마이크로 또는 나노전자기계시스템용 질화알루미늄막 증착방법
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
CN102046841B (zh) 2008-05-07 2014-05-28 普林斯顿大学理事会 用于电子器件或其他物品上的涂层中的混合层
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8809195B2 (en) 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
KR102003651B1 (ko) 2009-05-13 2019-07-24 에스아이오2 메디컬 프로덕츠, 인크. 유기실리콘 전구체를 이용한 pecvd 코팅
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP5656010B2 (ja) 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
KR101123829B1 (ko) 2010-02-12 2012-03-20 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5476161B2 (ja) 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN102693931A (zh) * 2011-03-23 2012-09-26 中国科学院微电子研究所 一种薄膜填充方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
WO2013103037A1 (ja) 2012-01-07 2013-07-11 日本電気株式会社 光学装置、光学素子および画像表示装置
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP6172660B2 (ja) 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US20140120678A1 (en) 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6047795B2 (ja) 2012-11-12 2016-12-21 日東電工株式会社 アンテナモジュール
CN105143503A (zh) 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
WO2014143337A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) * 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
SG10201600832VA (en) 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102576122B1 (ko) 2015-06-05 2023-09-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US20180202042A1 (en) 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
CN117165927A (zh) 2015-09-18 2023-12-05 应用材料公司 用于沉积共形bcn膜的方法
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
EP3394315A4 (en) 2015-12-21 2019-10-30 Versum Materials US, LLC COMPOSITIONS AND METHODS USING SAME FOR DEPOSITION OF SILICON-CONTAINING FILM
KR20190011817A (ko) 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
TW201822259A (zh) 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
JP6807775B2 (ja) * 2017-02-28 2021-01-06 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211170A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
JP2006351694A (ja) 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP2013529391A (ja) 2010-05-27 2013-07-18 アプライド マテリアルズ インコーポレイテッド シリコン膜用選択エッチング
JP2017092475A (ja) 2015-11-12 2017-05-25 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
WO2018111570A1 (en) 2016-12-16 2018-06-21 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Also Published As

Publication number Publication date
KR20220056248A (ko) 2022-05-04
US20220238334A1 (en) 2022-07-28
KR20220056249A (ko) 2022-05-04
US20240063015A1 (en) 2024-02-22
KR20230085954A (ko) 2023-06-14
CN113195786A (zh) 2021-07-30
KR20210063434A (ko) 2021-06-01
JP2022505310A (ja) 2022-01-14
TW202032660A (zh) 2020-09-01
KR20230085953A (ko) 2023-06-14
US20220238333A1 (en) 2022-07-28
US11848199B2 (en) 2023-12-19
WO2020081367A1 (en) 2020-04-23
US20210391171A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
US11894227B2 (en) Conformal deposition of silicon carbide films
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
JP7487189B2 (ja) 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US9837270B1 (en) Densification of silicon carbide film using remote plasma treatment
US10297442B2 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102515238B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR102480201B1 (ko) 산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착
TWI843755B (zh) 用於間隙填充的遠程氫電漿暴露以及摻雜或未摻雜矽碳化物沉積
TWI837151B (zh) 使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積
KR102542281B1 (ko) 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
KR102615163B1 (ko) 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221003

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221003

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231031

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240129

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240508

R150 Certificate of patent or registration of utility model

Ref document number: 7487189

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150