KR20080106984A - 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법 - Google Patents

유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법 Download PDF

Info

Publication number
KR20080106984A
KR20080106984A KR1020087026336A KR20087026336A KR20080106984A KR 20080106984 A KR20080106984 A KR 20080106984A KR 1020087026336 A KR1020087026336 A KR 1020087026336A KR 20087026336 A KR20087026336 A KR 20087026336A KR 20080106984 A KR20080106984 A KR 20080106984A
Authority
KR
South Korea
Prior art keywords
layer
plasma
dielectric layer
silicon
chamber
Prior art date
Application number
KR1020087026336A
Other languages
English (en)
Inventor
미헤라 발시아누
리-쿤 시아
메이-예 쉬크
히쳄 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/668,911 external-priority patent/US7601651B2/en
Priority claimed from US11/693,005 external-priority patent/US7780865B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080106984A publication Critical patent/KR20080106984A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판상에 있는 층의 스텝 커버리지 및 패턴 로딩을 제어하는 방법들이 제공된다. 일 면에서, 상기 방법은 층을 증착하기 위해 플라즈마의 존재하에 기판을 실리콘-함유 전구체에 노출시키는 단계, 증착된 층을 플라즈마로 처리하는 단계, 및 원하는 두께의 층이 얻어질 때까지 상기 노출시키는 단계와 처리하는 단계를 반복하는 단계를 포함한다. 플라즈마는 산소-함유 가스로부터 발생될 수 있다. 또 다른 면에서, 상기 방법은 표면 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 배치하는 단계 및 피쳐 상의 유전체층의 원하는 프로파일이 제공되도록 산소 또는 할로겐-함유 가스로부터의 플라즈마로 유전체층을 에칭하는 단계를 포함한다. 증착 및 에칭은 원하는 프로파일이 제공되도록 다수의 주기로 반복될 수 있다.

Description

유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법{METHOD TO IMPROVE THE STEP COVERAGE AND PATTERN LOADING FOR DIELECTRIC FILMS}
본 발명의 실시예들은 전반적으로 반도체 프로세싱을 위한 방법 및 장치에 관한 것이다. 특히, 본 발명의 실시예들은 콘포멀한(conformal) 유전체막을 증착하는 방법 및 장치에 관한 것이다.
가스들의 화학적 반응에 의해 기판상에 유전체층들을 형성하는 것은 현대의 반도체 소자들의 제조에 있어 주요한 단계들 중 하나이다. 이러한 증착 프로세스들로는 화학적 기상 증착(CVD) 및 종래의 CVD 기술들과 플라즈마의 조합을 이용하는 플라즈마 강화 화학적 기상 증착(PECVD)이 포함된다.
CVD 및 PECVD 유전체층들은 반도체 소자들에서 상이한 층들로서 이용될 수 있다. 예를 들면, 유전체층들은 소자에서 전도성 라인들 또는 상호접속부들 사이에서 금속간(intermetal) 유전체층들로 이용될 수 있다. 선택적으로, 유전체층들은 배리어층들, 에칭 정지부들, 또는 스페이서들 및 다른 층들로서 사용될 수 있다.
배리어층들 및 스페이서들로 사용되는 유전체층들은 통상적으로 피쳐들(features), 이를 테면 패터닝된 기판에 형성된 라인들에 대한 수평 상호접속부 들, 수직 상호접속부들(비아들), 게이트 스택들 등 위에 증착된다. 바람직하게, 증착은 콘포멀한층을 제공한다. 그러나, 콘포멀한 증착을 달성하는 것을 때로 어렵다.
예를 들어, 표면 결함들 또는 피쳐 변형이 거의 없거나 없는 피쳐 위에 배리어층을 증착하는 것을 어렵다. 증착 동안, 배리어층 물질은 오버로프(overloaf)될 수 있다, 즉, 비아의 숄더들상의 과잉 물질이 증착되고 비아의 베이스에는 너무 적은 물질이 증착되어, 빵 덩어리의 측면처럼 보이는 형상이 형성될 수 있다. 이러한 현상은 푸팅 (footing)으로 공지되어 있으며, 이는 비아의 베이스가 발(foot)처럼 보이는 프로파일을 갖기 때문이다. 극단의 경우, 비아의 숄더들은 비아 상부에 대해 결합되고, 밀폐된 표면을 형성하도록 융합될 수 있다. 웨이퍼에 대한 막 두께 불균일성은 하나의 소자에서 다른 소자로 구동 전류 개선에 악영향을 미칠 수 있다. 프로세스 파라미터들의 변조는 스텝 커버리지 및 패턴 로딩 문제점들을 크게 개선하지 못한다.
스페이서를 형성하도록 순차적으로 에칭되는 층들을 제공하기 위해 게이트 스택들 위로 컨포멀한 층의 증착은 중요하다. 고온, 전압의 통상의 CVD를 이용하여 스페이서들을 위해 실리콘 질화물층 및 실리콘 산화물층을 증착하는 방법들이 개발되었지만, 이러한 기술에 대한 열적 예산은 반도체 소자의 기하학적 구조의 축소가 지속됨에 따라 너무 높아지고 있다. 실리콘 질화물 및 실리콘 산화물 증착을 위한 PECVD 프로세스는 낮은 온도에서 수행될 수 있으나, 스텝 커버리지 및 패턴 로딩 결과는 고온, 저압 CVD로 얻어지는 것보다 바람직하지 못하다.
따라서, 패터닝된 기판에 형성된 피쳐들 위로 컨포멀한 막들을 증착하는 방법이 요구된다.
본 발명의 실시예들은 기판상에 유전체막을 형성하는 방법을 제공하며, 상기 방법은 표면의 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 배치하는 단계, 유전체층을 증착하는 단계, 플라즈마로 유전체층을 처리하는 단계, 유전체층의 두께를 결정하는 단계, 및 상기 유전체층을 증착하는 단계, 플라즈마로 유전체층을 처리하는 단계, 및 유전체층의 두께를 결정하는 단계를 반복하는 단계를 포함한다.
일 실시예에서, 챔버내의 패터닝된 기판상에 층을 형성하는 방법이 제공된다. 상기 방법은 패터닝된 기판상에 층을 증착하기 위해 플라즈마의 존재하에, 패터닝된 기판을 실리콘-함유 전구체, 이를 테면, 옥타메틸시클로테트라실록산(octamethylcyclotetrasiloxane)에 노출하는 단계 및 층이 증착된 후에 상기 층을 산소-함유 가스, 이를 테면 산소 가스로부터의 플라즈마로 처리하는 단계를 포함한다. 노출하는 단계 및 처리하는 단계는 층의 원하는 두께가 얻어질 때까지 반복된다. 층은 실리콘 산화물 또는 탄소-도핑 실리콘 산화물층일 수 있다.
또 다른 실시예에서, 챔버에서 패터닝된 기판상에 층을 형성하는 방법은 패터닝된 기판상에 층을 증착하기 위해 플라즈마 존재하에 실리콘-함유 전구체에 패터닝된 기판을 노출하는 단계, 층이 증착된 후에 질소-함유 가스로부터의 플라즈마로 상기 층을 처리하는 단계, 및 층의 원하는 두께가 얻어질 때까지 상기 노출하는 단계 및 처리하는 단계를 반복하는 단계를 포함한다.
또한, 본 발명의 또 다른 실시예들은 기판상의 스텝 커버리지 및 패턴 로딩을 제어하는 방법을 제공한다. 일 실시예에서, 상기 방법은 표면 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 위치시키는 단계를 포함한다. 유전체층은 기판상에 증착되며, 유전체층은 불소, 염소, 브롬, 및 이들의 조합물로 이루어진 그룹에서 선택된 산소 또는 할로겐-함유 가스로부터의 플라즈마로 에칭되어 형성된 적어도 하나의 피쳐상에 유전체층의 원하는 프로파일이 제공된다.
또 다른 실시예에서, 상기 방법은 표면 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 위치시키는 단계 및 기판상에 유전체층을 증착하는 단계를 포함한다. 피쳐는 상부 표면, 측벽 표면 및 바닥부 표면을 포함한다. 유전체층은 바닥부 표면과 측벽 표면상에서 보다 상부 표면상에서 더 큰 두께로 증착된다. 다음 유전체층은 불소, 염소, 브롬 및 이들의 조합물로 이루어진 그룹에서 선택된 산소 또는 할로겐-함유 가스로부터의 플라즈마로 에칭된다. 유전체층은 측벽 표면과 바닥부 표면상에서 보다는 상부 표면상에서 더 높은 에칭률로 에칭된다. 유전체층의 증착 및 에칭은 형성된 적어도 하나의 피쳐상의 유전체층의 원하는 프로파일이 제공되도록 한번 이상 반복된다.
또 다른 실시예에서, 상기 방법은 표면 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 위치시키는 단계 및 기판상에 실리콘 질화물 유전체층을 증착하는 단계를 포함한다. 피쳐는 상부 표면, 측벽 표면, 및 바닥부 표면을 포함한다. 실리콘 질화물 유전체층은 바닥부 표면과 측벽 표면 보다는 상부 표면 상에서 두께가 더 크게 증착된다. 다음 실리콘 질화물 유전체층은 형성된 적어도 하나의 피쳐상에 실리콘 질화물 유전체층의 원하는 프로파일을 제공하도록 측벽 표면 및 바닥부 표면 보다는 상부 표면상에서의 에칭률(etch rate)이 높은 NF3 플라즈마로 에칭된다. 실리콘 질화물 유전체층의 증착 및 에칭은 원하는 프로파일을 제공하기 위해 한번 이상 반복될 수 있다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
도 1은 증착 프로세스의 실시예에 대한 흐름도이다.
도 2는 증착 프로세스의 추가 실시예에 대한 흐름도이다.
도 3A는 종래 기술에 따른 기판 피쳐상의 유전체층 프로파일을 나타낸다. 도 3B는 본 발명에 따른 기판 피쳐 상의 유전체층 프로파일을 나타낸다.
도 4는 본 발명의 실시예에 따라 에칭된 상이한 막 두께에 대해 기판의 조밀하고 절연된 영역들에서의 피쳐들에 대해 형성된 바닥부 두께를 나타내는 그래프이다.
도 5는 본 발명의 실시예에 따라 에칭된 상이한 막 두께에 대해 형성된 바닥부 패턴 로딩 효과를 나타내는 그래프이다.
도 6은 증착 프로세스의 실시예에 대한 흐름도이다.
도 7은 본 발명의 실시예에 따라 수행된 증착 프로세스 동안 층의 두께를 나타내는 그래프이다.
도 8은 기판이 전구체에 노출되는 시간양을 기준으로 본 발명의 실시예에 따라 기판상에 증착된 층의 두께를 나타내는 그래프이다.
도 9는 본 발명의 실시예에 따라 기판상에 층을 증착하는 개략적 다이아그램이다.
도 10은 증착 프로세스의 추가 실시예를 나타내는 흐름도이다.
도 11은 증착 프로세스의 추가 실시예를 나타내는 흐름도이다.
본 발명은 형성된 피쳐상에 컨포멀한 유전체층을 증착하는 방법 및 장치를 제공한다. 이러한 프로세스에 유용한 막들로는 예를 들어, 스페이서 또는 에칭 정지층들로 이용될 수 있는 실리콘 산화물, 실리콘 산질화물, 또는 실리코 질화물막들과 같은 유전체 물질이 포함된다. 막들은 유전체 특성을 조절하기 위해 탄소 도핑되거나, 수소 도핑되거나, 또는 소정의 다른 화학물 또는 원소가 포함될 수 있다. 막들은 탄소 도핑되거나 질소 도핑될 수 있다. 예를 들어, 막들은 SiCN, SiOC, SiOCN, SiBN, SiBCN, SiC, BN, 또는 BCN 막들일 수 있다. 일 면에서, 개별적으로 증착되며 플라즈마 처리된 얇은 층들의 조합으로 단일 유전체층 두께 보다 컨포멀한 유전체층이 제공된다. 본 발명에 개시되는 프로세스들에 이용될 수 있는 챔버들로는 PRODUCER
Figure 112008074699568-PCT00001
P3 챔버, PRODUCER
Figure 112008074699568-PCT00002
APFTM PECVD 챔버, PRODUCER
Figure 112008074699568-PCT00003
BLACK DIAMOND
Figure 112008074699568-PCT00004
PECVD 챔버, PRODUCER
Figure 112008074699568-PCT00005
BLOK
Figure 112008074699568-PCT00006
PECVD 챔버, PRODUCER
Figure 112008074699568-PCT00007
DARC PECVD 챔버, PRODUCER HARP 챔버, PRODUCER
Figure 112008074699568-PCT00008
PECVD 챔버, PRODUCER SACVD 챔버, PRODUCER
Figure 112008074699568-PCT00009
SE STRESS NITRIDE PECVD 챔버, 및 PRODUCER
Figure 112008074699568-PCT00010
TEOS FSG PECVD 챔버가 포함되며, 이들 각각은 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 상업적으로 이용가능하다. 챔버들은 개별적으로 구성될 수 있으나, 대체로 통합형 툴의 일부이다. 프로세스들은 임의의 기판, 이를 테면 200mm 또는 300mm 기판 또는 반도체 또는 플랫 패널 디스플레이 처리에 적합한 다른 매체 상에서 수행될 수 있다. 하기 개시되는 프로세싱 조건들은 2개의 절연 프로세싱 영역을 갖는 PRODUCER
Figure 112008074699568-PCT00011
SE STRESS NITRIDE PECVD 챔버를 기준으로 제공된다. 따라서, 각각의 기판 프로세싱 영역 당 처리되는 유량들은 챔버 유량의 절반이다.
도 1은 증착 프로세스(100) 실시예의 흐름도이다. 증착 프로세스(100)의 모든 프로세스 단계들은 동일한 챔버에서 수행될 수 있다. 프로세스(100)는 표면 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 배치하는 단계를 포함하는 시작 단계(110)에서 시작된다. 형성된 피쳐는 비아, 상호접속부, 또는 게이트 스택과 같이 임의의 형태로 형성된 피쳐이다. 다음, 얇은 유전체층 증착 단계(120) 동안 CVD 또는 PECVD에 의해 유전체층이 증착된다. 예를 들어, 얇은 유전체층은 실리콘 산화물, 실리콘 산질화물, 또는 실리콘 질화물층일 수 있다. 층은 탄소 도핑되거나 질소 도핑될 수 있다. 얇은 유전체층은 약 1Å 내지 약 8Å의 두께를 가질 수 있다. 챔버의 압력은 약 100mTorr 내지 약 8Torr이며 2 내지 8Torr가 바람직하다. 얇은 유전체층은 증착 단계(120) 중 약 2초 내지 약 5초 동안 증착되며 얇은 유전체층은 단계(130) 동안 플라즈마 처리된다. 플라즈마 처리 단계(130)는 비활성 가스 또는 반응성 가스를 이용하는 단계를 포함할 수 있다. 다음 증착된 층들의 두께는 두께 결정 단계(140) 동안 분석되거나 추정된다. 증착된 층 또는 층들의 두께가 부여된 원하는 두께와 같거나 또는 이보다 큰 경우, 프로세스(100)는 단계(160) 동안 완료된다. 종료 단계(160) 동안, 기판은 추가의 프로세싱을 거치며 챔버로부터 제거된다. 두께가 부여된 원하는 두께와 같거나 또는 이보다 크지 않은 경우, 증착 단계(120) 및 플라즈마 처리 단계(130)이 반복 프로세스(150) 동안 반복된다. 두께 결정 단계(140) 및 반복 프로세스(150)는 원하는 막 두께가 얻어질 때 까지, 예를 들면 약 1 내지 약 6번 반복이 수행될 때까지 다수번 반복될 수 있다.
도 1은 도 2, 6 및 10-11에 도시된 개별 프로세스들에 대한 구성(framework)을 제공하도록 일반화된 것이다. 도 1과 유사한 프로세스 단계들은 도 2, 6, 및 도 10-11과 동일한 참조 부호를 갖는다. 그러나, 약간의 프로세스 변형은 승인될 수 있다.
도 2는 증착 프로세스(200)의 실시예에 대한 흐름도이다. 단계(202)에 도시된 것처럼, 표면 양단에 적어도 하나의 피쳐가 형성된 기판이 챔버에 배치된다. 피쳐는 상부 표면, 측벽 표면 및 바닥부 표면을 갖는다. 단계(204)에 도시된 것처럼, 유전체층이 기판상에 증착된다. 유전체층은 CVD 또는 PECVD에 의해 증착될 수 있다. 유전체층은 예를 들어, 실리콘 질화물, 실리콘 산화물, 또는 실리콘 산질화물층일 수 있다. 선택적으로, 층은 앞서 개시된 임의의 탄소 또는 질소-도핑 막들일 수 있다. 통상적적으로, 유전체층의 증착은 바닥부 표면 및 측벽 표면 보다는 상부 표면상에 두꺼운 두께의 유전체층을 제공한다. 다음, 단계(206)에 도시된 것처럼, 유전체층은 산소 또는 할로겐-함유 가스로부터의 플라즈마를 이용하여 에칭된다. 할로겐-함유 가스는 불소, 염소, 브롬, 및 이들의 조합물로 이루어진 그룹에서 선택된다. 산소 플라즈마는 산소 가스(O2) 또는 다른 산소-함유 가스들에 의해 제공될 수 있다. 선택적으로, 도시되지는 않았지만, 유전체층의 두께는 도 1을 참조로 앞서 개시된 것처럼 두께 결정 단계 동안 분석 또는 추정될 수 있다. 단계(206)에서 유전체층의 에칭이 형성된 적어도 하나의 피쳐 상에 원하는 유전체층의 프로파일을 제공한다면, 프로세스는 단계(208)에서 종료된다. 원하는 프로파일은 유전체층 증착 이후 및 에칭 이전 보다 피쳐의 측벽, 상부 및 비닥 표면들에 대한 두께 변화가 적은 컨포멀한 또는 실질적으로 컨포멀한 프로파일일 수 있다. 다른 말로, 원하는 프로파일은 개선된, 즉, 피쳐의 상이한 표면들 간의 막 두께 차의 퍼센테이지가 낮은 스텝 커버리지를 갖는다. 단계(206)에서 유전체층의 에칭이 형성된 적어도 하나의 피쳐상에 원하는 유전체층의 프로파일을 제공하지 않는 경우, 반복 단계(210)가 수행될 수 있다. 반복 단계(210)는 추가량의 유전체층 증착 및 유전체층의 에칭을 포함한다. 반복 단계(210)는 형성된 적어도 하나의 피쳐상에 원하는 유전체층 프로파일이 얻어질 때까지, 수차례, 이를 테면, 1 내지 100회 사 이, 예를 들면 1 내지 6회 사이 반복된다.
다시 단계(206)를 참조로, 유전체층은 유전체층이 증착되는 동일한 챔버에서 또는 증착 챔버와 같은 동일한 통합형 툴의 일부이며 통합형 툴의 이송 챔버에 의해 증착 챔버와 접속될 수 있는 상이한 챔버에서 에칭될 수 있다. 산소 또는 할로겐-함유 가스는 비활성 가스, 이를 테면 아르곤 또는 헬륨과 조합되어 또는 개별적으로 챔버에 주입될 수 있다. 에칭 단계(206)는 원격적으로 또는 인시튜로 발생된 플라즈마를 사용하여 수행된다. 에칭 단계(206)의 기간은 적어도 0.1초, 이를 테면 약 0.1초 내지 약 45초 사이, 예를 들면 약 15초 내지 약 45초 사이일 수 있다. 에칭 프로파일은 할로겐-함유 가스 유량 및 노출 기간을 조절함으로써 증착 프로하일과 매칭되도록 구성될 수 있다. 예를 들어, 에칭률은 피쳐의 측벽 표면 또는 바닥부 표면 보다 피쳐의 상부 표면상에서 더 높을 수 있다. 통상적으로, 상부 표면 상에서의 에칭률은 측벽 표면 또는 바닥부 표면상에서의 에칭률보다 약 10% 높다. 소정 예에서, 약 50 퍼센트의 에칭률이 바람직하다. 본 발명에서 정의된 바와 같이, 약 50 퍼센트의 에칭률은 증착되는 유전체층의 두께의 약 50%를 제거하는 에칭 프로세스에 해당한다. 또한, 증착 단계(204)는 선택적으로 2개 부분의 증착 프로세스, 이를 테면 제 1 전력 및 전구체 부분압에서 2초 플라즈마 및 제 2 전력 및 제 2 전구체 부분압에서 추가 2초일 수 있다.
에칭 단계(206)가 원격적으로 발생된 플라즈마를 이용하여 수행되는 실시예에서, 플라즈마는 유전체층이 증착되는 챔버와 접속되는 원격 플라즈마 소스의 마이크로파 에너지에 불소, 염소, 브롬 및 이들의 조합물로 이루어진 그룹에서 선택 된 산소 또는 할로겐-함유 가스를 노출시킴으로써 생성된다. 예를 들어, 플라즈마는 반응성 불소 종들을 제공하는 NF3로부터 생성될 수 있다. NF3는 약 10sccm 내지 약 20slm 사이의 유량으로 챔버에 주입될 수 있다. NF3는 희석 가스로서 아르곤 또는 헬륨을 이용하여 챔버에 주입될 수 있다. 또한, 아르곤 및 헬륨은 챔버에서 플라즈마 유지를 도울 수 있다. 아르곤 또는 헬륨은 약 100sccm 내지 약 20slm 사이의 유량으로 챔버에 주입될 수 있다. 에칭 동안 챔버의 압력은 약 10mTorr 내지 약 760Torr 사이일 수 있으며, 챔버에서 기판 지지체의 온도는 약 100℃ 내지 약 650℃ 사이로 설정될 수 있다.
에칭 단계(206)가 인시튜로, 즉 챔버에서 발생되는 플라즈마를 이용하여 수행되는 실시예들에서, 플라즈마는 RF 전력에 의해 발생될 수 있다. RF 전력은 약 1 MHz 내지 약 13.56MHz, 예를 들면 약 2MHz 내지 약 13.56MHz의 높은 주파수, 약 100kHz 내지 약 1MHz 사이, 예를 들면 약 100kHz 내지 약 400kHz 사이의 낮은 주파수, 또는 약 1MHz 내지 약 13.56MHz 사이, 예를 들면 약 2MHz 내지 약 13.56MHz의 주파수, 약 100kHz 내지 약 1MHz 사이, 예를 들면 약 100kHz 내지 약 400kHz 사이의 낮은 주파수를 포함하는 혼합 주파수를 제공할 수 있다. 불소, 염소, 브롬 및 이들의 조합물로 이루어진 그룹에서 선택되며 에칭 가스로 사용되는 할로겐-함유 가스는 NF3 또는 탄소 및 불소-함유 가스, 이를 테면 CF4 또는 C4F8일 수 있다. 산소 또는 할로겐-함유 가스는 약 10sccm 내지 약 20slm 사이의 유량으로 챔버에 주입될 수 있다. 산소 또는 할로겐-함유 가스는 희석 가스로서 아르곤 또는 헬륨과 함께 챔버에 주입될 수 있다. 또한 아르곤 및 헬륨은 챔버에서 플라즈마 유지를 도울 수 있다. 아르곤 또는 헬륨은 약 100sccm 내지 약 20slm 사이의 유량으로 챔버에 주입될 수 있다. 에칭 동안 챔버의 압력은 약 10mTorr 내지 약 760mTorr 사이일 수 있으며, 챔버에서 기판 지지체의 온도는 약 100℃ 내지 약 650℃ 사이로 설정될 수 있다. 챔버의 기판 지지체 전극과 샤워헤드 전극 간의 공간은 약 100mils 내지 약 3000mils 사이일 수 있다. 플라즈마의 안정성을 제어하기 위해 상기 공간이 조절될 수 있다.
본 발명의 실시예들은 단일 증착 단계(204) 및 단일 에칭 단계(206)가 수행되는 프로세스 시퀀스 및 다수의 증착 및 에칭 단계들의 반복 단계(210)를 포함하는 프로세스 시퀀스를 포함한다. 단일 증착 단계 및 단일 에칭 단계를 포함하는 프로세스 시퀀스는 유전체층의 피쳐의 상부 표면상에서의 에칭률을 기준으로 유전체층의 피쳐의 측벽 표면상에서 높은 에칭률을 가지는 유전체층에 대해 수행될 수 있다. 예를 들어, 측벽 표면 상에서의 에칭률은 유전체층이 상부 표면으로부터 에칭되는 속도의 적어도 약 10%일 수 있다. 피쳐들의 상부 또는 바닥부상에서 보다는 피쳐들의 측벽들 상에서 낮은 이온 충돌을 제공하는 유전체 증착 프로세스들은 피쳐의 바닥부 또는 상부상에서 보다는 측벽상에서 더 높은 유전체 에칭률을 산출할 수 있다.
상이한 두께로 증착된 유전체층들에 대해 에칭된 물질의 두께를 일정하게 유지하고 에칭되는 유전체층들의 퍼센테이지를 변화시킴으로써, 단일 증착 단계 및 단일 에칭 단계를 포함하는 프로세스 시퀀스에 대한 바닥부 패턴 로딩 효과는 1000 Å에 이르는 두께를 갖는 유전체층들에 대해 증착된 유전체층의 두께와 무관하다는 것이 발견되었다.
다수의 증착 및 에칭 단계들을 포함하는 프로세스 시퀀스는 유전체층 피쳐의 상부 표면상에서의 에칭률을 기준으로 유전체층의 피쳐의 측벽 표면상에서 낮은 에칭률을 갖는 유전체층에 대해 수행될 수 있다. 예를 들어, 측벽 표면 상에서의 에칭률은 유전체층이 상부 표면으로부터 에칭되는 속도의 약 10% 미만일 수 있다. 에칭률들은 유전체층이 에칭되기 이전에 그리고 이후에 SEM 또는 TEM 단면들을 사용하여 피쳐의 바닥부, 측벽 및 상부에서 유전체층의 두께를 측정하고 에칭의 시간 주기 당 에칭된 두께를 계산함으로써 결정될 수 있다. 증착 및 에칭 주기의 횟수를 증가시킴으로써 패턴 로딩 효과가 개선될 수 있다.
예시적인 실시예에서, 2개 또는 3개의 증착 및 에칭 주기를 포함하는 프로세스 시퀀스는 90nm 이하의 피쳐 지점들에 대한 에칭 정지 라이너로 이용되는 유전체층들에 대해 수행될 수 있다. 유전체층은 각각의 주기에서 약 300Å 내지 약 400Å 사이의 두께로 증착될 수 있으며 유전체층의 약 100Å 내지 약 200Å 사이의 두께가 각각의 주기에서 에칭될 수 있다.
본 발명의 실시예들의 실험적 테스트는 에칭 프로파일이 증착 프로파일과 매칭되게, 즉 형성된 피쳐의 바닥부에서 또는 측벽을 따라서 보다는 형성된 피쳐들의 상부 표면에 대해 높은 에칭률을 제공하게 제어될 수 있다는 것을 나타난다. 도 3A는 종래 기술에 따라 기판(306)의 피쳐(304) 상에 형성된 유전체층(302)의 SEM의 스케치이다. 유전체층은 피쳐의 측벽(310) 및 바닥부(312)상에서 보다는 피쳐의 상부(308)에서 보다 큰 두께를 갖는 불균일한 프로파일을 갖는다. 도 3B는 본 발명의 실시예에 따라 피쳐(304) 상에 형성된 유전체층(320)의 SEM 스케치이다. 유전체층(320)은 유전체층(302) 보다는 피쳐(304)상에서 보다 균일한 프로파일을 갖는다.
형성된 피쳐들의 단면의 주사 전자 현미경 사진들은 50sccm의 NF3, 3L 아르곤, 350kHz에서 100W의 낮은 주파수 RF 전력, 1.5Torr의 챔버 압력, 및 1000mils의 공간을 포함하는 45초 NF3 플라즈마 에칭은 (67%의 PLE로부터 41%의 PLE로) 실리콘 질화물 유전체층의 바닥부 패턴 로딩 효과(PLE)를 약 30% 감소시킬 수 있고 이러한 에칭 프로세스는 다른 유전체 막 증착 프로세스들에 대한 스텝 커버리지를 변조시키는데 이용될 수 있다는 것을 나타낸다. 막 스트레스는 에칭 프로세스에 의해 영향받지 않는다. 측벽 로딩은 46%에서 33%로 감소되며, 상부 로딩은 10%에서 3%로 감소된다. 패턴 로딩 효과는 몇 개의 피쳐들(절연된 구역들)을 갖는 기판 영역에서 피쳐의 바닥부, 상부 또는 측벽과 같은 부분들 상에서의 막 두께와 고밀도 피쳐들(조밀한 구역)을 갖는 기판 영역에서 피쳐의 해당 부분 상에서의 막 두께 간의 막 두께 차의 퍼센테이지로서 측정되기 때문에, 낮은 패턴 로딩 효과 퍼센테이지는 기판 양단에 대한 높은 막 두께 균일성을 반영한다.
도 4 및 도 5는 각각 절연(iso) 및 조밀한 피쳐 공간들을 갖는 기판들에 대해 바닥부 유전체층 두께에서 에칭되는 막 두께에 따라 반영되는 에칭 기간의 길이의 작용 및 50sccm의 NF3, 3L 아르곤, 350kHz에서 100W의 낮은 주파수 RF 전력, 1.5Torr의 챔버 압력, 및 1000mils의 공간을 이용하는 NF3 에칭에 대한 패턴 로딩 효과를 나타낸다. 15-45초의 에칭 기간이 사용되며 이는 100Å 내지 300Å가 에칭된 막 두께에 해당한다. 바닥부 로딩 작용은 긴 에칭 기간으로 상당히, 즉, 약 30% 개선된다.
에칭 형태의 함수로서 패턴 로딩 및 바닥부 두께의 비교는 실리콘 질화물 유전체층 상에서 불소-함유 에칭 가스로서 NF3를 이용하여 수행된다. 에칭 없이, 100W에서의 낮은 주파수 RF 플라즈마 에칭, 50W에서 높은 주파수 RF 플라즈마 에칭 및 원격 플라즈마 소스 에칭이 400Å의 실리콘 질화물 유전체층 증착, 200Å의 실리콘 질화물 유전체층 에칭, 및 450Å의 실리콘 질화물 유전체층의 증착을 포함하는 프로세스 시퀀스에 대해 비교된다. 낮은 주파수 RF 에칭 및 높은 주파수 RF 플라즈마 에칭은 유사한 패턴 로딩 효과 결과를 나타내는 반면, 원격 플라즈마 소스 에칭은 보다 큰 패턴 로딩 효과 및 30 퍼센트 이상의 에칭 균일성을 나타낸다. RF 플라즈마 인시튜 에칭 방법들은 증착 프로파일과 유사한, 즉 플라즈마 에칭 방법들에서 에칭 프로파일은 보다 등방성이지만 에칭 종들이 인시튜 RF 방법들에서 시쓰(sheath) 전압에 의해 기판 표면을 향해 지향성있게 가속됨에 따라 원격 플라즈마 에칭 방법들 보다는 피쳐들의 측벽 표면상에서 에칭률이 더 느리고 피쳐들의 상부 표면상에서는 에칭률이 더 빠른 에칭 프로파일을 제공하는데 상당히 효율적인 것으로 여겨진다.
도 2의 실시예는 주로 SiN 층들을 중심으로 개시되었지만, 도 2의 실시예는 다른 유전체층들, 이를 테면
Figure 112008074699568-PCT00012
또는 BCN 층들에 대해 사용될 수 있다. 탄소를 포함하는 층들에 대해, 에칭 단계(206)는 탄소-함유층들을 에칭하는 반응성 산소 종들을 제공하는 산소-함유 가스 및 할로겐-함유 가스를 포함한다. 할로겐-함유 가스 및 산소-함유 가스는 동시에 또는 순차적으로 사용될 수 있다. 예를 들어, 층은 산소-함유 가스의 플라즈마에 노출된 다음 할로겐-함유 가스의 플라즈마에 노출될 수 있다.
도 6은 증착 프로세스(600)의 실시예에 대한 흐름도이다. 증착 프로세스(600)의 모든 프로세스 단계들은 동일한 챔버에서 수행될 수 있다. 프로세스(600)는 표면 양단에 적어도 하나의 피쳐가 형성된 기판, 즉 패터닝된 기판을 챔버에 배치시키는 단계를 포함하는 시작 단계(610)에서 개시된다. 형성된 피쳐는 예를 들어, 비아, 상호접속부, 또는 게이트 스택과 같이 임의의 형태로 형성된 피쳐일 수 있다.
유전체층의 증착은 전구체 및 플라즈마 단계(620) 동안 챔버에 존재하는 플라즈마와 동시에 실리콘-함유 전구체에 기판을 노출시킴으로써 수행된다. 실리콘-함유 전구체는 옥타메틸시클로테트라실록산(OMCTS), 메틸디에톡시실란(MDEOS), 비스(3차-부틸아미노) 실란(BTBAS), 트리메틸아미노실란(TriDMAS), 트리스메틸아미노실란(TrisDMAS), 실란, 디실란, 디클로로실란, 트리클로로실란, 디브롬실란, 실리콘 테트라클로라이드, 실리콘 테트라브로마이드, 또는 이들의 조합물을 포함할 수 있다. 일 면에서, OMCTS 및 실란이 바람직한 실리콘-함유 전구체들이다. 플라즈마는 13.56MHz 및/또는 350KHz의 주파수에서 약 50W 내지 약 3000W로 제공된다. 실리콘 함유-전구체와 동시에 챔버에 선택적으로 주입되는 가스들로는 헬륨, 질소, 산소, 아산화 질소 및 아르곤이 포함된다. 추가 가스가 사용될 경우 챔버로의 주입을 위한 추가 가스들로는 산소 및/또는 헬륨이 바람직하다. 헬륨 또는 다른 비활성 가스들이 캐리어 가스로서 사용될 수 있다.
단계(620) 동안 주입되는 플라즈마, 전구체 및 선택적인 추가 가스들은 산소 정화 단계(630) 동안 챔버로의 산소 가스 또는 아산화 질소와 같은 산소-함유 가스의 주입으로 이어진다. 산소 정화 단계(630)는 잔류 실리콘-함유 전구체 및 선택적인 추가 가스들을 정화시키기 위해 선택되는 시간 주기 및 부분압에서 챔버에 산소-함유 가스를 주입함으로써 수행된다. 다음, 산소 플라즈마 처리 단계(640) 동안, 산소-함유 가스, 이를 테면 산소 또는 아산화 질소가 챔버에 주입된다. 플라즈마는 약 0.1초 내지 약 600초 동안 약 50W 내지 약 3000W로 제공된다.
다시 단계(620)를 참조로, 실리콘-함유 전구체는 약 5sccm 내지 약 1000sccm 사이의 유량으로 챔버에 주입될 수 있다. 선택적인 캐리어 가스, 이를 테면 헬륨은 약 100sccm 내지 약 20000sccm 사이의 유량으로 챔버에 주입될 수 있다. 챔버 속으로의 실리콘-함유 전구체, 이를 테면 옥타메틸시클로테트라실록산의 유량 대 캐리어 가스, 이를 테면 헬륨의 유량의 비율은 약 1:1 이상, 이를 테면 약 1:1 내지 약 1:100이다. 층 증착을 위해 챔버로 실리콘-함유 전구체가 유입되는 동안 챔버 압력은 약 5mTorr 이상, 이를 테면 약 1.8Torr 내지 약 10Torr 사이이며, 챔버에서 기판 지지체의 온도는 약 125℃ 내지 약 580℃ 사이이다. 바람직하게, 온도는 약 500℃ 이하이다. 실리콘-함유 전구체는 약 5Å 내지 약 2000Å 사이의 두께 를 갖는 층을 증착하기에 충분한 시간 주기 동안 챔버로 유입될 수 있다. 예를 들어, 실리콘-함유 전구체는 약 0.1초 내지 약 120초 사이 동안 챔버로 유입될 수 있다.
단계(620) 동안 플라즈마는 13.56 MHz 또는 350 KHz의 주파수에서 약 50W 내지 약 3000W 사이의 RF 전력에 의해 제공될 수 있다. RF 전력은 샤워헤드, 즉 가스 분배 어셈블리, 및/또는 챔버의 기판 지지체에 제공될 수 있다. 샤워헤드와 기판 지지체 간의 공간은 약 230 mils 이상, 이를 테면 약 350 mils 내지 약 800 mils 사이이다.
챔버 속으로의 실리콘-함유 전구체의 흐름 및 RF 전력이 중단되며, 임의의 남아있는 실리콘 함유 전구체는 단계(630)에서 산소-함유 가스, 이를 테면 산소 가스, 아산화 질소, 또는 이들의 조합물을 챔버 속으로 주입함으로써 챔버로부터 정화된다. 산소-함유 가스는 약 100 내지 약 20000 sccm 사이의 유량으로 챔버에 주입될 수 있다. 산소-함유 가스는 약 0.1초 내지 약 60초 사이의 시간 주기 동안 챔버에 유입될 수 있다. 산소-함유 가스가 챔버로 유입되는 동안 챔버 압력은 약 5 mTorr 내지 약 10 Torr 사이이며, 챔버에서 기판 지지체의 온도는 약 125℃ 내지 약 580℃ 사이일 수 있다.
챔버가 정화된 후에, 단계(640)에 도시된 것처럼, 실리콘-함유 전구체로부터 기판상에 증착되는 층을 처리하기 위해 산소 플라즈마 처리가 챔버에서 수행된다. 산소-함유 가스는 약 100 내지 약 20000sccm 사이의 유량으로 챔버에 주입될 수 있다. 산소-함유 가스는 약 0.1초 내지 약 120초 사이와 같은 시간 주기 동안 챔버 에 유입될 수 있다. 산소 플라즈마는 13.56MHz 및/또는 350KHz의 주파수에서 챔버에 약 50W 내지 약 3000W 사이의 RF 전력을 인가함으로써 제공될 수 있다. 산소-함유 가스가 챔버로 유입되는 동안 챔버 압력은 약 5mTorr 내지 약 10Torr 사이일 수 있으며, 챔버에서 기판 지지체의 온도는 약 125℃ 내지 약 580℃ 사이일 수 있다.
챔버 속으로 산소-함유 가스의 흐름 및 RF 전력을 차단시킴으로써 산소 플라즈마 처리가 종결될 수 있다. 선택적으로, 증착된 유전체층의 두께는 두께 결정 단계(650) 동안 분석 또는 추정된다. 증착된 층 또는 층들의 두께가 부여된 원하는 두께와 같거나 이보다 큰 경우, 프로세스(600)는 종료 단계(660) 동안 완료된다. 종료 단계(660) 동안, 기판은 추가의 프로세싱을 거치며 챔버로부터 제거된다. 두께가 부여된 원하는 두께와 같거나 이보다 크지 않은 경우, 증착 단계(620)와 플라즈마 처리 단계(630)가 반복 프로세스(655) 동안 반복된다. 두께 결정 단계(650) 및 반복 프로세스(655)는 원하는 막 두께가 얻어질 때까지, 예를 들면 약 1 내지 약 6회 반복이 수행될 때까지 수차례 반복될 수 있다.
두께가 부여된 원하는 두께와 같거나 이보다 크지 않은 경우, 추가량의 유전체층 증착을 위해 챔버 속으로의 실리콘-함유 전구체의 흐름이 다시 시작된다. 챔버가 정화된 다음 앞서 개시된 것처럼 산소 플라즈마 처리가 수행된다. 증착, 정화, 및 플라즈마 처리의 다수 주기는 원하는 유전체층 두께가 얻어질 때까지 수행될 수 있다.
실리콘-함유 전구체로서 OMCTS 및 헬륨을 사용하는 도 6과 유사한 프로세스 의 실험적 테스트가 수행된다. 막 증착 속도 및 형성되는 막에 존재하는 메틸기들(methyl groups) 대 산소기들(oxygen groups)의 비율은 플라즈마 전력의 함수로 도시된다. 증착 속도는 약 300W에서 플래토(plateau)에 도달되며, 메틸기들 대 산소기들의 비율은 400W의 RF 전력이 사용될 때 약 400W에서 최저치이다.
헬륨과 OMCTS의 몇가지 조합들이 유전체층을 증착하기 위한 최상의 비율을 결정하기 위해 테스트되었다. 실리콘 함유 전구체와 추가 가스처럼 헬륨 대 OMCTS가 대략 2배인 비율은 가장큰 막 두께를 갖는 막을 산출한다. 또한, 90mTorr에서 OMCTS로 증착된 막, OMCTS 및 산소 플라즈마로 증착된 막, 및 2Torr에서 OCMTS 및 산소 플라즈마로 증착된 막의 주사 전자 현미경사진들은 2Torr에서 OMCTS 및 산소 플라즈마로 증착된 막이 3개의 막들에 대해 최상의 패턴 로딩 효과 및 스텝 커버리지를 제공한다는 것을 나타낸다.
아산화 질소 및 산소는 산소 플라즈마 처리 단계(640)에서의 사용과 비교된다. 아산화 질소 플라즈마를 이용하여 증착된 막 및 산소 플라즈마를 이용하여 증착된 막의 주사 전자 현미경사진들은 산소 플라즈마를 이용하여 증착된 막이 2개 막들에 대해 최상의 패턴 로딩 효과 및 스텝 커버리지를 갖는다는 것을 나타낸다.
일 면에서, 도 6을 참조로 개시된 실시예는 펄스형 층 증착(PLD)이며, 산소 플라즈마 처리에 의해 구별되는 실리콘-함유 전구체의 펄스들은 완전한 층을 형성하는 순차적으로 증착된 얇은 층들을 제공한다. 도 7은 증착 시간 또는 주기 횟수를 기준으로 앞서 개시된 증착 및 플라즈마 처리 주기를 이용하여 수행된 유전체 증착 동안 층의 두께를 나타내는 그래프이다. 플라즈마 처리가 수행되는 포인트들 이 그래프에 표시된다. 도 7은 플라즈마 처리들을 포함하지 않는 유사한 프로세스에서는 증착 속도가 현저히 감소되는 기간들이 있는 반면, 본 발명에서 제공되는 프로세스는 이러한 기간이 나타나지 않는다는 것을 나타낸다. 도 8은 플라즈마 처리들을 포함하지 않는(도 8에서 증착만) 유사한 프로세스에서는 플라즈마 존재시(도 8의 플라즈마 소크(soak) 시간) 챔버 속으로 실리콘-함유 전구체(OMCTS)를 유입하는 시간 주기 당 증착되는 두께는 본 발명의 실시예에 따라 플라즈마 처리들을 포함하는 프로세스에서 플라즈마의 존재시 챔버로 실리콘-함유 전구체를 유입하는 시간 주기 당 증착되는 두께 보다 작다는 것을 나타낸다. 주목할 것은 원자층 증착(ALD) 프로세스들에 대한 증착 속도보다 대략 10-배 높은 증착 속도들이 본 발명의 실시예에 따른 프로세스들을 이용하여 달성된다는 것이다. 또한, 주목할 것은 본 발명에 개시된 프로세스들은 PRODUCER PECVDTM 챔버들과 같은 종래의 화학적 기상 증착 챔버들에서 수행될 수 있다는 것이다.
산소 플라즈마 처리는 증착된 층에 유지되는 메틸기들(-CH3)을 제거함으로써 증착 속도를 개선시키는 것으로 여겨지며, 이는 증착된 층에서 메틸기들의 존재는 추가 증착을 방해할 수 있는 것으로 밝혀졌기 때문이다. 산소 플라즈마 처리는 증착된 층에 다수의 메틸기들이 존재하지 않는 경우 적어도 일부를 실리콘-함유 선구체의 또 다른 층의 부착을 위한 핵형성 사이트들로 작용할 수 있는 수산기들(-OH)로 대체시킨다. 도 9는 OMCTS로부터 증착된 층상에서의 산소 플라즈마 처리 효과를 예시적으로 나타낸다. 간단성을 위해, 층의 단지 하나의 OMCTS 분자만이 도시 된다. 도 9의 단계(902)에서, OMCTS는 플라즈마 및 Si-OH 결합을 포함하는 기판의 존재시 반응한다. 플라즈마는 OMCTS 라디칼을 형성한다. OMCTS 라디칼은 단계(904)에 도시된 것처럼, 기판의 수산기와 부착된다. 단계(906)에서, 산소 가스를 이용한 산소 플라즈마 처리는 증착된 OMCTS 층의 메틸기들의 적어도 일부를 수산기들로 대체한다.
앞서 개시된 RF 전력 레벨들, 공간, 압력 및 유량 비율들을 이용하여, 자체-포화(self-saturating) 전구체가 층을 증착하기 위한 전구체로 이용될 때, 단지 약 3Å 내지 약 25Å 사이의 두께를 갖는 얇고 균일한 유전체층이 신뢰성있게 증착될 수 있다는 것을 발견했다. 단일의 300mm 기판내에서 1Å 두께 범위의 층은 본 발명에 제공되는 조건들을 사용하여 얻어진다. 본 발명에 개시된, "자체-포화 전구체"는 기판상에 하나의 얇은층, 예를 들어, 전구체의 하나의 분자층을 증착하는 전구체이다. 얇은 유전체층의 존재는 얇은층을 증착하기 위해 사용되는 프로세싱 조건들하에 전구체로부터 유전체 물질의 추가 층들이 추가적으로 증착되는 것을 방지한다. OMCTS는 바람직한 자체-포화 전구체로, 층의 자체-포화 증착을 산출하는 다수의 메틸기들을 포함한다. 다른 말로, OMCTS로부터 컨포멀한 제 1 층이 증착될 수 있으며, 이는 하부에 놓인 기판의 표면이 OMCTS 분자들로 커버되자 마자, 증착된 층의 표면에서 Si-CH3 결합들의 존재로 메틸기들중 일부가 앞서 개시된 산소 플라즈마 처리에 의해 제거될 때까지 추가의 증착을 실질적으로 차단하기 때문이다. 따라서, OMCTS의 각각의 분자층의 증착이 고도로 제어될 수 있어, 최종 층의 스텝 커버리지가 강화될 수 있다.
주사 전자 현미경 이미지들로 도 6-9에 따른 프로세스들은 종래의 플라즈마 강화 화학적 기상 증착 프로세스들을 이용하여 증착된 층들을 기준으로 개선된 스텝 커버리지 및 감소된 패턴 로딩을 제공한다는 것이 확인된다.
본 발명의 실시예에 따라 증착된 산화물층의 증착은 피쳐들의 고밀도(조밀한 구역) 및 피쳐들의 낮은 밀도(절연된 구역)를 가지는 영역들을 갖춘 패터닝된 기판에서 피쳐들의 측면, 바닥부 및 상부에서 측정된다. 75%의 측벽/상부 커버리지가 조밀한 구역에서 달성되며, 80%의 측벽/상부 커버리지가 절연된 구역에서 달성된다. 85%의 바닥부/상부 커버리지는 조밀한 구역에서 달성되며, 95%의 바닥부/상부 커버리지는 절연된 구역에서 달성된다. 0% 패턴 로딩 효과(PLE)는 피쳐들의 상부에서 관찰되며, 단지 10% PLE만이 피쳐들의 측벽 및 바닥부에서 관찰된다. 일 실시예에서, 산화물층은 3.5의 종횡비를 갖는 피쳐에서 420Å의 상부 두께로 증착된다. 측벽에서 층의 두께는 275Å이며, 바닥부에서 두께는 345Å이다. 따라서, 측벽/상부 스텝 커버리지는 66%이며, 바닥부/상부 스텝 커버리지는 83%이며, 측벽/바닥부 스텝 커버리지는 80%이다. 또 다른 예에서, 낮은 유전상수 탄소-도핑 산화물막은 3.5의 종횡비를 갖는 피쳐에서 340Å의 상부 두께로 증착된다. 측벽 상에서의 층 두께는 125Å이며, 바닥부 상에서의 두께는 210Å이다. 따라서, 측벽/상부 스텝 커버리지는 35%이며, 바닥부/상부 스텝 커버리지는 60%이며, 측벽/바닥부 스텝 커버리지는 58%이다.
실시예들 및 상기 결과들은 실리콘 산화물 또는 탄소-도핑 실리콘 산화물막 들을 증착하기 위해 주로 실리콘-함유 전구체로서 OMCTS를 이용하는 것과 관련하여 설명되었지만, 다른 실리콘-함유 전구체들이 이용될 수도 있다. Si-O 또는 Si-N 주쇄(backbone) 및 실리콘 원자들에 부착된 하나 이상의 알킬기들을 포함하는 다른 실리콘-함유 전구체들이 사용될 수도 있다. 부가적으로, 또 다른 막들을 형성하기 위해 다른 플라즈마 처리들이 이용될 수 있다. 예를 들어, 실리콘-함유 전구체는 도 10 및 도 11을 기준으로 하기에 개시되는 바와 같이, 컨포멀한 SiN층을 제공하도록 질소 플라즈마 처리되는 층을 증착하는데 이용될 수 있다.
도 10은 증착 프로세스(1000)의 실시예에 대한 흐름도이다. 시작 단계(610), 두께 결정 단계(650), 반복 단계(655), 및 종료 단계(660)는 도 6을 참조로 앞서 설명되었다. 증착 단계(1010) 동안, 실리콘-함유 전구체가 챔버에 주입된다. 실리콘-함유 전구체는 옥타메틸시클로테트라실록산(OMCTS), 메틸디에톡시실란(MDEOS), 비스(3차-부틸아미노) 실란(BTBAS), 트리메틸아미노실란(TriDMAS), 트리스메틸아미노실란(TrisDMAS), 실란, 디실란, 디클로로실란, 트리클로로실란, 디브롬실란, 실리콘 테트라클로라이드, 실리콘 테트라브로마이드, 또는 이들의 조합물을 포함할 수 있다. 실란이 증착 프로세스(1000)에 대한 바람직한 전구체이다. 증착 단계(1010)는 약 2 내지 약 5초 동안 수행될 수 있다. 다음, 단계(1020) 동안, 챔버를 정화시키기 위해 챔버에 질소가 주입된다. 다음, 단계(1030) 동안 챔버에 플라즈마를 제공하기 위해 암모니아가 이용된다. 다음 단계(1040) 동안, 또 다른 질소 정화가 수행된다. 단계들(1010-650)의 하나의 주기에 대한 시간은 주기당 약 60초이며 증착 속도는 주기당 약 2Å이다. 프로세스(1000)는 정화 효율에 의해 제어되는, 즉 암모니아 플라즈마 이전에 정화가 얼마나 효율적으로 실리콘-함유 전구체를 제거하는지에 의해 컨포멀한 커버리지를 제공한다.
도 11은 증착 프로세스(1100)의 또 다른 실시예에 대한 흐름도이다. 시작 단계(610), 두께 결정 단계(650), 반복 단계(655), 및 종료 단계(660)는 앞서 개시되었다. 증착 단계(1110) 동안, 실리콘-함유 전구체가 챔버에 주입된다. 실리콘-함유 전구체 단계(1110) 이후, 선택적인 질소 정화 단계(미도시)가 수행될 수 있다. 다음, 플라즈마 단계(1120) 동안, 챔버에 질소-함유 전구체 플라즈마가 주입된다. 질소 함유 전구체는 질소, 암모니아, 또는 아산화 질소를 포함할 수 있다. 암모니아가 바람직한 질소-함유 전구체이다. 단계들(1110-650)의 하나의 주기에 대한 시간은 증차 프로세스(1100)에 대해 약 30초이다. 증착 속도는 주기 당 약 3.5Å이다.
본 발명의 실시예들에 따라 제공되는 컨포멀한 층들은 반도체 소자들의 상이한 층들로서 이용될 수 있다. 예를 들어, 증착된 층들이 사용되고 순차적으로 에칭되어 트랜지스터의 게이트 스택 부근에 스페이서를 형성하거나 또는 배리어층들로서 이용될 수 있다.
앞서 개시되 프로세스의 장점들은 개선된 스텝 커버리지 및 감소된 패턴 로딩 효과를 갖는 막을 산출한다는 것이다. 프로세스 주기들은 동일한 챔버에서 수행되어 다중 챔버를 요구하는 프로세스들보다 적은 프로세싱 시간이 요구될 수 있다. 모든 열적 예산 및 개별 기판 프로세스 온도는 플라즈마를 사용하지 않는 프로세스 보다 낮다.
지금까지 본 발명의 실시예들에 대해 개시되었지만, 하기 특허청구항들에 의해 결정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 본 발명의 다른 추가의 실시예들이 고안될 수 있다.

Claims (20)

  1. 챔버에서 패터닝된 기판 상에 층을 형성하는 방법으로서,
    패터닝된 기판 상에 층을 증착하기 위해, 플라즈마의 존재하에 상기 패터닝된 기판을 실리콘-함유 전구체에 노출하는 단계;
    층이 증착된 후에, 산소-함유 가스로부터의 플라즈마를 이용하여 상기 층을 처리하는 단계; 및
    원하는 두께의 층이 얻어질 때까지, 상기 노출하는 단계와 상기 처리하는 단계를 반복하는 단계
    를 포함하는, 층 형성 방법.
  2. 제 1 항에 있어서,
    상기 층은 실리콘 산화물층 또는 탄소-도핑 실리콘 산화물층인 것을 특징으로 하는 층 형성 방법.
  3. 제 1 항에 있어서,
    상기 실리콘-함유 전구체는 실리콘과 결합되는 하나 이상의 알킬기들을 포함하는 것을 특징으로 하는 층 형성 방법.
  4. 제 1 항에 있어서,
    상기 산소-함유 가스는 산소 가스, 아산화 질소, 또는 이들의 조합물을 포함하는 것을 특징으로 하는 층 형성 방법.
  5. 제 1 항에 있어서,
    상기 실리콘-함유 전구체는 OMCTS를 포함하며 상기 산소-함유 가스는 산소 가스를 포함하는 것을 특징으로 하는 층 형성 방법.
  6. 제 1 항에 있어서,
    상기 산소-함유 가스로부터의 플라즈마를 이용하여 상기 층을 처리하는 단계는 상기 증착된 층으로부터 메틸기들을 제거하는 단계를 포함하는 것을 특징으로 하는 층 형성 방법.
  7. 제 6 항에 있어서,
    상기 산소-함유 가스로부터의 플라즈마를 이용하여 상기 층을 처리하는 단계는 상기 증착된 층에 수산기들을 부가하는 단계를 더 포함하는 것을 특징으로 하는 층 형성 방법.
  8. 제 1 항에 있어서,
    상기 층의 원하는 두께가 얻어진 후에 게이트 스택 부근에 스페이서가 형성되도록 상기 층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 층 형성 방법.
  9. 챔버에서 패터닝된 기판 상에 층을 형성하는 방법으로서,
    패터닝된 기판 상에 층을 증착하기 위해, 플라즈마의 존재하에 상기 패터닝된 기판을 실리콘-함유 전구체에 노출하는 단계;
    층이 증착된 후에, 질소-함유 가스로부터의 플라즈마를 이용하여 상기 층을 처리하는 단계; 및
    원하는 두께의 층이 얻어질 때까지, 상기 노출하는 단계와 상기 처리하는 단계를 반복하는 단계
    를 포함하는, 층 형성 방법.
  10. 제 9 항에 있어서,
    상기 실리콘-함유 전구체는 Si-N 주쇄(backbone) 및 실리콘과 결합된 하나 이상의 알킬기들을 포함하는 것을 특징으로 하는 층 형성 방법.
  11. 제 9 항에 있어서,
    상기 실리콘-함유 전구체는 옥타메틸시클로테트라실록산, 메틸디에톡시실란, 비스(3차-부틸아미노) 실란, 트리메틸아미노실란, 트리스메틸아미노실란, 실란, 디실란, 디클로로실란, 트리클로로실란, 디브롬실란, 실리콘 테트라클로라이드, 및 실리콘 테트라브로마이드로 이루어진 그룹에서 선택되는 것을 특징으로 하는 층 형성 방법.
  12. 제 9 항에 있어서,
    상기 층은 실리콘 및 질소를 포함하는 것을 특징으로 하는 층 형성 방법.
  13. 기판상에 있는 층의 스텝 커버리지 및 패턴 로딩을 제어하는 방법으로서,
    표면 양단에 적어도 하나의 피쳐가 형성된 기판을 챔버에 배치하는 단계;
    상기 기판상에 유전체층을 증착하는 단계; 및
    형성된 적어도 하나의 피쳐상에서 상기 유전체층의 원하는 프로파일이 제공되도록, 불소, 염소, 브롬, 및 이들의 조합물로 이루어진 그룹에서 선택된 산소 또는 할로겐-함유 가스로부터의 플라즈마로 상기 유전체층을 에칭하는 단계
    를 포함하는, 스텝 커버리지 및 패턴 로딩 제어 방법.
  14. 제 13 항에 있어서,
    상기 유전체층을 증착하는 단계 및 상기 플라즈마로 유전체층을 에칭하는 단계는 동일한 챔버에서 또는 이송 챔버에 의해 접속되는 개별 챔버들에서 수행되는 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
  15. 제 13 항에 있어서,
    상기 피쳐는 상부 표면, 측벽 표면, 및 바닥부 표면을 포함하며, 상기 유전체층은 상기 바닥부 표면과 상기 측벽 표면상에서 보다는 상기 상부 표면상에서 더 큰 두께로 증착되며, 상기 유전체층은 상기 바닥부 표면과 상기 측면 표면상에서 보다는 상기 상부 표면상에서 더 높은 에칭률로 에칭되는 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
  16. 제 15 항에 있어서,
    상기 상부 표면상에서 유전체층의 에칭률은 상기 측벽 표면 또는 상기 바닥부 표면상에서의 유전체층의 에칭률 보다 적어도 10% 높은 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
  17. 제 15 항에 있어서,
    형성된 적어도 하나의 피쳐상에서 상기 유전체층의 원하는 프로파일이 제공되도록, 상기 유전체층을 증착하는 단계 및 상기 플라즈마로 유전체층을 에칭하는 단계를 반복하는 단계를 더 포함하는 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
  18. 제 15 항에 있어서,
    상기 유전체층은 실리콘 질화물층이며 상기 플라즈마는 NF3 플라즈마인 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
  19. 제 13 항에 있어서,
    상기 플라즈마는 상기 챔버의 RF 전력 또는 원격 플라즈마 소스에 의해 발생되는 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
  20. 제 19 항에 있어서,
    상기 플라즈마는 RF 전력에 의해 발생디며 상기 RF 전력은 약 100kHz 내지 약 1MHz 사이의 단일 주파수, 약 1MHz 내지 약 13.56MHz 사이의 단일 주파수, 또는 약 100kHz 내지 약 1MHz 사이의 제 1 주파수와 약 1MHz 내지 약 13.56MHz 사이의 제 2 주파수를 포함하는 것을 특징으로 하는 스텝 커버리지 및 패턴 로딩 제어 방법.
KR1020087026336A 2006-03-31 2007-03-30 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법 KR20080106984A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US78827906P 2006-03-31 2006-03-31
US60/788,279 2006-03-31
US79025406P 2006-04-07 2006-04-07
US60/790,254 2006-04-07
US11/668,911 2007-01-30
US11/668,911 US7601651B2 (en) 2006-03-31 2007-01-30 Method to improve the step coverage and pattern loading for dielectric films
US11/693,005 US7780865B2 (en) 2006-03-31 2007-03-29 Method to improve the step coverage and pattern loading for dielectric films
US11/693,005 2007-03-29

Publications (1)

Publication Number Publication Date
KR20080106984A true KR20080106984A (ko) 2008-12-09

Family

ID=38581763

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026336A KR20080106984A (ko) 2006-03-31 2007-03-30 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법

Country Status (4)

Country Link
KR (1) KR20080106984A (ko)
CN (1) CN101416293B (ko)
TW (2) TW201415551A (ko)
WO (1) WO2007118026A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140140132A (ko) * 2011-08-18 2014-12-08 어플라이드 머티어리얼스, 인코포레이티드 실리콘-및-질소-함유 필름들에 대한 건식-식각
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
KR20180030237A (ko) * 2010-03-31 2018-03-21 램 리써치 코포레이션 실리콘 식각을 위한 무기의 급속 교번 프로세스
KR20190022394A (ko) * 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10373821B2 (en) 2014-12-08 2019-08-06 Jusung Engineering Co., Ltd. Substrate processing method
KR20200034878A (ko) * 2018-09-21 2020-04-01 주식회사 원익아이피에스 SiCN막의 형성 방법

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN102820220A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
CN102832119B (zh) * 2012-07-03 2015-12-16 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
CN102768955A (zh) * 2012-07-03 2012-11-07 上海华力微电子有限公司 一种形成低负载效应薄膜的方法
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140131308A1 (en) * 2012-11-14 2014-05-15 Roman Gouk Pattern fortification for hdd bit patterned media pattern transfer
CN103390703B (zh) * 2013-08-05 2016-08-17 聚灿光电科技股份有限公司 低损伤、高致密性膜的制备方法以及具有该膜的led芯片
CN104752315B (zh) * 2013-12-25 2018-03-06 旺宏电子股份有限公司 半导体元件及其制造方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN105322013B (zh) * 2014-07-17 2020-04-07 联华电子股份有限公司 半导体元件及其形成方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9508976B2 (en) 2015-01-09 2016-11-29 Applied Materials, Inc. Battery separator with dielectric coating
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20230149342A (ko) * 2015-06-05 2023-10-26 어플라이드 머티어리얼스, 인코포레이티드 유전체 코팅을 갖는 배터리 분리기
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10910216B2 (en) * 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
CN112204706B (zh) * 2018-06-19 2022-02-25 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法
TW202229613A (zh) * 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641710A (en) * 1996-06-10 1997-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch back anneal, to improve aluminum step coverage
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
TW460408B (en) * 1999-04-20 2001-10-21 Applied Materials Inc Remote plasma nitridation of silicon
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
TW447077B (en) * 2000-07-17 2001-07-21 Taiwan Semiconductor Mfg Method for improving the characteristics of dielectric layer with a low dielectric constant formed by chemical vapor deposition
TW563202B (en) * 2000-10-25 2003-11-21 Ibm An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
TW497140B (en) * 2001-10-09 2002-08-01 Taiwan Semiconductor Mfg Process system for plasma etching and chemical vapor deposition
JP2005510082A (ja) * 2001-11-16 2005-04-14 トリコン ホールディングス リミティド 低k誘電層の形成
US6800566B2 (en) * 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6858542B2 (en) * 2003-01-17 2005-02-22 Freescale Semiconductor, Inc. Semiconductor fabrication method for making small features
US7037855B2 (en) * 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180030237A (ko) * 2010-03-31 2018-03-21 램 리써치 코포레이션 실리콘 식각을 위한 무기의 급속 교번 프로세스
KR20140140132A (ko) * 2011-08-18 2014-12-08 어플라이드 머티어리얼스, 인코포레이티드 실리콘-및-질소-함유 필름들에 대한 건식-식각
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
US10373821B2 (en) 2014-12-08 2019-08-06 Jusung Engineering Co., Ltd. Substrate processing method
KR20190022394A (ko) * 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US11735423B2 (en) 2017-08-25 2023-08-22 Tokyo Electron Limited Workpiece processing method
KR20200034878A (ko) * 2018-09-21 2020-04-01 주식회사 원익아이피에스 SiCN막의 형성 방법

Also Published As

Publication number Publication date
WO2007118026A3 (en) 2008-01-10
CN101416293B (zh) 2011-04-20
CN101416293A (zh) 2009-04-22
TW200816310A (en) 2008-04-01
WO2007118026A2 (en) 2007-10-18
TWI424498B (zh) 2014-01-21
TW201415551A (zh) 2014-04-16

Similar Documents

Publication Publication Date Title
KR20080106984A (ko) 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
TWI787492B (zh) 使用矽氫鹵化物前驅物沉積SiN的方法
US7601651B2 (en) Method to improve the step coverage and pattern loading for dielectric films
JP7062817B2 (ja) SiNの堆積
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
JP6856651B2 (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US8563090B2 (en) Boron film interface engineering
US9611544B2 (en) Plasma activated conformal dielectric film deposition
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
KR102084901B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US7148155B1 (en) Sequential deposition/anneal film densification method
JP4439860B2 (ja) 半導体基板上への成膜方法
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
KR20080092448A (ko) 유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
TW202218048A (zh) 減少半導體裝置中的層內電容
CN112204706A (zh) 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
KR20230093782A (ko) 반도체 소자의 갭필 방법
TW202328486A (zh) 薄膜沉積方法及系統以及根據此方法形成的結構

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20110525

Effective date: 20120417