TW201411721A - 用於流動性膜之經改良的緻密化作用 - Google Patents

用於流動性膜之經改良的緻密化作用 Download PDF

Info

Publication number
TW201411721A
TW201411721A TW102114216A TW102114216A TW201411721A TW 201411721 A TW201411721 A TW 201411721A TW 102114216 A TW102114216 A TW 102114216A TW 102114216 A TW102114216 A TW 102114216A TW 201411721 A TW201411721 A TW 201411721A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
plasma
substrate
layer
gas
Prior art date
Application number
TW102114216A
Other languages
English (en)
Inventor
Jing-Mei Liang
Suk-Won Hong
Jun-Tae Choi
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201411721A publication Critical patent/TW201411721A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

茲描述形成介電層的方法。該方法首先在基板上沉積初始流動性層,然後藉由將基板曝露於高密度電漿(HDP)而使該初始流動性層緻密化。在實施例中,基本上沒有額外的材料被沉積在初始流動性層上,但加速的離子物種之撞擊有助於壓縮該層並提高經處理的層之蝕刻耐受性。

Description

用於流動性膜之經改良的緻密化作用 【相關申請案的交叉引用】
本專利申請案主張於2012年4月30日提出申請的美國臨時專利申請案序號第61/640,514號且標題為「用於流動性膜之經改良的緻密化作用(IMPROVED DENSIFICATION FOR FLOWABLE FILMS)」的優先權權益,為了所有的目的將該申請案之整體內容併入本文中。
本發明係關於用於流動性膜之經改良的緻密化作用。
自從幾十年前推出以來,半導體裝置的幾何形狀尺寸已經戲劇性地減小。現代的半導體製造設備例行地生產具有32奈米(nm)、28 nm和22 nm特徵尺寸的裝置,並且新的設備也正在發展和實施,以製作幾何形狀甚至更小的裝置。減小的特徵尺寸在空間維度減少的裝置上形成結構特徵。裝置上的縫隙和溝槽之寬度窄化到縫隙深度對縫隙寬度的深寬比變得足夠高、而使得將介電材料填充於縫隙中具有挑戰性的點。沉積的介電材料在縫隙完全填滿之前易於堵塞縫隙頂部,而在縫隙的中間產生孔隙或縫。
多年來已經開發出許多技術來避免介電材料堵塞縫隙的頂部或「治癒」已經形成的孔隙或縫。一種方法向來是以高流動性的前驅物材料開始,該前驅物材料可以被以液相施加到旋轉的基板表面上(例如旋塗式玻璃(SOG)沉積技術)。這些流動性前驅物可以流入並填滿非常小的基板縫隙而沒有形成孔隙或弱縫。然而,一旦沉積了這些高流動性的材料,則必須使該材料硬化成固體的介電材料。
在許多情況下,硬化包括熱處理,以從沉積的材料去除某些成分,而留下固體介電質,例如氧化矽。這些成分中有一些是使最初沉積的膜為流動性所必要。離開的成分提高硬化介電質的密度,通常理想的是提高硬化膜的耐蝕刻性。硬化的介電質有體積收縮的傾向,而這會在介電質和周圍基板的界面留下裂縫和空間。
旋塗式介電質(SOD)也已經被用來流入圖案化基板上的特徵中。材料通常會從含有矽、氮及氫的矽氮烷型層轉變成氧化矽。含有矽、氮及氫的層通常會在高溫的含氧環境中被轉變成氧化矽。來自環境中的氧取代了氮和氫而形成氧化矽層。高溫曝露於氧環境中會損毀某些電路架構的底層。這個考量造成在製造製程流程的過程中需要保持在「熱預算」內。熱預算的考量大大地限制了SOD在結合氮化矽底層的製程流程中的應用,該氮化矽底層可以保護下面的特徵免於氧化(例如DRAM應用)。已經發展出替代的方法,該替代的方法藉由自由基成分化學氣相沉積(CVD)來沉積含矽氮烷層。自由基成分CVD可以藉由激發一種前驅物,並將該 激發的前驅物在無電漿的基板處理區域中與未激發的含矽前驅物結合,而形成流動性層。
這些膜中每一個膜的流動性可能是來自於與其他流動性膜不同的化學成分,但是將膜緻密化幾乎是所有的流動性沉積技術套件一致需要的。因此,對於各式各樣可想到的流動性膜,不管是正在開發中的和當前可用的,所述流動性膜的緻密化皆需要有新的後處理技術。本申請案中解決了此需求以及其他的需求。
茲描述形成介電層的方法。該方法首先在基板上沉積初始流動性層。在形成介電層的操作過程中,該介電層係可流動的。然後藉由將基板曝露於高密度電漿(HDP)而使該初始流動性層緻密化。在實施例中,基本上沒有額外的材料被沉積在初始流動性層上,但加速的離子物種之撞擊有助於壓縮該層並提高經處理的層之蝕刻耐受性。
本發明之實施例包括在基板上形成介電層的方法,該方法包括以下的連續步驟:(1)在該基板上形成介電層,以及(2)藉由使該介電層曝露於高密度電漿而處理該介電層。步驟(2)提高該介電層之密度。
在以下的部分描述中提出另外的實施例與特徵,而且對於本技術領域中具有通常知識者而言,在檢視本說明書之後,部分的該等實施例與特徵將變得顯而易見,或者是可藉由實施本發明而學習部分的該等實施例與特徵。藉由說明書中描述的手段、組合以及方法可實現及獲得本發明之特徵 與優點。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧操作
200‧‧‧系統
202‧‧‧FOUP
204‧‧‧機器人手臂
206‧‧‧低壓保持區域
208a‧‧‧基板處理室
208b‧‧‧基板處理室
208c‧‧‧基板處理室
208d‧‧‧基板處理室
208e‧‧‧基板處理室
208f‧‧‧基板處理室
210‧‧‧第二機器人手臂
310‧‧‧HDP-CVD系統
313‧‧‧腔室
314‧‧‧圓頂
316‧‧‧電漿處理區域
317‧‧‧基板
318‧‧‧基板支撐構件
319‧‧‧基板接收部分
320‧‧‧靜電吸盤
321‧‧‧基部
322‧‧‧主體構件
323‧‧‧加熱板
324‧‧‧冷卻板
325‧‧‧節流閥主體
326‧‧‧節流閥
327‧‧‧閘閥
328‧‧‧渦輪分子泵
329‧‧‧頂線圈
330‧‧‧側線圈
331A‧‧‧射頻產生器
331B‧‧‧射頻產生器
331C‧‧‧射頻產生器
332A‧‧‧匹配網絡
332B‧‧‧匹配網絡
332C‧‧‧匹配網絡
333‧‧‧氣體分配系統
334A‧‧‧氣源
334B‧‧‧氣源
334C‧‧‧氣源
334D‧‧‧氣源
334D’‧‧‧氣源
334E‧‧‧氣源
335A‧‧‧氣流控制器
335A’‧‧‧氣流控制器
335B‧‧‧氣流控制器
335B’‧‧‧氣流控制器
335C‧‧‧氣流控制器
335D‧‧‧氣流控制器
335D’‧‧‧氣流控制器
335E‧‧‧氣流控制器
336‧‧‧環氣室
337‧‧‧氣環
338‧‧‧輸送管線
338A‧‧‧輸送管線
339‧‧‧源氣體噴嘴
340‧‧‧氧化劑氣體噴嘴
341‧‧‧主體氣室
343A‧‧‧閥
343B‧‧‧閥
344‧‧‧前級真空管線
345‧‧‧頂噴嘴
346‧‧‧頂排氣口
348‧‧‧頂氣室
350‧‧‧遠端電漿清洗系統
351‧‧‧遠端微波產生器
353‧‧‧反應器腔體
354‧‧‧進料口
355‧‧‧施加管
356‧‧‧處理位置
357‧‧‧上裝載位置
361‧‧‧擋板
362‧‧‧中央通道
370‧‧‧真空系統
380A‧‧‧源電漿系統
380B‧‧‧基板偏壓電漿系統
400‧‧‧基板處理室
410‧‧‧遠端電漿系統
411‧‧‧氣體入口組件
412‧‧‧第一通道
413‧‧‧第二通道
420‧‧‧腔室電漿區域
421‧‧‧蓋體
424‧‧‧絕緣環
426‧‧‧長度
450‧‧‧通孔的最小直徑
451‧‧‧中空容積
453‧‧‧噴灑頭
455‧‧‧小孔
456‧‧‧通孔
470‧‧‧基板處理區域
藉由參照本說明書的剩餘部分及圖式可以實現對本發明的本質與優點之進一步瞭解,其中貫穿該等圖示使用相同的參照符號來指稱類似的元件。在某些情況中,次標號係與參照符號相關,並跟隨在連字號之後來表示多個類似元件中一者。當提及某一參照符號而未詳述存在的次標號時,則意圖指稱所有這樣的多個類似元件。
第1圖為圖示依據本發明之實施例製作介電層的選定步驟之流程圖。
第2圖圖示依據本發明之實施例的基板處理系統。
第3A圖圖示依據本發明之實施例的膜緻密化腔室。
第3B圖圖示依據本發明之實施例的氣環之簡化剖面圖。
第4A圖圖示依據本發明之實施例的流動性膜沉積室。
第4B圖圖示依據本發明之實施例的氣體導入噴灑頭。
茲描述形成介電層的方法。該方法首先在基板上沉積初始流動性層,然後藉由將基板曝露於高密度電漿(HDP)而使該初始流動性層緻密化。在實施例中,基本上沒有額外的材料被沉積在初始流動性層上,但加速的離子物種之撞擊有助於壓縮該層並提高經處理的層之蝕刻耐受性。
以高密度電漿後處理初始流動性介電層已經被發現可以戲劇性地緻密化介電層並降低經處理的介電層之濕蝕刻速度。可以藉由例如旋塗式玻璃(SOG)、旋塗式介電質(SOD)、eHARP製程(水-正矽酸乙酯-臭氧(H2O-TEOS-O3))、次大氣壓化學氣相沉積(SACVD)或流動性CVD製程(例如自由基成分CVD)等製程來沉積流動性層。流動性膜與非流動性膜相比可以具有降低的密度和提高的蝕刻速度。已發現本文所述的高密度電漿處理能夠使濕蝕刻速度比顯著降低,例如從3-5到遠低於3。
本文所使用的高密度電漿製程係採用電漿離子密度在1011離子/cm3或更高等級的電漿CVD製程。高密度電漿也可以具有等級在10-4或更高的離子化部分(離子/中性比)。典型的HDP-CVD製程係為溝槽幾何形狀的間隙填充而設計發展。在間隙填充的製程中,使用基板偏壓的射頻(RF)功率來加速離子接近基板,而產生範圍狹窄的接近軌道。此與濺射活性相結合的窄化允許在生長的通孔頂角連接在一起形成並保留孔隙之前將縫隙填滿。因此,沉積濺射比(D:S)經常被用來表徵HDP-CVD。然而,本發明係致力於沉積極少或無額外的材料,而非致力於壓縮已經存在基板上的材料。D:S比的傳統定義是: 沉積濺射比隨著沉積增加而增加並隨著濺射增加而降低。如 沉積濺射比的定義中所使用的,「淨沉積速率」係指當沉積和濺射同時發生時測得的沉積速率。「毯覆濺射速率」為當進行製程製作方法而沒有沉積氣體時(留下例如氮和流出物)測得的濺射速率。剩餘氣體的流動速率增加,並將剩餘氣體之間的比率保持固定,以達到在正常處理過程中製程腔室內存在的壓力。無或基本上無沉積氣體使用於本發明之實施例中,所以D:S比值基本上可以等於一。用來量化傳統HDP製程的另一種傳統量稱為「蝕刻沉積比」。蝕刻沉積比的定義包含在該量之分母的「源-唯一的沉積速率」。此量存在於或接近本發明之罕見點。因此,將不會在本申請案中廣泛地提及這兩種標準比率,並且在本發明的實施例中也可以使用本文所述的HDP緻密化製程,且可施加或不施加基板偏壓功率。
本文所描述的實例將著重於自由基成分CVD矽氮烷膜(即含矽氮和氫的層)的沉積以及隨後的高密度電漿處理,已發現隨後的高密度電漿處理可以減少產生的膜之蝕刻速度。已發現在無高密度電漿處理下,由自由基成分CVD及之後的臭氧固化和氧退火或水處理所形成的氧化矽膜之蝕刻速度會比熱氧化物層快三到五倍。本文所教示的高密度電漿處理方法也可以具有以實用自由基成分CVD碳為基礎的膜、旋塗式玻璃(SOG)、旋塗式介電質(SOD)以及其他流動式沉積的介電質。在實施例中,該等膜可以包括矽、氫及氮。在本發明的實施例中,該等膜可以包括矽、碳、氧、氫以及氮。現在將描述有關形成氧化矽覆蓋層的方法和系統的其他細節。
示例性介電質堆疊製程
第1圖為圖示依據本發明之實施例製作介電層堆疊的方法100中選定的步驟之流程圖。方法100包括提供無碳的含矽前驅物到基板處理區域(步驟102)。在實施例中,該無碳的含矽前驅物並未通過電漿激發,所以該前驅物行進到基板處理區域中並保持原始狀態。然後僅藉由自由基前驅物提供激發,將於稍後描述。該無碳的含矽前驅物可以是例如含矽和氮的前驅物、含矽和氫的前驅物或含矽氮和氫的前驅物,還有其他種類的矽前驅物。碳的缺少降低了沉積層的收縮率。在本發明的一些實施例中,除了無碳之外,含矽前驅物還可以是無氧的。氧的缺乏造成在由該等前驅物形成的含矽和氮層中有較低的矽烷醇(Si-OH)基團濃度。在沉積層中過量的矽烷醇部分會導致孔隙度和收縮率在後沉積步驟過程中提高,該等後沉積步驟係從所沉積的層中去除羥基(-OH)部分。
無碳的矽前驅物之具體實例可以包括矽基胺,例如H2N(SiH3)、HN(SiH3)2以及N(SiH3)3,還有其他的矽基胺。在不同的實施例中,矽基胺的流動速率可以大於或約為200 sccm、大於或約為300 sccm或大於或約為500 sccm。這裡所給出的所有流動速率係指雙腔室的基板處理系統。單片式系統將需要這些流動速率的一半,並且其他的晶圓形狀/大小將需要藉由處理區域比例縮放的流動速率。這些矽基胺可以與另外的氣體混合,該另外的氣體可以作為載體氣體、反應性氣體或兩者。另外的氣體可以包括氫氣(H2)、氮氣(N2)、 氨氣(NH3)、氦氣(He)、氖氣(Ne)及/或氬氣(Ar),還有其他的氣體。無碳的含矽前驅物之實例還可以包括矽烷(SiH4),無論是單獨的或與其他的含矽(例如N(SiH3)3)、含氫(例如H2)及/或含氮(例如N2、NH3)氣體混合。無碳的含矽前驅物還可以包括二矽烷、三矽烷、甚至更高級的矽烷以及氯化矽烷,無論是單獨的或與彼此或前述無碳的含矽前驅物組合使用。
還提供自由基前驅物到基板處理區域(步驟104)。自由基前驅物描述在基板處理區域外部的電漿激發中由任何穩定的物種(惰性或反應性的)產生的電漿流出物。該自由基前驅物可以是含氮的自由基前驅物,在本文中將被指稱為自由基氮前驅物。該自由基氮前驅物是在基板處理區域外部由更穩定的氮前驅物產生的含氮自由基前驅物。本文中可以將穩定的前驅物指稱為未激發的前驅物,以表示前驅物尚未通過電漿。含有NH3、聯胺(N2H4)及/或N2的穩定氮前驅物化合物可以在腔室電漿區域或其他在處理腔室外部的遠端電漿系統(RPS)中被活化,以形成自由基氮前驅物,然後將該自由基氮前驅物輸送到基板處理區域,以激發該含矽前驅物。穩定的氮前驅物成為自由基氮前驅物的活化涉及解離,該解離可以藉由熱解離、紫外光解離及/或電漿離解還有其他的方法來完成。電漿解離可能涉及在遠端電漿產生腔室中從氦氣、氬氣、氫氣(H2)、氙氣、氨氣(NH3)等打出電漿,並將穩定的氮前驅物導入電漿區域,以產生自由基氮前驅物。
在不同的實施例中,穩定的氮前驅物也可以是含有 NH3 & N2、NH3 & H2、NH3 & N2 & H2以及N2 & H2的混合物。也可以使用聯胺來取代NH3和涉及N2和H2的混合物或與NH3和涉及N2和H2的混合物組合。在不同的實施例中,穩定的氮前驅物之流動速率可以大於或約為300 sccm、大於或約為500 sccm或大於或約為700 sccm。在腔室電漿區域中產生的自由基氮前驅物可以是.N、.NH、.NH2等中之一或多者,並且還可以伴隨電漿中形成的離子化物種。在本發明的實施例中,也可以將氧源與遠端電漿中更穩定的氮前驅物組合。氧源的添加預先將氧加載於層中,同時降低了流動性。氧源可以包括氧氣(O2)、水(H2O)、臭氧(O3)、過氧化氫(H2O2)、一氧化二氮(N2O)、一氧化氮(NO)或二氧化氮(NO2)中之一或多者。
在採用腔室電漿區域的實施例中,該自由基氮前驅物係於基板處理區域的分區中產生,該基板處理區域係從沉積區域分割出,其中該等前驅物在該沉積區域中混合和反應,以在沉積基板(例如半導體晶圓)上沉積含矽和氮層。該自由基氮前驅物還可以伴隨有載體氣體,例如氫氣(H2)、氮氣(N2)、氦氣、氖氣、氬氣等。在無碳的含矽氮和氫層的生長過程中及隨後的製程過程中,本文可以將基板處理區域描述為「無電漿」的。「無電漿」並不一定意指該區域是沒有電漿的。在腔室電漿區域中,電漿的邊界是很難界定的,並且可能會經由噴灑頭中的孔侵入該基板處理區域。在感應耦合電漿的情況中,例如可能會在該基板處理區域內激起少量的離子化。一般來說,可以在該基板處理區域中形成低強度 的電漿,而不損害形成的層之流動性本質。在自由基氮前驅物的形成過程中,所有離子密度遠比遠端/腔室電漿區域低的電漿之起因皆未偏離本文所用的「無電漿」之範圍。
在該基板處理區域中,該無碳的矽前驅物和該自由基氮前驅物混合及反應,以在沉積基板上沉積含矽氮和氫層(步驟106)。在實施例中,所沉積的含矽氮和氫層具有不同於傳統矽氮化物(Si3N4)層沉積技術的流動性特性。在形成過程中的流動性本質允許該層於固化之前流入狹窄的特徵中。
在含矽氮和氫層中的氮可能源自於自由基前驅物或未激發的前驅物中之任一者(或兩者)。在一些實施例中,無碳的含矽前驅物基本上可以是無氮的。然而,在其他的實施例中,無碳的含矽前驅物和自由基氮前驅物兩者皆含有氮。在第三實施例套件中,自由基前驅物基本上可以是無氮的,而且用於無碳的含矽氮和氫層的氮可以藉由無碳的含矽前驅物供應。結果,本文中可以將自由基前驅物指稱為「自由基氮及/或氫前驅物」,表示該前驅物含有氮及/或氫。類似地,可以將流入電漿區域形成該自由基氮及/或氫前驅物的前驅物指稱為含氮及/或氫前驅物。這種命名法可應用於本文所揭示的每個實施例。在實施例中,該含氮及/或氫前驅物包含氫氣(H2),而該自由基氮及/或氫前驅物包含.H等。
回到第1圖中圖示的具體實例,含矽氮和氫層之流動性可能是由於將自由基氮前驅物與無碳的含矽前驅物混合所產生的各種性質。這些性質可以包括沉積層中有明顯量的 氫成分及/或存在短鏈聚矽氮烷聚合物。這些短鏈生長並網絡化,以在介電材料層形成過程中和之後形成更緻密的介電材料層。舉例來說,沉積的層可以具有矽氮烷型的Si-NH-Si主鏈(即無碳的Si-N-H層)。當含矽前驅物與自由基前驅物兩者皆無碳時,所沉積的含矽氮和氫層基本上也是無碳的。當然,「無碳的」並不一定意味著該層缺乏甚至是微量的碳。碳污染物也可能會存在前驅物材料中,該前驅物材料找到自己的方式進入所沉積的含矽和氮前驅物。然而,這些碳雜質的量係遠小於在具有碳部分的矽前驅物(例如正矽酸乙酯(TEOS)、四甲基二矽氧烷(TMDSO)等)中可以找到的碳量。
在本發明的實施例中,在製程的這個點時可以從該基板處理區域移除製程流出物。製程流出物可以包括任何未反應的含矽前驅物、未反應的自由基氮前驅物、惰性載體氣體以及來自層生長的反應產物。在所揭示的實施例中,可以藉由使惰性物種流入基板處理區域及/或經由排氣口排出而移出製程流出物。
然後在步驟108中將含矽和氮層進行固化及/或退火。固化階段可能涉及使氧化矽覆蓋層和無碳的含矽氮和氫層曝露於含氧氛圍中。在本發明的實施例中,該含氧氛圍可以包括臭氧。沉積基板可以停留在該基板處理區域中進行固化,或是將該基板轉移到其中導入含氧氛圍的不同腔室中。在不同的實施例中,基板的固化溫度可以小於或約為300℃、小於或約為250℃、小於或約為225℃或小於或約為200 ℃。在不同的實施例中,基板的溫度可以大於或約為室溫(25℃)、大於或約為50℃、大於或約為100℃、大於或約為125℃或大於或約為150℃。依據另外揭示的實施例,可以將任何的上限與任何的下限組合,而形成另外的基板溫度範圍。
固化操作將無碳的含矽氮和氫層改質成含矽和氧層。可以藉由在含氧環境中在相對較高的溫度將基板退火,以進一步轉化含矽和氧層。當導入含氧氛圍時,沉積基板可以停留在用於固化的相同基板處理區域,或是可以將基板轉移到其中導入含氧氛圍的不同腔室中。含氧氛圍可以包括一或多種含氧氣體,例如分子氧(O2)、臭氧(O3)、水蒸氣(H2O)、過氧化氫(H2O2)以及氮氧化物(NO、NO2等),還有其他的含氧氣體。該含氧氛圍也可以包括可能會在遠端產生再輸送到基板腔室的氧自由基和羥基物種,例如原子氧(O)、氫氧化物(OH)等。也可以存在含氧物種的離子。固化和退火操作之含氧氛圍提供氧來將含矽氮和氫層轉換成氧化矽(SiO2)層。在不同的實施例中,基板的氧退火溫度可以小於或約為1100℃、小於或約為1000℃、小於或約為900℃或小於或約為800℃。在不同的實施例中,基板的溫度可以大於或約為500℃、大於或約為600℃、大於或約為700℃或大於或約為800℃。再次地,依據另外揭示的實施例,可以將任何的上限與任何的下限組合,而形成另外的基板溫度範圍。
方法100還包括含矽和氧層之高密度電漿(HDP)處理(操作110)。HDP處理通常會需要與自由基成分CVD所使用的不同類型的腔室。可以將這兩種不同的腔室附接到 同一個基板處理系統的個別端口上,如稍後結合第2圖所討論的。較佳的是在實施例中,在自由基成分沉積與HDP處理之間不將基板曝露於空氣中。在本發明的實施例中,HDP處理可以發生在固化操作之後、在含氧環境中退火之後或固化退火程序之後。在HDP處理之前應將一種形式或另一種形式的某些氧提供給含矽氮和氫層,以起始成為含矽和氧層的轉變。在其他流動性膜的案例中,氧的導入可能不是所有的實施例都必須要的。在HDP處理過程中,離子化物種的撞擊造成經處理的層之緻密化。
在施加電漿功率來激發氣體的同時,可以將各種氣體導入HDP腔室中。在本發明的各種實施例中,高密度電漿可以由臭氧(O3)、氧氣(O2)、氨氣(NH3)、氮氧化物(NOx)、水(H2O)、氫氣(H2)、氬氣(Ar)、氮氣(N2)或氦氣(He)中之一或多者形成。離子密度和離子部分可以分別大於1011離子/cm3及大於10-4。沉積濺射比可以等於或接近一,如本文中所定義的數量。施加於高密度電漿區域的電漿功率將在下一節中更詳細地討論,但也可以是高於或約為1千瓦、高於或約為3千瓦、高於或約為5千瓦、高於或約為7.5千瓦或高於或約為10千瓦。在本發明的實施例中,這些電漿功率包括或排除相對於高密度電漿施加於偏壓基板的電漿功率。
高密度電漿處理通常將基板加熱到約400℃和約450℃之間。在基板和電漿之間使用偏壓可以進一步提高基板溫度。藉由在基板後面流動冷卻氣體或提供另一種基板冷卻源可以將基板溫度降低,以保持在熱預算內。在實施例中, HDP處理過程中的基板溫度可以小於或約為400℃、小於或約為350℃、小於或約為325℃或小於或約為300℃。
在HDP處理之前去除一些介電材料可以提高處理的有效性,而允許密度進一步增加。對於間隙填充介電材料來說這尤其為真。舉例來說,高密度電漿處理可以藉由化學機械研磨介電層來進行,以形成位置更靠近圖案化基板背面的新介電質-空氣界面。在本發明的各種實施例中,化學機械研磨(CMP)步驟在沉積介電層之後發生,並可能在固化操作之後及退火操作之後發生。在HDP處理過程中,HDP處理可能會導致氣相離子和分子片段與新的介電質-空氣界面之間發生碰撞。碰撞發生在更靠近介電層的間隙填充部分處,使得與省略CMP步驟的處理相比能夠有更大量的緻密化。同樣地,密度可以藉由將沉積分離成多個沉積-緻密化程序而增加。在實施例中,與總厚度相同的單一沉積程序相比,重複該程序步驟至少兩次可以增加介電質密度。
高密度電漿處理通常將基板加熱到約400℃和約450℃之間。在基板和電漿之間使用偏壓可以進一步提高基板溫度。藉由在基板後面流動冷卻氣體或提供另一種基板冷卻源可以將基板溫度降低,以保持在熱預算內。在實施例中,HDP處理過程中的基板溫度可以小於或約為400℃、小於或約為350℃、小於或約為325℃或小於或約為300℃。
用於沉積無碳的含矽氮和氫層與覆蓋層的基板可以是圖案化基板,並可以具有複數個縫隙,該等縫隙係用作形成在基板上的裝置元件(例如電晶體)之間距和結構。縫隙 可以具有高度和寬度,該高度和寬度界定高度對寬度(即H/W)的深寬比(AR),該深寬比顯著大於1:1(例如5:1或更大、6:1或更大、7:1或更大、8:1或更大、9:1或更大、10:1或更大、11:1或更大、12:1或更大等等)。在許多情況下,高的AR是由於小的縫隙寬度,該縫隙寬度之範圍係從90奈米(nm)至約22 nm或更小(例如小於90 nm、小於65 nm、小於50 nm、小於45 nm、小於32 nm、小於22 nm、小於16 nm等)。因為無碳的含矽氮和氫層是流動性的,所以可以填充具有高深寬比的縫隙,而不會在填充材料的中心附近形成孔隙或弱縫。舉例來說,沉積流動性材料較不可能在縫隙被完全填滿之前過早堵塞縫隙的頂部而在縫隙的中間留下孔隙。
在描述示例性氧化矽沉積系統的過程中,可以導入另外的製程參數。
示例性氧化矽沉積系統
可以實施本發明之實施例的沉積室可以包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室以及熱化學氣相沉積室,還有其他類型的腔室。可以實施本發明之實施例的化學氣相沉積(CVD)系統具體實例包括CENTURA ULTIMA® HDP-CVD腔室/系統以及PRODUCER® PECVD腔室/系統,皆可向美國加州聖克拉拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,Calif.)取得。
可以將沉積系統之實施例結合到更大的製造系統中,以製造積體電路晶片。第2圖圖示依據揭示的實施例一 個這樣的沉積、烘烤及固化腔室系統200。在該圖中,一對FOUP(前面開口統一箱)202供應基材基板(例如直徑300毫米(mm)的晶圓),該基板由機器人手臂204接收,並在被放入其中一個基板處理室208a-f之前被放入低壓保持區域206。可以使用第二機器人手臂210來在低壓保持區域206和基板處理室208a-f之間來回運送基板晶圓。
基板處理室208a-F可以包括一或多個系統元件,用以沉積、退火、固化及/或蝕刻基板晶圓上的流動性介電層。在一個架構中,可以使用兩對處理腔室(例如208c-d和208e-f)在基板上沉積流動性介電材料,而且可以使用第三對處理腔室(例如208a-b)退火沉積的介電質。在另一種架構中,可以配置相同的兩對處理腔室(例如208c-d和208e-f)皆在基板上沉積和退火流動性介電層,同時可以使用第三對腔室(例如208a-b)來紫外線(UV)或電子束固化沉積的層。在仍另一種架構中,可以配置全部的三對腔室(例如208a-f)在基板上沉積和固化流動性介電層。在又另一種架構中,可以使用兩對處理腔室(例如208c-d和208e-f)皆沉積和UV或電子束固化流動性介電質,同時可以使用第三對處理腔室(例如208a-b)來退火介電層。可以在與不同的實施例中所圖示的製造系統分離的腔室中進行所描述的任一或多種製程。
另外,可以將基板處理室208a-F中之一或多者配置為濕式處理腔室,這些處理腔室包括在含有水氣的氛圍中加熱流動性介電層。因此,系統200之實施例可以包括濕式處 理腔室和退火處理腔室,以在沉積的介電層上進行濕式與乾式退火兩者。
發明者已經以美國加州聖克拉拉市的應用材料公司製造的ULTIMATM系統實施了本發明之實施例,ULTIMATM系統之一般性描述係提供於共同受讓的美國專利第6,170,428號「對稱可調電感耦合HDP-CVD反應器(SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR)」,1996年7月15日由Fred C.Redeker,Farhad Moghadam,Hirogi Hanawa,Tetsuya Ishikawa,Dan Maydan,Shijian Li,Brian Lue,Robert Steger,Yaxin Wang,Manus Wong以及Ashok Sinha提出申請,該專利之全部揭示內容以引用方式併入本文中。關聯以下的第3A圖和第3B圖提供系統的概觀。第3A圖示意性圖示在一實施例中這種HDP-CVD系統310的結構。系統310包括腔室313、真空系統370、源電漿系統380A、基板偏壓電漿系統380B、氣體分配系統333以及遠端電漿清洗系統350。
腔室313的上半部分包括圓頂314,圓頂314係由陶瓷介電材料所製成,例如氧化鋁或氮化鋁。圓頂314界定了電漿處理區域316的上邊界。電漿處理區域316的底部是以基板317的上表面和基板支撐構件318為界。
加熱板323和冷卻板324安裝在圓頂314頂部,並且與圓頂314熱耦接。加熱板323和冷卻板324允許在約100℃至200℃的範圍中控制圓頂溫度在約+10℃內。這允許為各種製程最適化圓頂溫度。舉例來說,對於清洗或蝕刻製 程,將圓頂保持在比沉積製程更高的溫度可能是理想的。精確控制圓頂的溫度還可以減少腔室中的薄片或顆粒數量,並且改良沉積層和基板之間的黏附。
腔室313的下半部包括主體構件322,主體構件322將腔室結合於真空系統。基板支撐構件318的基部321被安裝在主體構件322上,並與主體構件322形成連續的內表面。基板藉由機器人葉片(未圖示)經由腔室313側面的***/移出開口(未圖示)被傳送進出腔室313。在馬達(亦未圖示)的控制下升高然後降低升舉銷(未圖示),以將基板從在上裝載位置357的機器人葉片移動到較低的處理位置356,其中基板被放在基板支撐構件318的基板接收部分319上。基板接收部分319包括靜電吸盤320,在基板處理期間靜電吸盤320將基板固定於基板支撐構件318。在較佳的實施例中,基板支撐構件318是由鋁氧化物或鋁陶瓷材料所製成。
真空系統370包括節流閥主體325,節流閥主體325容納雙葉片節流閥326,並連接到閘閥327和渦輪分子泵328。應當注意的是,節流閥主體325對氣流提供最小的阻礙,並且允許對稱的抽送。閘閥327可以將泵328與節流閥主體325隔離,並且當節流閥326完全打開時,閘閥327也可以藉由限制排出流動容量來控制腔室壓力。節流閥、閘閥以及渦輪分子泵的配置允許精確且穩定地將腔室壓力控制到約1毫托至約2托。
源電漿系統380A包括安裝在圓頂314上的頂線圈329和側線圈330。對稱的接地屏蔽(未圖示)減少該等線圈 之間的電耦合。頂線圈329是由頂源射頻(SRF)產生器331A供電,而側線圈330是由側源射頻產生器331B供電,以允許每個線圈的操作有獨立的功率水平和頻率。這種雙線圈系統得以控制腔室313中的徑向離子密度,從而提高電漿的均勻性。側線圈330和頂線圈329通常是感應驅動的,故不需要外加電極。在具體的實施例中,頂源射頻產生器331A在公稱的2 MHz提供高達5,000瓦的RF功率,而側源射頻產生器331B在公稱的2 MHz提供高達7,500瓦的射頻功率。頂射頻和側射頻產生器的操作頻率可以偏離公稱操作頻率(例如分別到1.7-1.9 MHz和1.9-2.1 MHz),以提高電漿產生效率。
基板偏壓電漿系統380B包括偏壓RF(「BRF」)產生器331C和偏壓匹配網絡332C。偏壓電漿系統380B將基板部分317電容式耦接至主體構件322,主體構件322作為外加的電極。偏壓電漿系統380B用於增強由源電漿系統380A產生的電漿物種(例如離子)到基板表面的輸送。在具體的實施例中,該基板偏壓射頻產生器在約13.56 MHz的頻率提供上達10,000瓦的RF功率。在實施例中,可以藉由施加大於或約為1千瓦、大於或約為1.5千瓦或大於或約為2千瓦的RF功率來形成高密度電漿。在本發明的實施例中,用於形成高密度電漿的RF功率包括來自源電漿系統(例如380A)的功率,而且還可以包括來自基板偏壓電漿系統(例如380B)的功率。
射頻(RF)產生器331A和331B包括數位控制合成器。每個產生器包括RF控制電路(未圖示),該RF控制電路 量測從腔室和線圈反射回到產生器的功率,並調整操作頻率,以獲得最低的反射功率,如本技術領域中具有通常知識之人士所瞭解的。通常將RF產生器設計為操作於具有50歐姆特性阻抗的負載。RF功率可以從特性阻抗與產生器不同的負載反射,這可以減少傳送到負載的功率。此外,從負載反射回產生器的功率可能會過載並損壞產生器。因為取決於電漿離子的密度以及其他的因素,電漿的阻抗可以在從小於5歐姆到大於900歐姆的範圍中,而且因為反射的功率可以是頻率的函數,所以依據反射的功率來調整產生器的頻率會增加從RF產生器轉移到電漿的功率並保護產生器。另一種減少反射功率及提高效率的方法是使用匹配網絡。
匹配網絡332A和332B將產生器331A和331B的輸出阻抗與各自的線圈329和330相匹配。RF控制電路可以藉由改變匹配網絡內的電容器之值來調諧該二匹配網絡,以在負載改變時將產生器與負載相匹配。當從負載反射回產生器的功率超過某種限度時,RF控制電路可以調諧匹配網絡。提供恆定匹配並有效地從調諧匹配網絡禁能RF控制電路的一種方法是將反射功率限制設定為高於反射功率的任何期望值,這可以藉由將匹配網絡恆定保持在匹配網絡最近狀態下而有助於在某些條件下穩定電漿。
其他的量測也可以有助於穩定電漿。舉例來說,可以使用RF控制電路來測定輸送到負載(電漿)的功率,並可以在層的沉積過程中增加或減少產生器的輸出功率來將輸送的功率保持為大致恆定。
氣體分配系統333經由氣體輸送管線338(其中只有圖示一部分)提供來自幾個氣源334A-334E的氣體到腔室,用於處理基板。如本技術領域中具有通常知識之人士將瞭解的,用於氣源334A-334E的實際來源和輸送管線338到腔室313的實際連接可以視腔室313內執行的沉積和清洗製程而改變。氣體經由氣環337及/或頂噴嘴345導入腔室313。第3B圖為腔室313之簡化局部剖面圖,圖示氣環337的額外細節。
在一個實施例中,第一和第二氣源334A和334B以及第一和第二氣流控制器335A'和335B'經由氣體輸送管線338(其中僅圖示一部分)提供氣體到氣環337中的環氣室336。氣環337具有複數個源氣體噴嘴339(為了說明的目的只圖示其中一個),源氣體噴嘴339在基板上提供均勻的氣體流動。可以改變噴嘴長度和噴嘴角度,以允許為個別腔室內之特定製程訂製均勻性分佈和氣體利用效率。在較佳的實施例中,氣環337具有由鋁氧化物陶瓷製作的12個源氣體噴嘴。
氣環337還具有複數個氧化劑氣體噴嘴340(僅圖示其中之一),在一個實施例中,氧化劑氣體噴嘴340與源氣體噴嘴339共平面且比源氣體噴嘴339短,而且在一個實施例中,氧化劑氣體噴嘴340接收來自主體氣室341的氣體。在一些實施例中,理想的是在將氣體注入腔室313之前不將源氣體與氧化劑氣體混合。在其他的實施例中,可以藉由在主體氣室341和氣環氣室336之間提供孔(未圖示)而在將氣體注入腔室313之前混合氧化劑氣體和源氣體。在一個實 施例中,第三、第四及第五氣源334C、334D和334D'以及第三和第四氣流控制器335C和335D'經由氣體輸送管線338提供氣體到主體氣室。附加的閥,例如343B(未圖示其他的閥)可以切斷從流量控制器到腔室的氣體。在實施本發明的某些實施例中,氣源334A包含矽烷SiH4源,氣源334B包含分子氮氣源,氣源334C包含TSA源,氣源334D包含氬氣Ar源,以及氣源334D'包含二矽烷Si2H6源。
在使用易燃、有毒或腐蝕性氣體的實施例中,理想的是在沉積之後去除殘留在氣體輸送管線中的氣體,這可以使用3向閥(例如閥343B)來達成,以將腔室313與輸送管線338A隔離,並排空輸送管線338A到例如前級真空管線344。如第3A圖所圖示,可以將其他類似的閥,例如343A和343C安裝在其他氣體輸送管線上。可以將這種三向閥放在盡可能接近腔室313處,以最小化未排空氣體輸送管線(在三向閥和腔室之間)的體積。此外,可以將雙向(開-關)閥(未圖示)放在質量流量控制器(「MFC」)和腔室之間或氣源和MFC之間。
再次參照第3A圖,腔室313也具有頂噴嘴345和頂排氣口346。頂噴嘴345和頂排氣口346允許獨立控制頂部和側部的氣體流動,從而提高薄膜的均勻性,並允許微調膜的沉積和摻雜參數。頂排氣口346為圍繞頂噴嘴345的環形開口。在一個實施例中,第一氣源334A供應源氣體噴嘴339和頂噴嘴345。源噴嘴MFC 335A'控制輸送到源氣體噴嘴339的氣體量,而頂噴嘴MFC 335A控制輸送到頂氣體噴嘴345 的氣體量。同樣地,可以使用兩個MFC 335B和335B'來控制從單一氧源(例如氣源334B)到頂排氣口346和氧化劑氣體噴嘴340兩者的氧氣流。在一些實施例中,氧氣不從任何側噴嘴供應到腔室。在氣體流入腔室313之前,可以將供應到頂噴嘴345和頂排氣口346的氣體保持分開,或者也可以在氣體流入腔室313之前在頂氣室348中混合該等氣體。也可以使用相同氣體的分離來源來供應腔室的各個部分。
提供遠端產生微波的電漿清洗系統350來定期清洗腔室元件上的沉積殘留物。該清洗系統包括遠端微波產生器351,遠端微波產生器351從清洗氣源334E(例如分子氟、三氟化氮、其他的碳氟化合物或等效物)在反應器腔體353中產生電漿。從該電漿產生的反應性物種經由清洗氣體進料口354通過施加管355被輸送到腔室313。用以容納清洗電漿(例如腔體353和施加管355)的材料必須是耐電漿攻擊的。反應器腔體353和進料口354之間的距離應保持盡可能的短,因為理想的電漿物種濃度可能會隨著距離從反應器腔體353降低。在遠端腔體中產生清洗電漿允許使用高效微波產生器,並且不會使腔室元件遭受輝光放電之溫度、輻射或轟擊,該輝光放電係可能存在於原位形成的電漿中。因此,不需要用空白晶圓覆蓋或以其他方式保護相對敏感的元件,例如靜電吸盤320,但若使用原位電漿清洗製程則可能需要。在第3A圖中,圖示電漿清洗系統350係設置於腔室313上方,雖然也可以替換地使用其他的位置。
可以在頂噴嘴附近提供擋板361,以將經由頂噴嘴 供應的源氣體流導入腔室,並導入遠端產生的電漿流。經由頂噴嘴345提供的源氣體被經由中央通道362導入腔室,而經由清洗氣體進料口354提供的遠端產生電漿物種係藉由擋板361導入腔室的側邊。
第4A圖為依據所揭示實施例的基板處理室400。遠端電漿系統(RPS)410可以處理氣體,然後該氣體經由氣體入口組件411前進。可以在氣體入口組件411內看到兩種截然不同的氣體供應通道。第一通道412攜帶通過遠端電漿系統(RPS)410的氣體,而第二通道413繞過RPS 410。在揭示的實施例中,第一通道412可用於製程氣體,並且第二通道413可用於處理氣體。圖示蓋體(或導電頂部)421和穿孔的分割或噴灑頭453之間具有絕緣環424,絕緣環424使得AC電勢可被相對於噴灑頭453施加到蓋體421。製程氣體經由第一通道412進入腔室電漿區域420,並且製程氣體可以在單獨的腔室電漿區域420中或在腔室電漿區域420與RPS 410的組合中被電漿激發。本文中可以將腔室電漿區域420及/或RPS 410的組合稱為遠端電漿系統。穿孔的分割(也可指稱為噴灑頭)453將腔室電漿區域420與噴灑頭453下方的基板處理區域470分隔。噴灑頭453允許電漿存在腔室電漿區域420中,以避免在基板處理區域470中直接激發氣體,同時還允許激發的物種從腔室電漿區域420進入基板處理區域470。
噴灑頭453被定位在腔室電漿區域420和基板處理區域470之間,並允許腔室電漿區域420內產生的電漿流出物(前驅物或其他氣體的激發衍生物)通過複數個通孔456, 通孔456穿過板的厚度。噴灑頭453還具有一或多個中空容積451,中空容積451可以被蒸氣或氣體形式的前驅物(例如含矽前驅物)填滿,並通過小孔455進入基板處理區域470,但不是直接進入腔室電漿區域420。在此揭示的實施例中,噴灑頭453的厚度比通孔456的最小直徑450之長度更長。為了保持顯著濃度的激發物種從腔室電漿區域420滲透到基板處理區域470,可以藉由形成部分貫穿噴灑頭453的通孔456之較大直徑部分來限制通孔的最小直徑450之長度426。在揭示的實施例中,通孔456的最小直徑450之長度可以與通孔456的最小直徑屬於相同數量級或更短。
在圖示的實施例中,噴灑頭453可以(經由通孔456)分配製程氣體,該製程氣體包含氧氣、氫氣及/或氮氣及/或製程氣體在藉由腔室電漿區域420中的電漿激發時產生的電漿流出物。在實施例中,經由第一通道412導入RPS 410及/或腔室電漿區域420的該製程氣體可以含有氧氣(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、氨氣(NH3)、包括N2H4的NxHy、矽烷、二矽烷、TSA及DSA中之一或多者。該製程氣體也可以包括載體氣體,例如氦氣、氬氣、氮氣(N2)等。第二通道413也可以輸送製程氣體及/或載體氣體及/或層固化氣體(例如O3),用以從生長中或初沉積的層中去除不要的成分。電漿流出物可以包括製程氣體的離子化或中性衍生物,並且也可以在本文中被指稱為自由基氧前驅物及/或自由基氮前驅物,參照引入的製程氣體之原子組分。
在實施例中,通孔456的數量可以在約60至約2000之間。通孔456可以具有各種不同的形狀,但最容易製作成圓形。在揭示的實施例中,通孔456的最小直徑450可以在約0.5 mm和約20 mm之間,或在約1 mm和約6 mm之間。在選擇通孔的橫截面形狀上也有緯度之分,該橫截面形狀可以是圓錐形、圓柱形或上述兩種形狀之組合。在不同的實施例中,用於將氣體導入基板處理區域470的小孔455之數量可以在約100和約5000之間,或在約500和約2000之間。小孔455的直徑可以在約0.1 mm和約2 mm之間。
第4B圖為依據揭示的實施例處理腔室中使用的噴灑頭453之仰視圖。噴灑頭453與第3A圖中圖示的噴灑頭一致。描繪的通孔456在噴灑頭453的底部具有較大的內徑(ID),而且在噴灑頭453頂部具有較小的ID。小孔455大致上均勻地分佈在噴灑頭的表面上,即使在通孔456之間亦然,這有助於提供比本文所述的其他實施例更均勻的混合。
當經由噴灑頭453中的通孔456到達的電漿流出物與源自中空容積451經由小孔455到達的含矽前驅物結合時,便在基板處理區域470內由基座(未圖示)支撐的基板上形成了示例性的層。雖然可以在基板處理區域470裝設支援用於其他製程(例如固化)的電漿之配備,但在示例性層的生長過程中並無電漿存在。
電漿可以在噴灑頭453上方的腔室電漿區域420中或噴灑頭453下方的基板處理區域470中被點燃。腔室電漿區域420中存在電漿,以從含氮和氫氣體的進入流產生自由 基氮前驅物。在形成於處理腔室蓋體421中的導電性頂部和噴灑頭453之間施加通常在射頻(RF)範圍中的交流電壓,以在沉積過程中在腔室電漿區域420中點燃電漿。RF電源產生13.56 MHz的高射頻頻率,但也可能會產生單獨的其他頻率或與13.56 MHz頻率結合的其他頻率。
在第二固化階段期間或清洗鄰接基板處理區域470的內表面期間,當基板處理區域470中的底部電漿開啟時,可以使頂部電漿停留在很低或無功率下。藉由在噴灑頭453和基座或腔室底部之間施加交流電壓而點燃基板處理區域470中的電漿。可以在電漿存在的同時將清洗氣體導入基板處理區域470。
基座可以具有熱交換通道,熱交換流體經由該熱交換通道流入,以控制基板的溫度。這種架構允許基板溫度被冷卻或加熱,以保持相對低溫(從室溫到約120℃)。熱交換流體可以包含乙二醇和水。可以使用以平行同心圓形式配置成兩個圈的嵌入式單迴路嵌入式加熱器元件來電阻式加熱基座的晶圓支撐盤(較佳為鋁、陶瓷或上述物質之組合),以實現相對高溫(約120℃至約1100℃)。加熱器元件的外部可以與支撐盤的周邊相鄰,而加熱器元件的內部係沿著具有較小外徑的同心圓路徑。接到加熱器元件的接線通過基座的底桿。
基板處理系統係由系統控制器所控制。在示例性的實施例中,該系統控制器包括硬碟磁碟機、軟碟磁碟機及處理器。該處理器包含單板電腦(SBC)、類比和數位輸入/輸出 板、介面板及步進馬達控制器板。CVD系統的各種部件符合Versa模件歐洲(Versa Modular European,VME)標準,VME標準定義板、卡片機架以及連接器的尺寸和類型。VME標準還定義具有16位元數據匯流排和24位元定址匯流排的匯流排結構。
系統控制器控制沉積系統的所有活動。該系統控制器執行系統控制軟體,該系統控制軟體為儲存在電腦可讀媒體中的電腦程式。較佳地,該媒體為硬碟磁碟機,但該媒體也可以是其他種類的記憶體。該電腦程式包括指令組,該指令組指示時間、氣體混合物、腔室壓力、腔室溫度、RF功率水平、基座位置以及其他特定製程的參數。也可以使用其他儲存在其他記憶體裝置(包括例如軟碟或其他適當的磁碟機)的電腦程式來指示系統控制器。
可以使用由系統控制器執行的電腦程式產品來實施在基板上沉積層堆疊(例如連續沉積無碳的含矽氮和氫層及之後的氧化矽覆蓋層)的製程、將層轉變成氧化矽或用於清洗腔室的製程。可以用任何現有的電腦可讀程式語言來撰寫電腦程式譯碼:例如68000組合語言、C、C++、Pascal、Fortran或其他的電腦可讀程式語言。使用現有的文本編輯器將適當的程式譯碼輸入單一檔案或多個檔案中,並儲存或體現於電腦可用媒體中,例如電腦的記憶體系統。假使輸入的譯碼內文屬於高階語言,則編譯該譯碼,然後將產生的編譯譯碼與預編譯的微軟視窗®(Microsoft Windows®)程式館常式之目標譯碼聯結。為了執行該經聯結、編譯的目標譯碼,系統使 用者喚起目標譯碼,致使電腦系統載入記憶體中的譯碼。然後CPU讀取並執行譯碼,以進行程式中確認的任務。
使用者與控制器之間的介面係經由平板觸摸敏感式監視器。在較佳的實施例中使用二個監視器,一個組裝於潔淨室牆壁上供操作員使用,而另一個組裝於牆壁外面供服務技師使用。該二個監視器可同時顯示相同的資訊,在任一情況中在同一時間只有一個監視器接受輸入。為了要選擇特殊的畫面或功能,操作員可觸碰觸摸敏感式監視器的指定區域。經觸碰的區域會改變經觸碰區域的彰顯的顏色,或者會顯示出新的選單或畫面,以確認操作員與觸摸敏感式監視器之間的溝通。可以使用其他的裝置(如鍵盤、滑鼠或其他的指向或溝通裝置)來取代該觸摸敏感式監視器,或是除了該觸摸敏感式監視器之外可同時使用該等其他的裝置,以容許使用者與系統控制器溝通。
本文中使用的「基板」可為支撐基板,該支撐基板上可有或無層形成。該支撐基板可為絕緣體或具有各種摻雜濃度與分佈的半導體,而且例如該支撐基板可以是半導體基板,該半導體基板的類型與製造積體電路中所使用的半導體基板類型相同。「氧化矽」層可以包括少量濃度的其他元素組分,例如氮、氫、碳及類似者。在一些實施例中,氧化矽主要由矽和氧組成。用語「前驅物」係用以指稱任何製程氣體,該製程氣體參與反應,以從表面移除材料或沉積材料於基板上。處於「激發態」的氣體係描述其中至少某些氣體分子處於振動激發、離解及/或離子化狀態的氣體。氣體(或前驅物) 可以是兩種或更多種氣體(或前驅物)的組合。「自由基前驅物」係用於描述電漿流出物(處於激發態且激發電漿的氣體),該電漿流出物參與反應,以從表面移除材料或沉積材料於基板上。「自由基氮前驅物」為含有氮的自由基前驅物,以及「自由基氫前驅物」為含有氫的自由基前驅物。片語「惰性氣體」係指任何當蝕刻或被併入層中不形成化學鍵結的氣體。示例性的惰性氣體包括鈍氣,但也可以包括其他氣體,只要當微量(典型上)陷入層中時無化學鍵結形成即可。
貫穿全文使用用語「溝槽」,但並非暗示蝕刻出的幾何形狀具有大的水平深寬比。從表面上方觀看,溝槽可能會呈現圓形、橢圓形、多邊形、矩形或各式各樣的其他形狀。用語「通孔」是用來指稱低深寬比的溝槽,該溝槽可能會或可能不會被填充金屬來形成垂直的電連線。如本文中所使用的,保角層係指表面上大致均勻的材料層具有與該表面相同的形狀,亦即該層的表面與被覆蓋的表面大體上是平行的。在本技術領域中具有通常知識之人士將理解到,所沉積的材料可能無法100%保角,因此,用語「大體上」容許可接受的誤差。
有了揭示的幾個實施例,在本技術領域中具有通常知識者將理解到,可以在不偏離本發明之精神下使用各種修飾、替代結構以及均等物。此外,並未描述數個習知的製程及元件,以避免不必要地混淆本發明。因此,不應將以上描述視為限制本發明之範圍。
當提供數值的範圍時,應瞭解到,除非內文以其他 方式清楚指明,否則在該範圍的上限與下限之間、每個到下限單位的十分之一之中間值亦為具體揭示的。在陳述範圍中的任何陳述值或中間值與該陳述範圍中的任何其他陳述值或中間值之間的每個較小範圍亦被涵括。該等較小範圍的上限與下限可獨立地被包括或排除於該範圍中,而且不論是該等較小範圍包括任一限值、不包括二限值或是包括二限值,該等較小範圍中的每個範圍亦被涵括於本發明中,取決於該陳述範圍中任何經具體排除的限值。當該陳述範圍包括該等限值中之一者或二者時,排除該等包括的限值中之任一者或二者的範圍亦被包括。
除非內文以其他方式清楚指明,否則本文中與隨附申請專利範圍中使用的單數形「一」及「該」包括複數的指示對象。因此,舉例來說,提及「一製程」係包括複數個該種製程,而提及「該前驅物」係包括提及一或多個前驅物及該一或多個前驅物為本技術領域中具有通常知識者所習知的均等物,以此類推。
同樣地,當用於本說明書中及以下申請專利範圍中時,字眼「包含」與「包括」意欲指明陳述的特徵、整數、成分或步驟之存在,但該等字眼並不排除一或多個其他的特徵、整數、成分、步驟、動作或基團的存在或加入。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧操作

Claims (16)

  1. 一種在一基板上形成一介電層的方法,該方法包含以下的連續步驟:在該基板上形成一介電層,其中在形成該介電層的操作過程中該介電層係可流動的;以及藉由使該介電層曝露於一高密度電漿而處理該介電層,其中使該介電層曝露於該高密度電漿提高該介電層之一密度。
  2. 如請求項1所述之方法,其中在處理該介電層的操作過程中將該基板之一溫度保持在400℃以下。
  3. 如請求項1所述之方法,其中該高密度電漿之一電漿密度係在1011離子/cm3的等級或更大。
  4. 如請求項1所述之方法,其中該高密度電漿係由臭氧(O3)、氧氣(O2)、氨氣(NH3)、氮氧化物(NOx)、水(H2O)、氫氣(H2)、氬氣(Ar)、氮氣(N2)或氦氣(He)中之一或多者所形成。
  5. 如請求項1所述之方法,其中該高密度電漿係藉由施加一大於或約為1千瓦的射頻(RF)功率所形成。
  6. 如請求項1所述之方法,其中該介電層之一垂直厚度保 持相同或減少,以及在以該高密度電漿處理該介電層的操作過程中,基本上無新的層形成於該介電層上方。
  7. 如請求項1所述之方法,其中一化學機械研磨步驟在形成該介電層之後並在處理該介電層之前發生,以提高該介電層之密度。
  8. 如請求項1所述之方法,其中與相同總厚度的一單一沉積程序相比,重複該等連續步驟至少兩次以提高一介電質密度。
  9. 如請求項1所述之方法,其中形成該介電層包含形成一含有矽、碳、氧、氫以及氮的層。
  10. 如請求項1所述之方法,其中形成該介電層包含形成一含有矽、氮以及氫的層。
  11. 如請求項1所述之方法,其中該介電層基本上係無碳的。
  12. 如請求項1所述之方法,其中形成該介電層包含藉由化學氣相沉積(CVD)形成該介電層。
  13. 如請求項12所述之方法,其中該介電層係藉由自由基成分CVD形成。
  14. 如請求項1所述之方法,其中形成該介電層包含形成一旋塗式玻璃(SOG)或旋塗式介電(SOD)層。
  15. 如請求項1所述之方法,其中形成該介電層包含形成一次大氣壓化學氣相沉積(SACVD)層。
  16. 如請求項15所述之方法,其中形成該SACVD層包含在無電漿之下結合臭氧(O3)、正矽酸乙酯(TEOS)以及水(H2O)。
TW102114216A 2012-04-30 2013-04-22 用於流動性膜之經改良的緻密化作用 TW201411721A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261640514P 2012-04-30 2012-04-30
US13/792,767 US20130288485A1 (en) 2012-04-30 2013-03-11 Densification for flowable films

Publications (1)

Publication Number Publication Date
TW201411721A true TW201411721A (zh) 2014-03-16

Family

ID=49477680

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114216A TW201411721A (zh) 2012-04-30 2013-04-22 用於流動性膜之經改良的緻密化作用

Country Status (5)

Country Link
US (1) US20130288485A1 (zh)
JP (1) JP2015521375A (zh)
KR (1) KR20150009959A (zh)
TW (1) TW201411721A (zh)
WO (1) WO2013165658A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107452671A (zh) * 2016-05-05 2017-12-08 朗姆研究公司 使用电感耦合高密度等离子体进行介电膜的致密化

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6585724B2 (ja) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102108560B1 (ko) * 2016-03-31 2020-05-08 주식회사 엘지화학 배리어 필름의 제조 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10535550B2 (en) * 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112219261A (zh) * 2018-04-03 2021-01-12 应用材料公司 利用h2等离子体的可流动膜固化
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020123119A1 (en) * 2018-12-10 2020-06-18 Applied Materials, Inc. Dome stress isolating layer
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210217585A1 (en) * 2020-01-15 2021-07-15 Applied Materials, Inc. Methods and apparatus for carbon compound film deposition
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
KR20210100535A (ko) * 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP6918386B1 (ja) 2020-12-09 2021-08-11 株式会社アビット・テクノロジーズ 絶縁膜の製造方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01206631A (ja) * 1988-02-15 1989-08-18 Toshiba Corp 半導体装置の製造方法
JP3103241B2 (ja) * 1993-03-26 2000-10-30 川崎製鉄株式会社 半導体装置の製造方法
JP2758847B2 (ja) * 1995-02-08 1998-05-28 日本電気株式会社 スピンオングラス膜の形成方法
JP4395896B2 (ja) * 1998-03-10 2010-01-13 ソニー株式会社 半導体装置の製造方法
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6192829B1 (en) * 1999-05-05 2001-02-27 Applied Materials, Inc. Antenna coil assemblies for substrate processing chambers
DE10056261A1 (de) * 2000-11-14 2002-05-29 Infineon Technologies Ag Verfahren zur Herstellung eines integrierten Halbleiter-Bauelements
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7056833B2 (en) * 2003-09-23 2006-06-06 Micron Technology, Inc. Methods of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107452671A (zh) * 2016-05-05 2017-12-08 朗姆研究公司 使用电感耦合高密度等离子体进行介电膜的致密化
TWI747899B (zh) * 2016-05-05 2021-12-01 美商蘭姆研究公司 使用感應耦合高密度電漿之介電膜的緻密化

Also Published As

Publication number Publication date
KR20150009959A (ko) 2015-01-27
US20130288485A1 (en) 2013-10-31
JP2015521375A (ja) 2015-07-27
WO2013165658A1 (en) 2013-11-07

Similar Documents

Publication Publication Date Title
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
US7989365B2 (en) Remote plasma source seasoning
US7888273B1 (en) Density gradient-free gap fill
US8647992B2 (en) Flowable dielectric using oxide liner
US8329262B2 (en) Dielectric film formation using inert gas excitation
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
CN100483646C (zh) 用于改进间隙填充应用的高产能hdp-cvd处理
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US20140186544A1 (en) Metal processing using high density plasma
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
TW201417179A (zh) 低成本流動性介電質薄膜
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
KR20120091235A (ko) 인장성 막들에 대한 응력 관리
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
WO2007001878A2 (en) Gapfill using deposition-etch sequence
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
KR20090006769A (ko) 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
CN100541736C (zh) 基板处理方法
TWI278531B (en) Microcontamination abatement in semiconductor processing
TW202013558A (zh) 在原子層沉積製程中藉由改質的氧化轉化之雜質控制