JP6440716B2 - 周期的エッチング工程を用いたエッチング停止層のエッチング方法 - Google Patents

周期的エッチング工程を用いたエッチング停止層のエッチング方法 Download PDF

Info

Publication number
JP6440716B2
JP6440716B2 JP2016541967A JP2016541967A JP6440716B2 JP 6440716 B2 JP6440716 B2 JP 6440716B2 JP 2016541967 A JP2016541967 A JP 2016541967A JP 2016541967 A JP2016541967 A JP 2016541967A JP 6440716 B2 JP6440716 B2 JP 6440716B2
Authority
JP
Japan
Prior art keywords
gas
substrate
silicon nitride
nitride layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2016541967A
Other languages
English (en)
Other versions
JP2016532313A (ja
Inventor
マン−マン リン,
マン−マン リン,
ショーン カン,
ショーン カン,
ジェレマイア ティー. ペンダー,
ジェレマイア ティー. ペンダー,
シュリーニヴァース ディー. ネマニ,
シュリーニヴァース ディー. ネマニ,
ブラッドリー ジェー. ハワード,
ブラッドリー ジェー. ハワード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016532313A publication Critical patent/JP2016532313A/ja
Application granted granted Critical
Publication of JP6440716B2 publication Critical patent/JP6440716B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明の実施形態は、広くは、半導体デバイスの形成方法に関する。より詳細には、本発明の実施形態は、概して、基板上に配置されたエッチング停止層を、半導体デバイスの製造のための周期的な(cyclically)エッチング工程を用いてエッチングする方法に関する。
半ミクロンを切り、更に小さな特徴部(feature)を高信頼で生産することが、半導体デバイスの次世代型超大規模集積(VLSI)及び超々大規模集積(ULSI)における重要な技術的課題の1つである。しかしながら、回路技術の限界が押し広げられるにつれ、VLSI及びULSIの配線技術の寸法縮小によって処理能力に対する要求が更に高まっている。VLSI及びULSIの達成にとって、また、回路密度及び個々の基板やダイの品質強化に対する継続的な努力にとって、基板上に高信頼のゲート構造を形成することは重要である。
通常、ゲート構造、シャロートレンチアイソレーション(STI)、ビット線などの構造を基板上にエッチング工程によって形成する間、フォトレジスト層などのパターニングされたマスクが使用される。従来、パターニングされたマスクは、所望の限界寸法を有するパターンをフォトレジスト層に光学的に転写するリソグラフィ処理を用いて製造されている。次いで、フォトレジストの望ましくない部分を除去するためにフォトレジスト層が現像され、これにより、残存するフォトレジスト中に開口が作成される。
次世代型デバイス及び構造の製造を可能にするために、半導体デバイス用に形成されるために設計される構造の寸法形状の制限が技術的な限界へと押し進められており、高いアスペクト比を有する限界寸法の小さい構造物の製造プロセスの精密な制御の必要性が更に重要となっている。エッチング工程中の制御が良好でないと、構造の形状が不規則となってラインエッジが粗くなり、それにより、形成された構造のラインの一体性が不良となるであろう。更に、エッチング中に形成される不規則な形状、及びエッチング副生成物の成長によって、限界寸法の小さい構造の製造に用いる小孔が徐々にブロックされ、これにより、エッチングされた構造の形状の湾曲(bowed)、歪曲、倒壊、又はねじれに帰着し得る。
更に、フィルムのスタック中に配置されるハードマスク層、隣接する層、及び下層のエッチング停止層、ひいては基板上の下層の材料用に選択される材料が類似していることによっても、それらの層間のエッチング特性が類似する結果となり得、これにより、エッチング中の選択性が良好でなくなる。ハードマスク層、隣接する層、及び基板上の材料の間の選択性が良好でないと、不均一な、傾斜した、及び変形した形状のハードマスク層という結果が生まれ、これにより、パターン転写が不良となり、構造の形状寸法を正確に制御することができなくなる。従って、下層材料の損傷からの保護を支援しオーバーエッチングの可能性を低減する、高いエッチング選択性をもたらし得るエッチング停止インターフェースを提供するために、エッチング停止層がしばしば用いられる。
従って、インターフェースの良好な制御をもたらすために、エッチング工程に用いられる化学エッチャントは、導電層であれ誘電体層であれ、隣接する材料層、エッチング停止層、及び下層にある材料層の上面のより高いエッチング選択性を有することが要求される。エッチング停止層がエッチングされると、隣接する材料層が反応性のエッチャント核種によって攻撃され得、隣接する材料層の上部及び/又は側壁の形状が不均一又は傾斜する結果となり、望ましくない形状変形が生じ得る。即ち、正確なパターン転写を促すために選択性の高いエッチャントが望まれている。しかしながら、従来型のエッチャントの選択性は、次世代デバイスの確実な製造を可能にするほど十分ではない。
従って、高い選択性と正確なプロセス及び形状制御とを備えた、半導体デバイス製造のためのエッチング停止層の改善されたエッチング方法に対する需要が存在する。
基板上に配置されたエッチング停止層を、周期的エッチング工程を用いてエッチングする方法が提供される。一実施形態で、エッチング停止層をエッチングする方法は、処理混合ガスを処理チャンバ内に供給して窒化ケイ素層を処理することにより、窒化ケイ素層が配置された基板に処理工程を実施することと、化学エッチング混合ガスを処理チャンバ内に供給することにより、基板に化学エッチング工程を実施することであって、化学エッチング混合ガスは、少なくともアンモニウムガス及び三フッ化窒素を含み、化学エッチング工程は、処理された窒化ケイ素層をエッチングする、実施することと、を含む。
別の実施形態で、エッチング停止層をエッチングする方法は、窒化ケイ素層が配置された基板を、処理チャンバ内に移送することであって、窒化ケイ素層上に配置されたパターニングされた酸化ケイ素層が、パターニングされたマスク層と共に、窒化ケイ素層の一部分を露出している、移送することと、窒化ケイ素層の露出した部分を処理するための処理混合ガスを供給することであって、処理混合ガスは不活性ガスを含む、供給することと、処理チャンバ内に化学エッチング混合ガスを供給することであって、化学エッチング混合ガスは、処理された窒化ケイ素層をエッチングするために、少なくともアンモニウムガス及び三フッ化窒素を含む、供給することと、を含む。
更に別の実施形態で、窒化ケイ素層をエッチングする方法は、メタルシリサイド構造上に窒化ケイ素層が配置された基板を、処理チャンバ内に移送することであって、窒化ケイ素層上に配置されたパターニングされた酸化ケイ素層が、パターニングされたマスク層と共に、窒化ケイ素層の一部分を露出している、移送することと、露出した窒化ケイ素層を処理するために、RFバイアス電力を印加しながら、Ar又はHeガスを供給することと、処理された窒化ケイ素層をエッチングするために、RFソース電力を処理チャンバから遠隔で印加しながら、少なくともアンモニウムガス及び三フッ化窒素を含む化学エッチング混合ガスを供給することと、RF電力を印加せずにAr又はHeガスを処理チャンバに供給することと、を含む。
本発明の上述のような特徴が詳細に理解されるよう、上記で簡単に概説した本発明のより具体的な記載が、実施形態を参照することによって得られる。これら実施形態の幾つかは添付の図面で示される。しかしながら、本発明は他の等しく有効な実施形態も許容し得ることから、付随する図面はこの発明の典型的な実施形態のみを例示しており、従って発明の範囲を限定すると見なすべきではないことに、留意されたい。
本発明の実施形態が具現化され得る処理チャンバを示す断面図である。 例示的なマルチチャンバ処理システムの概略上面図である。 本発明の一実施形態による、周期的エッチング工程を用いてエッチング停止層をエッチングするフロー図である。 本発明の一実施形態による、半導体基板上に配置されたエッチング停止層のエッチング停止層をエッチング中の断面図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すために同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれ得ることが企図されている。
しかしながら、本発明は他の等しく有効な実施形態も許容し得ることから、添付図面はこの発明の典型的な実施形態のみを例示しており、従って発明の範囲を限定すると見なすべきではないことに留意されたい。
本発明の実施形態は、半導体デバイスのための、基板上に形成されたエッチング停止層を、高い選択性と正確な形状制御でエッチングする方法を提供する。一実施形態で、エッチング工程は、低速な周期的エッチング工程を用いて、下層の基板が露出するまで、エッチング停止層を徐々にエッチングすることを含む。低速な周期的エッチング工程は、エッチング停止層を貫通してエッチングし下層の基板を露出させるための良好な形状制御がもたらされるよう、エッチング選択性の制御を支援し、インターフェースにおける相対的に正確なエッチング停止終点を提供する。一実施形態で、エッチング工程は、半導体デバイスのコンタクト構造で用いるエッチング停止層をエッチングするために用いられ得る。
本明細書で使用する「コンタクト構造」の語は、ゲート電極の部分を形成し得るメタルシリサイド(金属ケイ素化合物)(metal silicide)を含む材料層を表す。一以上の実地形態で、メタルシリサイドはニッケルシリサイド、コバルトシリサイド、チタンシリサイド、又はそれらの任意の組み合わせであり得る。メタルシリサイドは、タングステン、タングステンシリサイド、Ti/Co合金シリサド、Ti/Ni合金シリサイド、Co/Ni合金シリサイド、及びNi/Ptシリサイドも含み得る。コンタクト構造は、代替的に、シリコンベース、ゲルマニウムベース、又は、ゲルマニウムドーパント及び/又は他のドーパントを含むシリコンベースであり得る。
図1は、下記で更に説明するエッチング工程の実行に適した例示的な処理チャンバ100の断面図である。チャンバ100は、基板表面上に配置された材料層から材料を除去するように構成され得る。チャンバ100は、プラズマ支援型ドライエッチ処理の実施に特に有用である。処理チャンバ100は、California州Santa ClaraのApplied Materialsから入手可能なSiconi(商標)チャンバであり得る。他の製造者から入手可能な他の真空処理チャンバも、本発明の実施に適応され得ることに留意されたい。
処理チャンバ100は、真空を破ることなく、基板表面の加熱及び冷却を提供する。一実施形態で、処理チャンバ100は、チャンバ本体112、リッドアセンブリ140、及び支持アセンブリ180を含む。リッドアセンブリ140はチャンバ本体112の上端に配置され、支持アセンブリ180は少なくとも部分的にチャンバ本体112内に配置されている。
処理チャンバ100の内部へのアクセスを提供するためのスリットバルブ開口114が、チャンバ本体112の側壁に形成されている。スリットバルブ開口114は、ウエハ操作ロボット(図示せず)によるチャンバ本体112の内部へのアクセスを可能にするために、選択的に開閉される。
一又は複数の実施形態で、チャンバ本体112には、熱伝導流体が通流するためのチャネル115が形成されている。熱伝導流体は加熱流体又は冷却剤であり得、処理及び基板移送中のチャンバ本体112の温度を制御するのに用いられる。チャンバ壁へのガス又は副生成物の望ましくない凝集を防止するために、チャンバ本体112の温度の制御は重要である。例示的な熱伝導流体は、水、エチレングリコール、又はそれらの混合物を含む。例示的な熱伝導流体は窒素ガスも含み得る。
チャンバ本体112は、支持アセンブリ180を囲むライナー120を更に含み得る。ライナー120は、保守及び洗浄のために取り外し可能である。ライナー120は、アルミニウムなどの金属、セラミック材料、又は処理に適合する任意の他の材料で作製され得る。ライナー120は、その上に堆積する任意の材料の付着が増すよう、表面粗さ及び/又は表面積を増大させるためにビードブラストされ得、これにより、処理チャンバ100の汚染の原因となる材料のフレーキング(flaking)を防止する。一又は複数の実施形態で、ライナー120には、一又は複数の開孔125と、真空システムと流体連通しているポンピングチャネル129とが形成されている。開孔125はポンピングチャネル129内へのガスの流路を提供し、ポンピングチャネル129は処理チャンバ100内のガスに出口を提供している。
真空システムは、真空ポンプ130、及び処理チャンバ100を通るガス流を調節するためのスロットルバルブ132を含み得る。真空ポンプ130は、チャンバ本体112内に配置された真空ポート131に連結されており、従って、ライナー120内に形成されたポンピングチャネル129と流体連通している。用語「ガス」及び「複数のガス(gases)」は他に断りのない限り交換可能に用いられており、一又は複数の前駆体、リアクタント、触媒、キャリア、パージ、洗浄、それらの組み合わせ、及びチャンバ本体112に導入される任意の他の流体を表す。
リッドアセンブリ140は、スタックされた少なくとも2つの部品を含み、それら部品は互いの間にプラズマ容積又はキャビティを形成するように構成されている。一又は複数の実施形態で、リッドアセンブリ140は第2の電極145(「下方電極」)の上に垂直に配置された第1の電極143(「上方電極」)を含み、これら電極間にはプラズマ容積又はキャビティ150が画成されている。第1の電極143はRF給電などの電源152に接続され、第2の電極145は接地に接続され、2つの電極143、145間でキャパシタンスが形成されている。
一又は複数の実施形態で、リッドアセンブリ140は、少なくとも部分的に第1の電極143の上方区域156内に形成された一又は複数のガス注入口154(1つのみ図示)を含む。一又は複数の処理ガスが、一又は複数のガス注入口154を通じてリッドアセンブリ140に入る。一又は複数のガス注入口154は、第1の端部でプラズマキャビティ150と流体連通しており、第2の端部で、一又は複数の上流源及び/又はガスミキサなどの他のガス送達部品に連結されている。
一又は複数の実施形態で、第1の電極143は、プラズマキャビティ150を収容する伸長区域155を有する。一又は複数の実施形態で、伸長区域155は、上方部分155Aからその下方部分155Bまで徐々に増大する内面又は直径157を有する環状の部材である。従って、第1の電極143と第2の電極145との間の距離は可変である。この可変距離により、プラズマキャビティ150内で生成されるプラズマの形成及び安定性の制御が支援される。
一又は複数の実施形態で、伸長区域155は、反転した円錐台又は「じょうご(funnel)」に似ている。一又は複数の実施形態で、伸長区域155の内面157は、伸長区域155の上方部分155Aから下方部分155Bまで徐々に傾斜している。内径157の傾斜又は角度は、プロセス要件及び/又はプロセス制約に依存して変化し得る。伸長区域155の長さ又は高さもまた、具体的なプロセス要件及び/又は制約に依存して変化し得る。
上述のように、第1の電極143の内面157が徐々に増大するので、第1の電極143の伸長区域155は、第1の電極143と第2の電極145との間の垂直な距離、変化する。この可変距離は、プラズマキャビティ150内の電力レベルに直接関連する。理論に縛られることを意図していないが、2つの電極143、145間の距離の変化により、プラズマが、プラズマ空洞150の(プラズマ空洞150の全体ではなくとも)幾らかの部分内で自身を維持するのに必要な電力レベルを見出すことを可能にする。従って、プラズマキャビティ150内のプラズマの圧力への依存が低下し、より広い動作ウィンドウ内でプラズマが生成及び維持されることが可能となる。従って、反復性及び信頼性の増したプラズマがリッドアセンブリ140内で形成され得る。プラズマキャビティ150内で生成されたプラズマが、支持アセンブリ180の上方の処理領域141内(ここに基板が進む)に入る前にリッドアセンブリ140内で規定されるので、プラズマは、プラズマが処理領域141から離れて発生する遠隔プラズマ源とみなされる。
上述のように、伸長区域155はガス注入口154と流体連通している。一又は複数のガス注入口154の第1の端部が、伸長区域155の内径の最上点で、プラズマキャビティ150へと開口し得る。同様に、一又は複数のガス注入口154の第1の端部は、伸長区域155の内径157に沿った任意の高さ方向の距離において、プラズマキャビティ150へと開口していてよい。図示していないが、伸長区域155内への旋回フローパターン又は「渦(vortex)」フローを作りだすために、2つのガス注入口154が伸長区域155の対向する両側に配置され得る。これらフローはプラズマキャビティ150内のガスの混合を助ける。
リッドアセンブリ140は、第1の電極143を第2の電極145から電気的に絶縁する絶縁リング160を更に含み得る。絶縁リング160は、アルミニウム酸化物又は任意の他の絶縁性の、プロセスに適合した材料から作製され得る。絶縁リング160は、少なくとも伸長区域155を囲んでいるか、又は実質的に囲んでいる。
リッドアセンブリ140は、第2の電極145に隣接した分配板170及び遮蔽板175を更に含み得る。第2の電極145、分配板170、及び遮蔽板175はスタックされ、チャンバ本体112に接続されたリッドリム178上に配置され得る。リッドリム178をチャンバ本体112に接続するのにヒンジアセンブリ(図示せず)が用いられ得る。リッドリム178は、熱伝導媒体を収容するための埋め込まれたチャネル又は通路179を含み得る。熱伝導媒体は、プロセス要件に応じて、加熱、冷却、又はそれら両方のために用いられ得る。
一又は複数の実施形態で、第2の電極又はtop板145は、プラズマ空洞150の下に形成された、プラズマ空洞150からのガスが通流することを可能にする複数のガス通路又は開孔165を含み得る。分配板170は実質的にディスク形状であり、通流するガス流を分配するための複数の開孔172又は通路も含む。処理されるべき基板が位置するチャンバ本体112に、制御された均等なフロー分布をもたらすために、開孔172は、分配板170に合わせたサイズとされ且つその周囲に配置され得る。更に、開孔172は、ガス流の速度プロファイルを遅くし方向転換することにより、ガス(一又は複数)が基板表面に直接衝突することを防止し、且つ、ガス流を均等に分配することによって基板表面全体にわたる均等なガス分布をもたらす。
一又は複数の実施形態で、分配板170は、リッドアセンブリ140の温度制御を提供するためにヒータ又は加熱流体を収容する一又は複数の埋め込まれたチャネル又は通路174を含む。分配板170を加熱するために、抵抗加熱要素(図示せず)が通路174内に挿入され得る。分配板170の温度を調節するために、熱電対が分配板170に接続され得る。上述のように、加熱要素に印加される電流を制御するために、熱電対はフィードバックループで用いられ得る。
代替的に、熱伝導媒体が通路174内を通過させられてもよい。一又は複数の通路174は、チャンバ本体112内のプロセス要件に応じて、分配板170のより良好な温度制御のために、必要な場合には冷却媒体を収容し得る。例えば、窒素、水、エチレングリコール、又はそれらの混合物などの任意の適切な熱伝導媒体が用いられてよい。
一又は複数の実施形態で、リッドアセンブリ140は、一又は複数のヒートランプ(図示せず)を用いて加熱され得る。典型的に、ヒートランプは、分配板170を含むリッドアセンブリ140の部品を照射によって加熱するために、分配板170の上面の上に配置される。
遮蔽板175が存在する場合には、任意選択で、第2の電極145と分配板170との間に配置されてもよい。遮蔽板175は、第2の電極145の下方表面に取り外し可能に取り付けられる。遮蔽板175は、第2の電極145と熱的接触及び電気的に良好に接触していてよい。一又は複数の実施形態で、遮蔽板175は、ボルト又は同様の締結具を用いて第2の電極145に連結され得る。遮蔽板175はまた、第2の電極145の外径にねじ留め又は螺合され得る。
遮蔽板175は、第2の電極145から分配板170まで複数のガス通路を提供するための複数の開孔176を含む。開孔176は、制御された均等なガス流分布を分配板170に提供するために、遮蔽板175の大きさとされ且つその周囲に配置され得る。
支持アセンブリ180は、チャンバ本体112内での処理のために基板(この図では示さず)を支持するための支持部材185を含み得る。支持部材185は、チャンバ本体112の底面に形成された中央に位置する開口114を貫通して伸びるシャフト187を介して、リフト機構183に連結され得る。リフト機構183は、シャフト187周囲からの真空漏れを防ぐベローズ188によって、チャンバ本体112にフレキシブルに封止され得る。リフト機構183は、チャンバ本体112内で支持部材185が、処理位置と、より下方の移送位置との間で垂直に動くことを可能にする。移送位置は、チャンバ本体112の側壁に形成されたスリットバルブ開口114のわずかに下方である。
一又は複数の実施形態で、支持部材185は、処理されるべき基板を支持部材185の上で支持するための平坦な円形表面、又は実質的に平坦な円形表面を有する。支持部材185はアルミニウムで構成され得る。支持部材185は、基板の裏側汚染を低減するために、例えばシリコン又はセラミック材料などの何らかの他の材料で作製された取り外し可能な上部板190を含み得る。
一又は複数の実施形態で、基板(図示せず)は、真空チャックを用いて支持部材185に固定され得る。一又は複数の実施形態で、基板(図示せず)は、静電チャックを用いて支持部材185に固定され得る。典型的に、静電チャックは、電極181を囲む誘電体材料を少なくとも含み得、電極181は支持部材185内に位置するか、又は支持部材185の一体部分として形成されていてもよい。チャックの誘電部分は、基板から、及び支持アセンブリ180のその他の部分からチャック電極を電気的に絶縁する。
一実施形態で、電極181は複数のRF電力バイアス源184、186に連結されている。RFバイアス電源184、186は、支持部材185内に配置された電極181の間に連結される。RFバイアス電力は、チャンバ本体の処理領域141内に配置されたガスから放出されたプラズマを、励起及び維持する。
図1に示す実施形態で、デュアルRFバイアス電源184、186は、支持部材185に配置された電極181に整合回路189を介して連結されている。プラズマ処理チャンバ100内に供給される混合ガスをイオン化するために、RFバイアス電源184、186によって生成された信号が、整合回路189を通って単一給電を介して支持部材185に送達され、これにより、堆積、又は他のプラズマ支援型の処理を実施するのに必要なイオンエネルギーを提供する。RFバイアス電源184、186は一般に、約50kHz〜約200MHzの周波数、及び約0ワット〜約5000ワットの電力を有するRF信号を生成できる。必要に応じて、プラズマの特性を制御するために追加のバイアス電源が電極181に連結されてもよい。
支持部材185を貫通して、リフトピン193(図1に1本のみ示す)を収容するためのボア192が形成され得る。各リフトピン193はセラミック又はセラミック含有材料で構成されており、基板の操作及び搬送に用いられる。リフトピン193は、チャンバ本体112内に配置された環状のリフトリング195に係合すると、それぞれのボア192内で可動である。リフトリング195が上方位置にあるときリフトピン193の上面が支持部材185の基板支持面の上に伸び得るように、リフトリング195は可動である。反対に、リフトリング195が下方位置にあるとき、リフトピン193の上面は支持部材185の基板支持面の下に位置する。従って、リフトリング195が下方位置から上方位置へ、上方位置から下方位置への何れかに動くと、各リフトピン193は支持部材185中のそれぞれのボア192内で動く。
支持アセンブリ180は、支持部材185の周囲に配置されたエッジリング196を更に含み得る。一又は複数の実施形態で、エッジリング196は、支持部材185の外周を覆い、支持部材185を堆積から保護するように適合された環状の部材である。エッジリング196は、支持部材185の外径とエッジリング196の内径との間に環状のパージガスチャネルを形成するために、支持部材185上に又はこれに隣接して位置し得る。環状のパージガスチャネルは、支持部材185及びシャフト187を貫通して形成されたパージガス導管197と流体連通し得る。パージガス導管197は、パージガスをパージガスチャネルに供給するためのパージガス供給(図示せず)と流体連通している。窒素、アルゴン、又はヘリウムなどの任意の適切なパージガスが、単独で或いは組み合わせて使用され得る。動作時、パージガスは前記導管197を通りパージガスチャネルへ、及び支持部材185上に配置された基板のエッジ周囲へ流れる。従って、パージガスはエッジリング196と協働して、基板のエッジ及び/又は裏側における堆積を防止する。
支持アセンブリ180の温度は、支持部材185の本体に埋め込まれた流体チャネル198を通って循環する流体によって制御され得る。一又は複数の実施形態で、流体チャネル198は、支持アセンブリ180のシャフト187を貫通して配置された熱伝導導管199と流体連通している。支持部材185の基板受容面に均一な熱伝導を提供するために、流体チャネル198は支持部材185の周囲に位置する。流体チャネル198及び熱伝導導管199は、支持部材185を加熱又は冷却するために、熱伝導流体を流すことができる。水、窒素、エチレングリコール、又はその混合物などの任意の適切な熱伝導流体が用いられてよい。支持アセンブリ180は、支持部材185の支持面の温度を監視するために、埋め込まれた熱電対(図示せず)を更に含み得る。例えば、流体チャネル198を通って循環する流体の温度又は流量を制御するために、熱電対からの信号がフィードバックループで使用され得る。
支持部材185とリッドアセンブリ140との間の距離が制御されるように、支持部材185はチャンバ本体112内で垂直に動かされ得る。センサ(図示せず)は、支持部材185のチャンバ100内の位置に関する情報を供給できる。
動作時、処理されている基板の温度を制御するために、支持部材185がリッドアセンブリ140の近傍まで上昇され得る。従って、基板は、分配板170から放射される照射を介して加熱され得る。代替的に、基板は、加熱されたリッドアセンブリ140の近傍まで、リフトリング195によって駆動されるリフトピン193を用いて支持部材185から離れるように持ち上げられ得る。
処理チャンバ100の動作を調節するためにシステムコントローラ(図示せず)が使用され得る。システムコントローラは、コンピュータのメモリ内に記憶されたコンピュータプログラムの制御下で動作し得る。コンピュータプログラムは、後述する前洗浄処理の処理チャンバ100内での実施を可能にする命令を含み得る。例えば、コンピュータプログラムは、処理シーケンス及びタイミング、ガスの混合、チャンバ圧、RF電力レベル、サセプタの位置決め、スリットバルブの開閉、ウエハ冷却、及び具体的なプロセスの他のパラメータを指示し得る。
図2は、本明細書に記載のプロセスを実施するように適合され得る例示的なマルチチャンバ処理システム200であって、処理チャンバ100が連結されたシステムの概略上面図である。システム200は、基板をシステム200の内外に移送するための一又は複数のロードロックチャンバ202、204を含み得る。典型的に、システム200は真空下にあるので、ロードロックチャンバ202、204は、システム200へ導入される基板を「ポンプダウン」し得る。第1のロボット210が、ロードロックチャンバ202、204と、一又は複数の基板処理チャンバ212、214、216、100(4つを図示する)の第1のセットとの間で、基板を移送し得る。各処理チャンバ212、214、216、100は、エッチング工程、原子層エッチング(ALE)、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、ガス抜き、配向、及びその他の基板処理などの基板処理工程のうちの少なくとも1つを実施するように構成されている。エッチング工程の実施に用いられる処理チャンバ100の他のチャンバ212、214、216に対する位置は、例示のための位置であり、処理チャンバ100の当該位置は、任意選択的に、所望であれば処理チャンバ212、214、216のうちの任意の1つと交換されてもよい。
第1のロボット210はまた、一又は複数の移送チャンバ222、224へ/一又は複数の移送チャンバ222、224から基板を移送し得る。移送チャンバ222、224は、超高真空状態を維持しながら、基板がシステム200内で移送されることを可能にするために用いられ得る。第2のロボット230は、移送チャンバ222、224と一又は複数の処理チャンバ232、234、236、238の第2のセットとの間で基板を移送し得る。処理チャンバ212、214、216、100と同様、処理チャンバ232、234、236、238は、本明細書に記載のドライエッチ処理、及び例えば、堆積、前洗浄、ガス抜き、及び配向を含む任意の他の適切な処理を含む様々な基板処理工程を実施するように装備され得る。システム200によって実施される特定の処理に必要でない場合には、基板処理チャンバ212、214、216、100、232、234、236、238のうちの任意のものがシステム200から取り除かれ得る。
図3は、基板上に配置されたエッチング停止層を高い選択性及び良好な形状制御でエッチングするためのエッチング工程を実施する方法300を示す。図3に示す方法300は、後述する図4A−4Dに示す製造段階のシーケンスに対応する。図4A−4Dは、フィルムスタック450中に配置されたエッチング停止層404をエッチングするための方法300で示した種々の段階中の、フィルムスタック450が形成された基板402の概略断面図を示す。
方法300は、ブロック302で、図4Aに示す基板402などの基板を、図1に示す処理チャンバ100などの処理チャンバ、又はその他の適切な処理チャンバ内へ移送することにより開始される。基板402は、実質的に平面の表面、非均一な表面、又は、その上に構造が形成された実質的に平面の表面を有し得る。図4Aに示す基板402は、基板402上に形成されたフィルムスタック450を含む。一実施形態で、基板402は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターン化された又はパターン化されていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料であり得る。基板402は、直径200mm、300mm、又は450mmウエハ、並びに長方形又は正方形のパネルなどの、様々な寸法を有し得る。別途記載のない限り、本明細書に記載の実施形態及び実施例は、300mm直径又は450mm直径を有する基板に対して行われる。
一実施形態で、フィルムスタック450は、基板402上のエッチング停止層404上に配置された材料層406を含む。一実施形態で、材料層406及びエッチング停止層404は、前端工程又は後端工程中に、ゲート構造、シャロートレンチアイソレーション(STI)構造、コンタクト構造、又は配線構造の形成に用いられ得る。一実施形態で、方法300は、材料層406中及びその中のエッチング停止層404中にコンタクト構造を形成するために、エッチング停止層404に対して実施され得る。一実施形態で、エッチング停止層404は、窒化ケイ素層(SiN)及び酸窒化ケイ素層(SiON)などのシリコン誘電体層であり得る。コンタクト構造中にエッチング停止層404(例えば、窒化ケイ素層)が用いられる実施形態では、基板が、エッチング停止層404が除去されてエッチングにより取り除かれた後に露出するようエッチング停止層404の直下に形成されたコンタクトメタルシリサイドを含み得る。コンタクトメタルシリサイドの適切な例は、タングステン、タングステンシリサイド、Ti/Co合金シリサイド、Ti/Ni合金シリサイド、Co/Ni合金シリサイド、及びNi/Ptシリサイドを含み得る。
材料層406は、他にもあり得るが、酸化物層、窒化物層、チタン窒化物層、少なくとも2以上の酸化物層が窒化物層を挟んでいる酸化物及び窒化物の複合層、並びにそれらの組み合わせからなる群から選択される誘電体層であり得る。誘電体層として適切なその他の材料は、酸化ケイ素又はTEOSなどのドープされていないシリコンガラス(USG)、ホウ素−ケイ酸塩ガラス(BSG)、リン−ケイ酸塩ガラス(PSG)、ホウ素−リン−ケイ酸塩ガラス(BPSG)、並びにそれらの組み合わせを含む。本明細書に記載の例示的な実施形態で、材料層406は、ドープされていないシリコンガラス(USG)層である。一実施形態で、誘電体材料層406は、約3000Å〜約15000Å、例えば約4000Å〜約12000Å、例えば約10000Åの厚さを有する。
パターニングされたマスク層411が材料層406上に配置される。パターニングされたマスク層411は、エッチング停止層404の表面の部分413をエッチングのために露出する開口特徴部412を有する。一実施形態で、マスク層411は、ハードマスク層、フォトレジストマスク、又はそれらの組み合わせであり得る。マスク層411中の開口特徴部412はエッチング停止層404中に開口特徴部414を所望のアスペクト比で形成するためのエッチマスクとして使用される。本明細書に記載の開口特徴部414は、トレンチ、ビア、開孔などを含み得る。マスク層411がハードマスク層である実施形態では、マスク層411は、シリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、アモルファスカーボン、並びにそれらの組み合わせからなる群から選択される材料であり得る。マスク層411がパターニングされたフォトレジスト層である実施形態では、リソグラフィでパターニングされたマスクなどであり得る。フォトレジスト層は、ポジティブトーンフォトレジスト、ネガティブトーンフォトレジスト、UVリソグラフィフォトレジスト、i線フォトレジスト、eビームレジスト(例えば、化学的増幅型レジスト(CAR))、又は他の適切なフォトレジストであり得る。図4Aに示す例示的な実施形態では、パターニングされたマスク層411が、ハードマスク層408上に配置されたフォトレジスト層410の組み合わせであり、ハードマスク層408は窒化ケイ素層又は酸化ケイ素層である。
ブロック304で、後続する化学エッチング工程中にエッチング停止層404の除去が容易となるよう、エッチング停止層404の露出した413部分を処理して表面の特性を改変するための処理工程が実施される。ブロック304で実施される処理工程は、処理混合ガスをチャンバ100内に供給することを含む。次いで、エッチング停止層404の表面の露出した部分413をプラズマ処理してエッチング停止層404を励起状態へと活性化するためのプラズマが、処理混合ガスから形成されて、図4Bに示すように、処理されたエッチング停止層426が形成される。次いで、これが、後に処理チャンバ100に供給される化学エッチングガスと容易に反応して、処理チャンバ100の外へ容易にポンプアウト可能な揮発性ガス副生成物を形成する。
一実施形態で、処理混合ガスは水素含有ガス、窒素含有ガス、又は不活性ガスのうちの少なくとも一種を含む。処理混合ガス中に供給される水素含有ガス、窒素含有ガス、又は不活性ガスは、処理混合ガスから形成されたプラズマ中のイオンのライフタイム増加を支援し得ると考えられている。イオンのライフタイムが延びることにより、基板402上のエッチング停止層426との反応及びその活性化がより徹底して支援され得、これにより、後続する化学エッチング工程中、活性化されたエッチング停止層426の基板402からの除去が強化される。処理混合ガス中に窒素含有ガスが用いられる実施形態では、窒素含有ガスからの窒素原子が材料層406及び/又はエッチング停止層404中の酸素原子と反応して、基板表面からの除去及び処理チャンバ外へのポンプアウトが容易であり得る揮発性の状態の窒素−酸素(NO又はNO*)化合物を形成し得る。処理混合ガス中で水素含有ガスが用いられる実施形態では、水素含有ガスからの水素原子がエッチング停止層404内に含まれるシリコン原子と反応し得、これにより、Si−Hの弱結合及び未結合手、又はSi−OH結合が、処理された材料層426の処理された表面(例えば、露出した部分413)上に形成される。Si−H又はSi−OH結合末端を有する、処理されたエッチング停止層426は、後で処理チャンバ100に供給される他のエッチャントに容易に吸着され得、これにより、処理されたエッチング停止層426の基板表面からの除去を容易にすることを助ける。同様に、He又はArなどの不活性ガスが用いられる実施形態では、不活性ガスがエッチング停止層404を処理し且つ穏やかにボンバード(衝突)してエッチング停止層404を励起状態へと活性化し得、これにより、処理チャンバ100に供給されるエッチャントとの反応が支援され得る。
一実施形態で、処理チャンバ100内に供給される水素含有ガスは、H、HOなどのうちの少なくとも一種を含む。処理チャンバ100内に供給される窒素含有ガスは、N、NO、NO、NHなどを含む。処理チャンバ100内に供給される不活性ガスは、Ar、He、Krなどのうちの少なくとも一種を含む。例示的な実施形態で、処理工程の実施のために処理チャンバ100内に供給される水素含有ガスはHガスであり、処理工程の実施のために処理チャンバ100内に供給される窒素含有ガスはNガスであり、不活性ガスはHe又はArである。
プラズマ処理工程中、当該前処理工程を制御するために幾つかの処理パラメータが調節され得る。例示的な一実施形態で、処理チャンバ100内の処理圧力が、約10mTorr〜約5000mTorr、例えば約10mTorr〜約200mTorrなどで調節される。処理混合ガス中のプラズマを維持するために、約13MHz周波数の低いRFバイアス電力が印加され得る。例えば、処理チャンバ100内のプラズマを維持するために、約200ワット未満、例えば約20ワット〜約200ワットなどのRFバイアス電力が印加され得る。チャンバ内に処理混合ガスが約200sccm〜約800sccmの流量で流入され得る。基板温度は摂氏約25度〜摂氏約300度、例えば摂氏約50度〜摂氏約110度などで維持される。
一実施形態で、動作温度、圧力、及びガス流量に応じて、基板は約5秒〜約5分間、当該処理工程に晒される。例えば、基板は、約30秒〜約90秒間、当該前処理工程に晒される。例示的な実施形態で、基板は、約90秒間以下、当該処理工程に晒される。
ブロック306で、図4Cに示すように、処理されたエッチング停止層426を基板402から低速で除去するために、化学エッチング工程が実施される。化学エッチング工程は、処理されたエッチング停止層426をエッチングするため、化学エッチング混合ガスからプラズマキャビティ150内の遠隔プラズマ源を形成するために、化学エッチング混合ガスを、プラズマキャビティ150を通じて処理チャンバ100内へ供給することによって実施される。処理されたエッチング停止層426が、Si−Hの弱結合及び未結合手、又はSi−OH結合をその表面上に有するように処理されると、化学エッチング混合ガスからの攻撃的なエッチャントは、Si−Hの弱結合及び未結合手、又はSi−OH結合を容易に攻撃し、化学エッチング工程中、処理されたエッチング停止層426を基板402から効率的に除去し得る。
一実施形態で、処理されたエッチング停止層426の除去に用いられる化学エッチング混合ガスは、アンモニア(NH)ガスと三フッ化窒素(NF)ガスとの混合物である。処理チャンバに導入される各ガスの量は、例えば、除去されるべき処理されたエッチング停止層426の厚さ、洗浄される基板の形状寸法、プラズマキャビティの容積、チャンバ本体の容積、及びチャンバ本体に連結されている真空システムの容積に適合するよう、変更及び調整され得る。
一又は複数の実施形態で、化学エッチング混合ガスを提供するために添加されるガスは、少なくとも1:1のアンモニア(NH):三フッ化窒素(NF)モル比を有する。一又は複数の実施形態で、化学エッチング混合ガスのモル比は、少なくとも約3:1(アンモニア:三フッ化窒素)である。ガスは、約3:1(アンモニア:三フッ化窒素)〜約30:1、例えば5:1などのモル比でチャンバ100に導入される。アンモニア(NH)と三フッ化窒素(NF)との比は、処理されたエッチング停止層426と隣接する材料層406との間の選択性(例えば、酸化ケイ素層に対する窒化ケイ素層の選択性)、及び、処理されたエッチング停止層426と下層の基板402との間の選択性(例えば、他にもあり得るが、シリコン材料、導電性材料又はメタルシリサイド層などの基板の材料に対する窒化ケイ素層の選択性)を含む、エッチング選択性を向上させるためにも調整され得ることに留意されたい。
エッチング停止層426は、上部に配置される材料層406と比較して相対的に薄い厚さを有することが多いので、ブロック306で化学エッチング工程中にプラズマキャビティ150内で遠隔生成されたプラズマは、処理されたエッチング停止層426が、下層の基板402が露出するまで低速で、穏やかに且つ徐々にエッチングされるよう、相対的にマイルド且つ穏和(gentle)なエッチャントを形成する解離されたエッチャントを有し得る。従来型のin−situプラズマエッチング工程と比較して、処理されたエッチング停止層426を除去するためにブロック306で実施される化学エッチング工程は、インターフェースエッチングの良好な制御をもたらすよう、遠隔プラズマ源を用いて約1Å毎秒〜約10Å毎秒などの低速で進行するように制御され得、これにより、基板402から除去される処理されたエッチング停止層426の正確なエッチング終点が、隣接する材料層406を損傷することなく実現する。化学エッチング工程は、基板表面を過度に攻撃的且つ物理的にボンバード、スパッタリング、又はバイアスすることなく、処理されたエッチング停止層426と化学反応してこれを基板402から除去するように構成されており、これにより、処理されたエッチング停止層426を低速で除去するマイルドなエッチング工程が提供される。化学エッチング工程の各サイクルは、処理されたエッチング停止層426を良好な形状制御で低速で除去するように、処理されたエッチング停止層426を約25Åのみエッチングで取り除き、これにより、基板へのオーバーエッチ、形状変形、又はCD制御の損失の可能性が低減する。
更に、NH及びNFなどのエッチャントは、処理されたエッチング停止層426と攻撃的に反応するが、材料層406及び/又はマスク層411から放出される酸素原子/酸素ラジカルとは穏やかに反応し得ると考えられている。エッチング混合ガスから解離した窒素元素は材料層406から放出された酸素元素と即座に反応し得、これは、ブロック304の処理工程中、又はブロック306の化学エッチング工程中のいずれかに解離する。次いで、窒素元素及び酸素元素は反応して窒素−酸素(NO又はNO*)化合物を形成し、材料層406中の開口特徴部414の側壁を保護し、窒化ケイ素層の効率的なエッチングを助ける。材料層406の側壁が保護されているので、処理されたエッチング停止層426を異方的にエッチングするための望ましい指向性を有するエッチャントを閉じ込める助けとなり、これにより、エッチング効率及び形状制御が強化される。更に、エッチング工程中に生成される窒素−酸素(NO、又はNO*)化合物はまた、基板表面から容易に除去され得且つエッチング工程後に処理チャンバからポンプアウトされ得る揮発性の状態にあり得る。
化学エッチング工程中、ブロック306の化学エッチング工程を制御するために幾つかの処理パラメータが調節され得る。例示的な一実施形態で、処理チャンバ100内の処理圧力が、約10mTorr〜約5000mTorr、例えば約800mTorr〜約5Torrなど、例えば約1500mTに調節される。化学エッチング混合ガス中のプラズマを維持するために、RFソース電力が約60MHzの周波数で供給される。RFソース電力は例えば、約20ワット〜約800ワット、例えば約400ワットなどであり得、化学エッチング混合ガスは約15sccm〜約200sccmの流量でチャンバへ流入され得る。一実施例では、NHガスが、約5sccm〜約300sccm、例えば、約20sccm〜約300sccmなど、例えば約100sccmなどの流量で、エッチング混合ガス中に供給され得る。NFガスは、約5sccm〜約300sccm、例えば、約5sccm〜約50sccmなど、例えば約20sccmなどの流量でエッチング混合ガス中に供給され得る。一実施形態で、Ar又はHeなどの不活性ガスも、エッチング混合ガス中に供給され得る。一実施形態ではHeガスが用いられ、約200sccm〜約1000sccm、例えば約600sccmでエッチング混合ガス中に供給される。基板温度は、摂氏約25度〜摂氏約300度、例えば、摂氏約50度〜摂氏約150度など(例えば摂氏約110度など)に維持される。一実施形態では、イオンのボンバードメントを低減するために、化学エッチング工程中にバイアス電力が供給されない。
図4Cに示す実施形態で、化学エッチングの第1のサイクル後、処理された材料層426は、基板402から除去された約20Å〜約50Åの厚さ420を有し得る。
ブロック308で、エッチング残留物を基板表面から除去するための移行工程がオプションで実施され得る。エッチング残留物の処理チャンバからのポンピング/パージングを支援するために、パージガス又はキャリアガスを含む移行混合ガスが処理チャンバに供給され得る。適切なパージ/キャリアガスは、アルゴン、ヘリウム、水素、窒素、又はそれらの組み合わせを含む。チャンバ内の動作圧力は変化し得る。RF源又はRFバイアス電力の印加がポンプ/パージ処理中に除外されてもよく、例えば、移行工程中にRF電力を印加せずに移行工程が実施される。パージ/キャリアガスは、エッチング残留物の処理チャンバからのポンプ/パージを促進するために用いられ得る。一実施形態で、基板は移行工程に約5秒〜約5分間晒される。例えば、基板は移行工程に約5秒〜約10秒間晒される。例示的な実施形態で、基板は、移行工程に約5秒間晒される。
ブロック304、306、及び308は図3のループ310に示すように繰り返し(即ち、周期的に)、マスク層411と材料層406との間に画定された特徴部414によって露出したエッチング停止層404が図4Dに示すように除去されて、下層の基板402の表面436が露出されるまで、実施され得ることに留意されたい。一実施形態で、エッチング停止層404は、約5nm〜約500nm、例えば、約10nm〜約35nmの厚さを有し得る。繰り返されるプロセスによって、材料層406を過度に攻撃的に攻撃することなく、処理されたエッチング停止層426が周期的に且つ漸進的にエッチングされ、これにより、良好なインターフェースエッチング制御及び正確なエッチング停止終点がもたらされる。繰り返しの処理による漸進的なエッチング、化学エッチング工程、及び/又はオプションの移行工程によって、特徴部の垂直形状及び材料層406とエッチング停止層404と下層の基板402との間のエッチング選択性が促進され、これにより、マスク層411及び材料層406からエッチング停止層404までの特徴部の限界寸法(CD)の転写のためのマスクの正確性が強化される。一実施形態で、マスク層411中に形成される特徴部は、40nm未満のCD、及び7よりも大きい、例えば約5〜約10などのアスペクト比を有し得る。
従って、高い選択性及び良好な形状制御でエッチング停止層を周期的にエッチングする方法及び装置が提供される。本方法により、全体として容認可能な範囲のエッチングスループットがもたらされるとともに、正確なエッチング停止終点が良好なインターフェース制御で実現し得る。周期的な複数工程(例えば、処理工程、化学洗浄処理、及び、オプショナルな移行工程)を用いたエッチング工程により、材料層406を攻撃的に攻撃することなくエッチング停止層中に特徴部をエッチングすることができる。周期的な複数工程エッチング工程により、エッチング停止層が高い選択性及び良好な形状制御で、全体としての処理時間に大きな影響を及ぼすことなく効率的にエッチングされ得る。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他の更なる実施形態を考案することもでき、本発明の範囲は以下の特許請求の範囲によって決定される。

Claims (15)

  1. 窒化ケイ素層をエッチングする方法であって、
    (a)処理混合ガスを処理チャンバ内に供給して窒化ケイ素層を処理することにより、前記窒化ケイ素層が配置された基板に処理工程を実施するステップと、
    (b)少なくともアンモニウムガス及び三フッ化窒素を含む化学エッチング混合ガスを前記処理チャンバ内に供給することにより、処理された窒化ケイ素層をエッチングする化学エッチング工程を前記基板に実施するステップ
    ステップ(a)とステップ(b)を反復して下地の基板が露出するようになるまで窒化ケイ素層をエッチングするステップを
    含む、方法。
  2. (c)ステップ(b)の後に、前記処理チャンバ内に移行混合ガスを供給することにより、ッチングされた基板に移行工程を実施することを更に含む、請求項1に記載の方法。
  3. 前記移行混合ガスは、少なくとも、水素含有ガス、窒素含有ガス、又は不活性ガスを含む、請求項2に記載の方法。
  4. 下層の基板が露出するまで前記窒化ケイ素層をエッチングするために、ステップ(a)からステップ(c)を繰り返し実施することを更に含む、請求項2に記載の方法。
  5. 前記処理混合ガスは、少なくとも、水素含有ガス、窒素含有ガス、又は不活性ガスを含む、請求項1に記載の方法。
  6. 前記基板に前記処理工程を実施することが、前記処理混合ガスにRFバイアス電力を印加することを更に含む、請求項1に記載の方法。
  7. 前記基板に前記化学エッチング工程を実施することが、
    前記化学エッチング混合ガスに、前記処理チャンバから遠隔でRFソース電力を印加すること
    を更に含む、請求項1に記載の方法。
  8. エッチングされた基板に対して前記移行工程を実施することが、
    RF電力を印加せずにエッチング残留物を除去するために、前記移行混合ガスを供給すること
    を更に含む、請求項2に記載の方法。
  9. 前記基板に前記化学エッチング工程を実施することが、
    前記化学エッチング混合ガス中に、前記アンモニウムガス及び前記三フッ化窒素を約5:1からのモル比で供給すること
    を更に含む、請求項1に記載の方法。
  10. 基板温度を摂氏約50度から摂氏約150度で維持すること
    を更に含む、請求項1に記載の方法。
  11. 前記窒化ケイ素層が、半導体デバイス中のコンタクト構造内で用いられるエッチング停止層である、請求項1に記載の方法。
  12. 窒化ケイ素層をエッチングする方法であって、
    (a)窒化ケイ素層が配置された基板であって、窒化ケイ素層上に配置されたパターニングされたマスク層により窒化ケイ素層の一部が露出している基板を処理チャンバ内に移送するステップと
    (b)不活性ガスを含む処理混合ガスを供給して前記窒化ケイ素層の露出した部分を処理するステップと、
    (c)前記処理チャンバ内に少なくともアンモニウムガス及び三フッ化窒素を含む化学エッチング混合ガスを供給して処理された窒化ケイ素層をエッチングするステップ
    前記窒化ケイ素層の露出した部分が基板から除去されるようになるまでステップ(b)とテップ(c)を反復するステップと
    を含む、方法。
  13. (d)不活性ガスを含む移行混合ガスを、前記処理チャンバに供給すること
    を更に含む、請求項12に記載の方法。
  14. 窒化ケイ素層をエッチングする方法であって、
    (a)メタルシリサイド構造上に窒化ケイ素層が配置された基板であって、窒化ケイ素層上に配置されたパターニングされたマスク層により窒化ケイ素層の一部が露出している基板を処理チャンバ内に移送するステップと、
    (b)RFバイアス電力を印加しながら、アルゴンガス又はヘリウムガスを供給して前記窒化ケイ素層の露出した部分を処理するステップと、
    (c)前記処理チャンバから遠隔でRFソース電力を印加しながら、少なくともアンモニウムガス及び三フッ化窒素を含む化学エッチング混合ガスを前記処理チャンバ内に供給して処理された窒化ケイ素層をエッチングするステップと、
    (d)RF電力を印加することなく、アルゴンガス又はヘリウムガスを前記処理チャンバに供給するステップと
    を含む、方法。
  15. 前記窒化ケイ素層の露出した部分が除去されて下地のメタルシリサイド構造が露出するようになるまでステップ(b)から(d)を反復するステップをさらに含む、請求項14に記載の方法。
JP2016541967A 2013-09-17 2014-07-29 周期的エッチング工程を用いたエッチング停止層のエッチング方法 Expired - Fee Related JP6440716B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/029,769 2013-09-17
US14/029,769 US8980758B1 (en) 2013-09-17 2013-09-17 Methods for etching an etching stop layer utilizing a cyclical etching process
PCT/US2014/048550 WO2015041747A1 (en) 2013-09-17 2014-07-29 Methods for etching an etching stop layer utilizing a cyclical etching process

Publications (2)

Publication Number Publication Date
JP2016532313A JP2016532313A (ja) 2016-10-13
JP6440716B2 true JP6440716B2 (ja) 2018-12-19

Family

ID=52632217

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016541967A Expired - Fee Related JP6440716B2 (ja) 2013-09-17 2014-07-29 周期的エッチング工程を用いたエッチング停止層のエッチング方法

Country Status (6)

Country Link
US (1) US8980758B1 (ja)
JP (1) JP6440716B2 (ja)
KR (1) KR102283949B1 (ja)
CN (1) CN105556643B (ja)
TW (1) TWI631616B (ja)
WO (1) WO2015041747A1 (ja)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140199854A1 (en) * 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108352317A (zh) * 2016-02-05 2018-07-31 应用材料公司 具有多重类型腔室的积层蚀刻***
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10312102B2 (en) 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9923083B1 (en) 2016-09-09 2018-03-20 International Business Machines Corporation Embedded endpoint fin reveal
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR102537097B1 (ko) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 유사 원자층 에칭 방법
WO2018156985A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107731678B (zh) * 2017-08-24 2020-04-14 长江存储科技有限责任公司 三维存储器的制作方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
TW202209480A (zh) * 2020-08-17 2022-03-01 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置
CN117650047B (zh) * 2024-01-26 2024-05-17 北京北方华创微电子装备有限公司 形成半导体结构的方法、等离子体发生装置及半导体工艺设备

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW359008B (en) * 1997-12-20 1999-05-21 United Microelectronics Corp Double metal embedding
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP2006108629A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP4627335B2 (ja) * 2009-10-28 2011-02-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5646190B2 (ja) * 2010-03-12 2014-12-24 東京エレクトロン株式会社 洗浄方法及び処理装置
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films

Also Published As

Publication number Publication date
WO2015041747A1 (en) 2015-03-26
TW201515098A (zh) 2015-04-16
KR20160055877A (ko) 2016-05-18
US20150079798A1 (en) 2015-03-19
CN105556643A (zh) 2016-05-04
CN105556643B (zh) 2018-07-27
JP2016532313A (ja) 2016-10-13
US8980758B1 (en) 2015-03-17
KR102283949B1 (ko) 2021-07-30
TWI631616B (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
JP6440716B2 (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
US9659791B2 (en) Metal removal with reduced surface roughness
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
TWI645469B (zh) 使用氣體分配板熱之溫度躍升
JP2006303431A (ja) 成膜装置、成膜方法及び記憶媒体
JP7176106B2 (ja) 誘電体材料の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
TWI837174B (zh) 沉積介電材料之方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170630

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181023

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181120

R150 Certificate of patent or registration of utility model

Ref document number: 6440716

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees