TW201515098A - 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法 - Google Patents

利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法 Download PDF

Info

Publication number
TW201515098A
TW201515098A TW103129006A TW103129006A TW201515098A TW 201515098 A TW201515098 A TW 201515098A TW 103129006 A TW103129006 A TW 103129006A TW 103129006 A TW103129006 A TW 103129006A TW 201515098 A TW201515098 A TW 201515098A
Authority
TW
Taiwan
Prior art keywords
substrate
gas mixture
layer
tantalum nitride
gas
Prior art date
Application number
TW103129006A
Other languages
English (en)
Other versions
TWI631616B (zh
Inventor
Mang-Mang Ling
Sean Kang
Jeremiah T Pender
Srinivas D Nemani
Bradley J Howard
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201515098A publication Critical patent/TW201515098A/zh
Application granted granted Critical
Publication of TWI631616B publication Critical patent/TWI631616B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文提供藉由使用循環蝕刻製程對安置在基板上之蝕刻停止層進行蝕刻之方法。在一實施例中,對蝕刻停止層進行蝕刻之一方法包括:在其上安置有氮化矽層的基板上執行處理製程,即藉由將處理氣體混合物供應至處理腔室中以處理氮化矽層;及在基板上執行化學蝕刻製程,即藉由將化學蝕刻氣體混合物供應至處理腔室,其中化學蝕刻氣體混合物包括至少一氨氣及一三氟化氮,其中化學蝕刻製程對已經處理之氮化矽層進行蝕刻。

Description

利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
本發明之實施例一般係關於用於形成半導體裝置之方法。更特定而言,本發明之實施例一般係關於藉由使用用於製造半導體裝置之循環蝕刻製程對安置在基板上之蝕刻停止層進行蝕刻之方法。
可靠地生產亞半微米及更小的特徵結構是對半導體裝置之下一代極大型積體電路(very large scale integration;VLSI)及超大型積體電路(ultra large-scale integration;ULSI)的關鍵技術挑戰之一。然而,隨著推行對電路技術之限制,VLSI及ULSI互連技術之縮小尺寸已對處理能力具有了額外需求。閘極結構在基板上之可靠形成對於VLSI及ULSI之成功及對用以增大電路密度及提高單個基板及晶粒之品質的後續工作十分重要。
諸如光阻層之圖案化遮罩常用於在基板上藉由蝕刻製程形成諸如閘極結構、淺溝槽隔離(shallow trench isolation;STI)、位元線及類似物之結構。圖案化遮罩以習用方式製造而 成,方法是藉由使用微影製程以光學方式將具有所需臨界尺寸之圖案轉印至光阻層。然後,光阻層經顯影以移除光阻劑中之不需要的部分,從而在剩餘光阻劑中產生開口。
為賦能下一代裝置及結構之製造,已針對技術限制推行對經設計而形成之用於半導體裝置之結構的幾何形狀限制,對具有較高深寬比之較小臨界尺寸結構的製造之準確的製程控制的需求已變得日益重要。在蝕刻製程期間的不良製程控制將導致不規則結構輪廓及接線邊緣粗糙,從而導致所形成結構之不良的接線完整性。此外,在蝕刻期間形成之蝕刻副產物之不規則輪廓及生長可能逐漸阻塞用以製造較小臨界尺寸結構之小開口,從而導致蝕刻結構中之彎曲、畸變、傾倒,或扭轉輪廓。
此外,選用於安置在薄膜堆疊中之硬光罩層、相鄰層,及下層蝕刻停止層之材料,及甚至基板上之下層材料之間的相似性亦可能在上述各者之間導致類似的蝕刻特性,從而在蝕刻期間導致不良的選擇性。硬光罩層、相鄰層,及基板上之材料之間的不良選擇性可能導致硬光罩層之不均勻的、錐形及變形的輪廓,從而導致不良的圖案轉印及無法進行準確的結構尺寸控制。由此,蝕刻停止層常用以提供蝕刻停止介面,該介面可提供較高蝕刻選擇性以協助保護下層材料免於損害及減少過度蝕刻之可能性。
由此,用於蝕刻製程之化學蝕刻劑對於鄰接材料層、蝕刻停止層,及材料層(導電層或介電層)之下層上表面必須具有更大蝕刻選擇性,以便提供優良的介面控制。當 蝕刻停止層經蝕刻時,鄰接的材料層可能受到反應性蝕刻物質之侵蝕,導致鄰接的材料層頂部及/或側壁上之不均勻性或錐形輪廓,從而導致不良的輪廓變形。由此,需要具有較高選擇性之蝕刻劑增強措施以用於進行準確的圖案轉印。然而,習用的蝕刻劑之選擇性並不足以賦能下一代裝置之穩健製造。
由此,需要對蝕刻停止層進行蝕刻之改良方法,以便利用高選擇性及準確的製程及輪廓控制來製造半導體裝置。
本文提供藉由使用循環蝕刻製程對安置在基板上之蝕刻停止層進行蝕刻之方法。在一實施例中,對蝕刻停止層進行蝕刻之一方法包括在其上安置有氮化矽層的基板上執行處理製程,即藉由將處理氣體混合物供應至處理腔室中以處理氮化矽層;及在基板上執行化學蝕刻製程,即藉由將化學蝕刻氣體混合物供應至處理腔室,其中化學蝕刻氣體混合物包括至少一氨氣及一三氟化氮,其中化學蝕刻製程對已經處理之氮化矽層進行蝕刻。
在另一實施例中,對蝕刻停止層進行蝕刻之一方法包括在處理腔室中移送基板,該基板上安置有氮化矽層,其中安置在該氮化矽層上之圖案化氧化矽層及圖案化遮罩層曝露氮化矽層之一部分;供應處理氣體混合物以處理氮化矽層之曝露部分,其中處理氣體混合物包括惰性氣體;及將化學蝕刻氣體混合物供應至處理腔室,其中化學蝕刻氣體混合物 包括至少一氨氣及一三氟化氮以蝕刻已經處理之氮化矽層。
在另一實施方式中,對氮化矽層進行蝕刻之一方法包括將基板移送至處理腔室中,該基板上有一氮化矽層安置在金屬矽化物結構上,其中氮化矽層具有安置在氮化矽層上之圖案化氧化矽層及圖案化遮罩層,從而曝露氮化矽層之一部分;在施加射頻偏壓功率以處理曝露之氮化矽層之同時供應氬氣或氦氣;在以遠端方式自處理腔室施加射頻來源功率以蝕刻已經處理之氮化矽層之同時,供應化學蝕刻氣體混合物,該氣體混合物包括至少一氨氣及一三氟化氮;及在不施加射頻功率之情況下將氬氣或氦氣供應至處理腔室。
100‧‧‧處理腔室
112‧‧‧腔室主體
114‧‧‧流量閥開口
115‧‧‧通路
120‧‧‧襯墊
125‧‧‧孔
129‧‧‧泵送通路
130‧‧‧真空泵
131‧‧‧真空埠
132‧‧‧節流閥
140‧‧‧蓋組件
141‧‧‧處理區域
143‧‧‧第一電極
145‧‧‧第二電極
150‧‧‧電漿體積或空腔
152‧‧‧電源
154‧‧‧進氣口
155‧‧‧擴展區段
155A‧‧‧上部
155B‧‧‧下部
156‧‧‧上部區段
157‧‧‧直徑
160‧‧‧絕緣體環
165‧‧‧氣道或孔
170‧‧‧分配板
172‧‧‧孔
174‧‧‧通道
175‧‧‧阻隔板
176‧‧‧孔
178‧‧‧蓋邊緣
179‧‧‧通道
180‧‧‧支撐組件
181‧‧‧電極
183‧‧‧升舉機構
184‧‧‧射頻功率偏壓源
185‧‧‧支座構件
186‧‧‧射頻功率偏壓源
187‧‧‧軸
188‧‧‧波紋管
189‧‧‧匹配電路
190‧‧‧頂板
192‧‧‧孔
193‧‧‧升舉銷
195‧‧‧環形升舉環
196‧‧‧邊緣環
197‧‧‧淨化氣體導管
198‧‧‧流體通路
199‧‧‧傳熱導管
200‧‧‧多腔室處理系統
202‧‧‧負載鎖定腔室
204‧‧‧負載鎖定腔室
210‧‧‧第一機器人
212‧‧‧基板處理腔室
214‧‧‧基板處理腔室
216‧‧‧基板處理腔室
222‧‧‧移送室
224‧‧‧移送室
230‧‧‧第二機器人
232‧‧‧處理腔室
234‧‧‧處理腔室
236‧‧‧處理腔室
238‧‧‧處理腔室
300‧‧‧方法
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧迴路
402‧‧‧基板
404‧‧‧蝕刻停止層
406‧‧‧材料層
408‧‧‧硬光罩層
410‧‧‧光阻層
411‧‧‧遮罩層
412‧‧‧開口特徵結構
413‧‧‧曝露部分
414‧‧‧開口特徵結構
420‧‧‧厚度
426‧‧‧蝕刻停止層
436‧‧‧表面
450‧‧‧薄膜堆疊
為可詳細理解上文列舉之本發明之特徵,可藉由參考實施例對上文簡述之本發明進行更為特定之描述,該等實施例中之一些實施例在附圖中圖示。然而,將注意,附圖僅圖示本發明之典型實施例,因此將不被視作限制本發明之範疇,因為本發明可承認其他等效的實施例。
第1圖是說明性處理腔室之橫截面圖,本發明之實施例可在該處理腔室中得以實踐。
第2圖是說明性多腔室處理系統之示意性俯視圖。
第3圖繪示依據本發明之一實施例,使用循環蝕刻製程對蝕刻停止層進行蝕刻之流程圖;及第4A圖到第4D圖繪示依據本發明之一實施例,在蝕刻停止層之蝕刻期間,安置在半導體基板上的蝕刻停止層之橫截面圖。
為便於理解,在可能之情況下已使用相同元件符號以指定圖式中共有之相同元件。假設一實施例之元件及特徵可在無需其他詳述之情況下以有利方式併入其他實施例。
然而,將注意,附圖僅圖示本發明之示例性實施例,因此將不被視作限制本發明之範疇,因為本發明可能承認其他等效的實施例。
本發明之實施例提供利用高選擇性及準確的輪廓控制來對在半導體裝置基板上形成之蝕刻停止層進行蝕刻之方法。在一實施例中,蝕刻製程包括使用緩慢的循環蝕刻製程以逐漸蝕刻蝕刻停止層,直至曝露下層基板。緩慢的循環蝕刻製程可協助控制蝕刻選擇性及在介面處提供相對準確的蝕刻停止端點,以便提供對蝕穿該蝕刻停止層以曝露下層基板之優良的輪廓控制。在一實施例中,蝕刻製程可用以蝕刻半導體裝置之接觸結構中所用之蝕刻停止層。
如本文中使用之術語「接觸結構」係指一材料層,該材料層包括可形成閘極電極之部分之金屬矽化物。在一或更多個實施例中,金屬矽化物可是矽化鎳、矽化鈷、矽化鈦,或上述各者之任何組合。金屬矽化物亦可包括鎢、矽化鎢、矽化鈦/鈷合金、矽化鈦/鎳合金、矽化鈷/鎳合金,及矽化鎳/鉑。或者,接觸結構可為矽基、鍺基,或含有鍺摻雜劑及/或其他摻雜劑之矽基。
第1圖是說明性處理腔室100之橫截面圖,該處理腔室100適用於執行下文進一步所述之蝕刻製程。腔室100 可經配置以自安置在基板表面上之材料層移除材料。腔室100尤其可用於執行電漿輔助乾式蝕刻製程。處理腔室100可為SiconiTM腔室,該腔室可購自美國加利福尼亞州聖克拉拉市應用材料公司。應注意,購自其他製造商之其他真空處理腔室亦可經調適以實踐本發明。
處理腔室100在不破壞真空之情況下提供基板表面之加熱及冷卻。在一實施例中,處理腔室100包括腔室主體112、蓋組件140,及支撐組件180。蓋組件140安置在腔室主體112之上端處,及支撐組件180至少部分安置在腔室主體112內。
腔室主體112包括形成於腔室主體之側壁中之流量閥開口114以提供對處理腔室100之內部之進出。選擇性開啟及關閉流量閥開口114以容許晶圓傳送機器人(未圖示)進出腔室主體112之內部。
在一或更多個實施例中,腔室主體112包括在其內部形成之通路115以用於使傳熱流體流經腔室主體。傳熱流體可為加熱流體或冷卻劑,及用以在處理及基板移送期間控制腔室主體112之溫度。腔室主體112之溫度控制對於阻止氣體或副產物在腔室壁上之非所欲凝結而言十分重要。示例性傳熱流體包括水、乙二醇,或該兩者之混合物。示例性傳熱流體亦可包括氮氣。
腔室主體112可進一步包括圍繞支撐組件180之襯墊120。襯墊120可移除以用於維修及清洗。襯墊120可由諸如鋁之金屬、陶瓷材料,或任何其他製程相容材料製成。襯 墊120可經珠粒噴擊以提高表面糙度及/或表面面積,以提高沉積在襯墊上之任何材料之附著力,從而阻止發生導致處理腔室100之污染物之材料剝脫。在一或更多個實施例中,襯墊120包括一或更多個孔125及形成於襯墊中之泵送通路129,該泵送通路與真空系統流體連通。孔125為氣體提供進入泵送通路129之流徑,泵送通路為處理腔室100內之氣體提供出口。
真空系統可包括真空泵130及節流閥132以調節穿過處理腔室100之氣體流。真空泵130耦接至安置在腔室主體112內之真空埠131,及因此與在襯墊120內形成之泵送通路129形成流體連通。除非另作說明,否則術語「一氣體(gas)」及「多種氣體(gases)」可互換使用,及可指一或更多個前驅物、反應物、催化劑、載體、淨化氣體、清洗氣體、上述各者之組合,及引入到腔室主體112內之任何其他流體。
蓋組件140包括至少兩個堆疊部件,該等部件經配置以在部件之間形成電漿體積或空腔。在一或更多個實施例中,蓋組件140包括第一電極143(「上部電極」),該第一電極垂直地安置在第二電極145(「下部電極」)上方,在兩者之間約束電漿體積或空腔150。第一電極143連接至諸如射頻電力供應之電源152,及第二電極145接地,從而在該兩個電極143、145之間形成電容。
在一或更多個實施例中,蓋組件140包括一或更多個進氣口154(僅圖示一個),該等進氣口至少部分形成於第一電極143之上部區段156內。一或更多種製程氣體經由一 或更多個進氣口154進入蓋組件140。一或更多個進氣口154在其第一端部處與電漿空腔150流體連通,及在其第二端部耦接至一或更多個上游氣源及/或其他輸氣部件,諸如氣體混合器。
在一或更多個實施例中,第一電極143具有容納電漿空腔150之擴展區段155。在一或更多個實施例中,擴展區段155是環形構件,該環形構件具有自擴展區段之上部155A至擴展區段之下部155B逐漸增大之內表面或直徑157。因此,第一電極143與第二電極145之間的距離是可變的。該可變距離有助於控制在電漿空腔150內產生之電漿之形成及穩定性。
在一或更多個實施例中,擴展區段155類似於倒置的截頂錐或「漏斗」。在一或更多個實施例中,擴展區段155之內表面157自擴展區段155之上部155A到下部155B逐漸傾斜。內徑157之傾斜或角度可依據製程需求及/或製程限制而變化。擴展區段155之長度或高度亦可依據特定的製程需求及/或限制而變化。
如上文所提及,由於第一電極143之逐漸增大的內表面157,第一電極143之擴展區段155改變第一電極143與第二電極145之間的垂直距離。該可變距離與電漿空腔150內之功率位準直接相關。在不希望受理論約束之情況下,該兩個電極143、145之間的距離變化容許電漿探索到必要的功率位準,以使其自身即使不充滿整個電漿空腔150,亦維持在電漿空腔150內之一些部分中。因此,電漿空腔150內之電 漿對壓力的依賴性減少,從而容許電漿在更寬的操作視窗中得以產生及維持。因此,可在蓋組件140內形成可再現性及可靠性更高之電漿。由於在電漿空腔150中產生之電漿在進入支撐組件180上方之基板在其中前進之處理區域141之前經界定位於蓋組件140中,所以電漿被視作自處理區域141之遠端產生之遠端電漿來源。
擴展區段155與進氣口154流體連通,如上所述。一或更多個進氣口154之第一端部可在擴展區段155之內徑之最高點處通向電漿空腔150。同樣,一或更多個進氣口154之第一端部可沿擴展區段155之內徑157在任何高度間隔處通向電漿空腔150。儘管未圖示,但兩個進氣口154可安置在擴展區段155之相對側以產生進入擴展區段155之旋流形式或「渦流」,此舉有助於在電漿空腔150內混合氣體。
蓋組件140可進一步包括絕緣體環160,該絕緣體環使第一電極143與第二電極145電絕緣。絕緣體環160可由氧化鋁或任何其他絕緣製程相容材料製成。絕緣體環160圍繞或大體上圍繞至少擴展區段155。
蓋組件140可進一步包括與第二電極145鄰接之分配板170及阻隔板175。第二電極145、分配板170,及阻隔板175可堆疊及安置在連接至腔室主體112之蓋邊緣178上。鉸接組件(未圖示)可用以將蓋邊緣178耦接至腔室主體112。蓋邊緣178可包括嵌式通路或通道179以用於容納傳熱介質。傳熱介質可用於依據製程需求而加熱、冷卻,或同時進行兩者。
在一或更多個實施例中,第二電極或頂板145可包括形成於電漿空腔150下方之複數個氣道或孔165,以容許氣體自電漿空腔150流經第二電極或頂板。分配板170大體上為圓盤形,及亦包括複數個孔172或通路以分配流經該分配板之氣流。孔172可定尺寸及圍繞分配板170定位以向待處理的基板所位於之腔室主體112提供可控及均勻的氣流分配。此外,孔172藉由減緩流動氣體之速度分佈及使該速度分佈重新導向,及均勻分配氣流以提供對在基板表面上之氣體之均勻分配,來阻止氣體直接衝擊基板表面。
在一或更多個實施例中,分配板170包括一或更多個嵌式通路或通道174以用於容納加熱器或加熱流體以提供對蓋組件140之溫度控制。電阻加熱元件(未圖示)可***通道174內以加熱分配板170。熱電偶可連接至分配板170以調節該分配板之溫度。熱電偶可用於反饋迴路中以控制施加於加熱元件之電流,如上所述。
或者,傳熱介質可穿過通道174。一或更多個通道174可包含冷卻介質(若需要),以依據腔室主體112內之製程需求來更佳地控制分配板170之溫度。例如,可使用任何適用之傳熱介質,如氮、水、乙二醇,或上述各者之混合物。
在一或更多個實施例中,蓋組件140可藉由使用一或更多個加熱燈(未圖示)而加熱。通常情況下,加熱燈圍繞分配板170之上表面而經排列以藉由輻射來加熱蓋組件140之部件,包括分配板170。
阻隔板175(若存在)可選擇性地安置在第二電極 145與分配板170之間。阻隔板175以可移除方式安裝至第二電極145之下部表面。阻隔板175可與第二電極145進行良好的熱接觸及電接觸。在一或更多個實施例中,阻隔板175可藉由使用螺釘或類似緊固件耦接至第二電極145。阻隔板175亦可經螺紋緊固或螺接至第二電極145之外徑上。
阻隔板175包括複數個孔176以提供自第二電極145至分配板170的複數個氣道。孔176可經定尺及圍繞阻隔板175定位以向分配板170提供可控及均勻的氣流分配。
支撐組件180可包括支座構件185以支撐用於在腔室主體112內進行處理之基板(此視圖中未圖示)。支座構件185可經由軸187耦接至升舉機構183,該軸187延伸穿過在腔室主體112的底表面中形成之中心定位開口114。升舉機構183可藉由阻止軸187周圍真空洩漏之波紋管188以可撓曲方式密封至腔室主體112。升舉機構183容許支座構件185在腔室主體112內在製程位置與下部移送位置之間垂直地移動。移送位置略低於形成於腔室主體112側壁中之流量閥開口114。
在一或更多個實施例中,支座構件185具有平坦的圓形表面或大體上平坦的圓形表面,以用於在其上方支撐待處理的基板。支座構件185可由鋁構造而成。支座構件185可包括例如由諸如矽或陶瓷材料之某一其他材料製成之可移除頂板190,以減少基板之背側污染。
在一或更多個實施例中,基板(未圖示)可藉由使用真空卡盤而經緊固至支座構件185。在一或更多個實施例 中,基板(未圖示)可藉由使用靜電卡盤而經緊固至支座構件185。靜電卡盤通常包括圍繞電極181之至少一介電材料,該電極可能位於支座構件185中或形成為支座構件185之一體式部分。卡盤之介電質部分使卡盤電極與基板及與支撐組件180之其餘部分電絕緣。
在一實施例中,電極181耦接至複數個射頻功率偏壓源184、186。該等射頻偏壓電源184、186耦接在安置在支座構件185中之電極181之間。射頻偏壓功率激勵及維持由安置在腔室主體的處理區域141中之氣體形成之電漿放電。
在第1圖繪示之實施例中,雙射頻偏壓電源184、186經由匹配電路189耦接至安置在支座構件185中之電極181。由射頻偏壓電源184、186產生之信號經由匹配電路189傳遞至支座構件185,經由單次饋電以使電漿處理腔室100中提供之氣體混合物離子化,從而提供執行沉積或其他電漿增強製程時所必需的離子能。射頻偏壓電源184、186一般能夠生成頻率自約50kHz至約200MHz之射頻信號,及在約0瓦特與約5000瓦特之間之功率。可將額外的偏壓電源耦接至電極181以如所需地控制電漿特性。
支座構件185可包括穿過該支座構件而形成之孔192以調節升舉銷193,第1圖中圖示該等升舉銷中之一者。每一升舉銷193由陶瓷或含陶瓷之材料構造而成,及用於基板處置及運輸。在與安置在腔室主體112內之環形升舉環195接合時,升舉銷193可在其對應孔192內移動。升舉環195可移動,以便在升舉環195處於上部位置之情況下,升舉銷 193之上表面可在支座構件185之基板支撐表面上方延伸。相反,當升舉環195處於下部位置時,升舉銷193之上表面位於支座構件185之基板支撐表面以下。由此,當升舉環195自下部位置移至上部位置或自上部位置移至下部位置時,每一升舉銷193在支座構件185中其對應孔192中移動。
支撐組件180可進一步包括圍繞支座構件185而安置之邊緣環196。在一或更多個實施例中,邊緣環196是一環形構件,該環形構件經調適以覆蓋支座構件185之外緣及保護支座構件185免於沉積。邊緣環196可定位在支座構件185之上或鄰近處以在支座構件185之外徑與邊緣環196之內徑之間形成環形淨化氣體通路。環形淨化氣體通路可與穿過支座構件185及軸187而形成之淨化氣體導管197流體連通。淨化氣體導管197與淨化氣體供應器(未圖示)流體連通以向淨化氣體通路提供淨化氣體。可單獨或組合使用諸如氮、氬,或氦之任何適合的淨化氣體。在操作中,淨化氣體流經導管197進入淨化氣體通路,及圍繞安置在支座構件185上之基板邊緣。由此,淨化氣體與邊緣環196協作以阻止基板邊緣及/或背側處發生沉積。
支撐組件180之溫度可由經由流體通路198循環之流體所控制,該流體通路198埋置入支座構件185之主體中。在一或更多個實施例中,流體通路198與傳熱導管199流體連通,該傳熱導管199穿過支撐組件180之軸187而安置。流體通路198圍繞支座構件185定位以向支座構件185之基板接收表面提供均勻的傳熱。流體通路198及傳熱導管199 可使傳熱流體流動以加熱或冷卻支座構件185。可使用任何適用之傳熱流體,如水、氮、乙二醇,或上述各者之混合物。支撐組件180可進一步包括埋入式熱電偶(未圖示)以用於監視支座構件185之支座表面溫度。例如,來自熱電偶之信號可用於反饋迴路以控制經由流體通路198循環之流體之溫度或流率。
支座構件185可在腔室主體112內垂直地移動,以便可控制支座構件185與蓋組件140之間的距離。感測器(未圖示)可提供關於腔室100內之支座構件185之位置的資訊。
在操作中,支座構件185可升高以緊鄰蓋組件140以控制正在處理之基板的溫度。因此,基板可經由自分配板170發出之輻射而加熱。或者,可藉由使用由升舉環195啟動之升舉銷193將基板舉離支座構件185以緊鄰經加熱之蓋組件140。
系統控制器(未圖示)可用以調節處理腔室100的操作。系統控制器可在儲存在電腦記憶體上之電腦程式之控制下操作。電腦程式可包括賦能下文所述之預清洗製程在處理腔室100中執行之指令。例如,電腦程式可命令製程定序及時序、氣體之混合、腔室壓力、射頻功率位準、基座定位、流量閥開啟及關閉、晶圓冷卻,及特定製程之其他參數。
第2圖是說明性多腔室處理系統200之示意性俯視圖,該說明性多腔室處理系統200可經調適以執行如本文中揭示之製程,處理腔室100耦接至該多腔室處理系統。系統200可包括一或更多個負載鎖定腔室202、204以用於將基板 移送進出系統200。通常情況下,因為系統200處於真空下,因此負載鎖定腔室202、204可將引入到系統200中之基板「抽真空」。第一機器人210可在負載鎖定腔室202、204與第一組一或更多個基板處理腔室212、214、216、100(圖示為四個腔室)之間移送基板。每一處理腔室212、214、216、100經配置以執行基板處理操作中之至少一者,如蝕刻製程、原子層蝕刻(atomic layer etching;ALE)、循環層沉積(cyclical layer deposition;CLD)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、脫氣、定向,及其他基板製程。用以執行蝕刻製程之處理腔室100相對於其他腔室212、214、216之位置以說明為目的,且若需要,則處理腔室100之位置可選擇性地與處理腔室212、214、216中任一者互換。
第一機器人210亦可將基板移送至/出一或更多個移送室222、224。移送室222、224可用以維持超高真空狀態,同時容許在系統200內移送基板。第二機器人230可在移送室222、224與第二組一或更多個處理腔室232、234、236、238之間移送基板。類似於處理腔室212、214、216、100,處理腔室232、234、236、238可經裝備以執行多種基板處理操作,包括本文所述之乾式蝕刻製程及任何其他適合之製程,例如包括沉積、預清洗、脫氣,及定向。如若將由系統200執行之特定製程不需要基板處理腔室,則可自系統200中移除基板處理腔室212、214、216、100、232、234、236、 238中之任何者。
第3圖圖示用於執行蝕刻製程以利用高選擇性及優良的輪廓控制對安置在基板上之蝕刻停止層進行蝕刻之一種方法300。第3圖中描述之方法300對應於第4A圖到第4D圖中繪示之製造階段之序列,該等製造階段序列將在下文中進行論述。第4A圖到第4D圖圖示在藉由方法300對安置在所圖示之薄膜堆疊450中之蝕刻停止層404進行蝕刻之不同階段期間,基板402之示意性橫截面圖,薄膜堆疊450在該基板上形成。
方法300始於方塊302,將基板(諸如第4A圖中繪示之基板402)移送至處理腔室(諸如第1圖中繪示之處理腔室100或其他適當的處理腔室)內。基板402可具有大體平坦之表面、不均勻表面,或上方形成有結構之大體上平坦之表面。第4A圖中圖示之基板402包括在基板402上形成之薄膜堆疊450。在一實施例中,基板402可為諸如晶態矽(例如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或非摻雜之多晶矽、絕緣體(SOI)上之摻雜或非摻雜之矽晶圓及圖案化或非圖案化之晶圓矽、摻碳氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石之材料。基板402可具有多種尺寸,如200mm、300mm或450mm直徑之晶圓,及矩形或正方形板件。除非另作說明,否則本文所述之實施例及實例在直徑為300mm或450mm之基板上實施。
在一實施例中,薄膜堆疊450包括安置在基板402上的蝕刻停止層404上之材料層406。在一實施例中,材料層 406及蝕刻停止層404可用以在前端及後端製程中形成閘極結構、淺溝槽隔離(shallow trench isolation;STI)結構、接觸結構,或互連結構。在一實施例中,可對蝕刻停止層404執行方法300以在材料層406中及在材料層中之蝕刻停止層404中形成接觸結構。在一實施例中,蝕刻停止層404可為矽介電層,如氮化矽層(SiN)及氮氧化矽層(SiON)。在蝕刻停止層404(例如氮化矽層)用於接觸結構中之實施例中,基板可包括s個觸接觸金屬矽化物,該等接觸金屬矽化物在基板中直接形成於蝕刻停止層404下方,該等接觸金屬矽化物在蝕刻停止層404經移除及蝕刻掉之後將曝露。接觸金屬矽化物之適合實例可包括鎢、矽化鎢、矽化鈦/鈷合金、矽化鈦/鎳合金、矽化鈷/鎳合金,及矽化鎳/鉑合金。
材料層406可為選自一群組之介電層,該群組由以下各者組成:氧化物層、氮化物層、氮化鈦層、氧化物及氮化物層之合成物、至少兩個或兩個以上氧化物層之間夾一氮化物層,及上述各者之組合等。介電層之其他適合材料包括未摻雜之矽玻璃(silicon glass;USG),如氧化矽或TEOS、硼矽酸鹽玻璃(boron silicate;BSG)、磷矽酸鹽玻璃(phosphorus silicatc;PSG)、硼磷矽酸鹽玻璃(boron phosphorus silicate;BPSG),及上述各者之組合。在本文繪示之一示例性實施例中,材料層406是未摻雜之矽玻璃(silicon glass;USG)層。在一實施例中,介電材料層406之厚度在約3000Å至約15000Å之間,如在約4000Å至約12000Å之間,例如約10000Å。
圖案化遮罩層411安置在材料層406上。圖案化遮 罩層411具有開口特徵結構412,該特徵結構曝露蝕刻停止層404之表面之部分413以用於進行蝕刻。在一實施例中,遮罩層411可為硬光罩層、光阻劑遮罩,或該兩者之組合。遮罩層411中之開口特徵結構412用作蝕刻遮罩,以在蝕刻停止層404中形成具有所需深寬比之開口特徵結構414。本文所述之開口特徵結構414可包括溝槽、通孔、開口,等等。在遮罩層411是硬光罩層之一實施例中,遮罩層411可為選自一群組之材料,該群組由以下各者組成:矽、氧化矽、氮化矽、氮氧化矽、碳化矽、非晶碳,及上述各者之組合等。在遮罩層411是圖案化光阻層之實施例中,如微影圖案化遮罩。光阻層可為正型光阻劑、負型光阻劑、紫外線微影術光阻劑、i-Line光阻劑、電子射束抗蝕劑(例如化學放大抗蝕劑(chemically amplified resist;CAR)),或其他適合之光阻劑。在第4A圖中繪示之一示例性實施例中,圖案化遮罩層411是安置在硬光罩層408上之光阻層410之組合,及硬光罩層408是氮化矽層或氧化矽層。
在方塊304中,執行一處理製程以處理蝕刻停止層404之表面之曝露部分413,以改變表面性質以便於在隨後之化學蝕刻製程中移除蝕刻停止層404。在方塊304中執行之處理製程包括將處理氣體混合物供應至腔室100中。然後,電漿由處理氣體混合物形成,以對蝕刻停止層404之表面之曝露部分413進行電漿處理以活化蝕刻停止層404至激發態,從而形成已處理之蝕刻停止層426,如第4B圖中所示,該蝕刻停止層可由此易於與隨後供應至處理腔室100中之化學蝕 刻氣體反應,從而形成可易於自處理腔室100中抽出之揮發性氣體副產物。
在一實施例中,處理氣體混合物包括含氫氣體、含氮氣體,或惰性氣體中之至少一者。據信,在處理氣體混合物中供應之含氫氣體、含氮氣體,或惰性氣體可協助增加由處理氣體混合物形成之電漿中的離子之使用壽命。離子的增加的使用壽命可協助與基板402上之蝕刻停止層426更徹底地反應及活化該蝕刻停止層426,從而在隨後之化學蝕刻製程期間使活化蝕刻停止層426自基板402之移除得到增強。在含氮氣體用於處理氣體混合物中之實施例中,來自含氮氣體之氮原子可與材料層406中及/或蝕刻停止層404中之氧原子反應以形成揮發性狀態之氮氧(NO或NO*)化合物,該化合物可易於自基板表面移除,及自處理腔室中抽出。在含氫氣體用於處理氣體混合物中之實施例中,來自含氫氣體之氫原子可與蝕刻停止層404中所含之矽原子反應,從而在已處理材料層426之經處理表面(例如曝露部分413)上形成Si-H或Si-OH鍵之弱及懸鍵。具有Si-H或Si-OH鍵末端之已處理蝕刻停止層426可易於由隨後供應至處理腔室100之其他蝕刻劑吸收,從而協助自基板表面輕鬆移除已處理的蝕刻停止層426。同樣,在使用諸如氦或氬之惰性氣體之實施例中,惰性氣體可處理及溫和轟擊蝕刻停止層404以活化蝕刻停止層404至激發態,從而協助與供應至處理腔室100之蝕刻劑之反應。
在一實施例中,供應至處理腔室100中之含氫氣體 包括H2、H2O,及類似物中之至少一者。供應至處理腔室100中之含氮氣體至少包括N2、N2O、NO2、NH3,及類似物。供應至處理腔室100中之惰性氣體包括氬、氦、氪,及類似物中之至少一者。在一示例性實施例中,供應至處理腔室100中以執行處理製程之含氫氣體是氫氣,及供應至處理腔室100中以執行處理製程之含氮氣體是氮氣,及惰性氣體是氦或氬。
在電漿處理製程期間,數個製程參數可經調節以控制預處理製程。在一示例性實施例中,處理腔室100中之製程壓力經調節處於約10毫托至約5000毫托之間,如約10毫托與約200毫托之間。處於約13MHz頻率下之低射頻偏壓功率可經施加以將電漿維持在處理氣體混合物中。例如,約小於200瓦特(如約20瓦特與約200瓦特之間)之射頻偏壓功率可經施加以將電漿維持在處理腔室100內部。處理氣體混合物可以約200sccm至約800sccm之間的速率流入腔室。基板溫度維持在約25℃至約300℃之間,如約50℃與約110℃之間。
在一實施例中,基板依據操作溫度、壓力,及氣體流率而經受處理製程達約5秒至約5分鐘之間。例如,基板可曝露於預處理製程達約30秒至約90秒。在一示例性實施例中,基板曝露於處理製程達約90秒或更短的時長。
在方塊306中,執行化學蝕刻製程以自基板402上緩緩移除已處理之蝕刻停止層426,如第4C圖中所示。執行化學蝕刻製程,藉由將化學蝕刻氣體混合物經由電漿空腔150供應至處理腔室100以在電漿空腔150中由化學蝕刻氣體混 合物形成遠端電漿來源,以用於對已處理之蝕刻停止層426進行蝕刻。由於已處理之蝕刻停止層426已經處理以在表面上具有與Si-H或Si-OH鍵末端之弱及懸鍵,因此來自化學蝕刻氣體混合物之腐蝕性蝕刻劑可易於侵蝕與Si-H或Si-OH鍵末端之弱及懸鍵,及在化學蝕刻製程期間有效地自基板402上移除已處理之蝕刻停止層426。
在一實施例中,用以移除已處理之蝕刻停止層426之化學蝕刻氣體混合物是氨氣(NH3)及三氟化氮(NF3)氣體之混合物。被引入處理腔室之每一氣體之量可變化及經調節以適應例如待移除之蝕刻停止層426之厚度、正在清洗之基板之幾何形狀、電漿之體積容量、腔室主體之體積容量,及耦接至腔室主體之真空系統之容量。
在一或更多個實施例中,添加氣體以提供化學蝕刻氣體混合物,該混合物中之氨氣(NH3)與三氟化氮(NF3)具有至少1:1之莫耳比率。在一或更多個實施例中,化學蝕刻氣體混合物之莫耳比率至少為約3:1(氨氣與三氟化氮)。以自約3:1(氨氣與三氟化氮)至約30:1(如約5:1)之莫耳比率將該等氣體引入腔室100。應注意,氨氣(NH3)與三氟化氮(NF3)之間之比率亦可經調節以改良蝕刻選擇性,包括已處理之蝕刻停止層426與鄰接的材料層406之間之選擇性(例如氮化矽層對氧化矽層之選擇性)及已處理之蝕刻停止層426與下層基板402之間的選擇性(例如氮化矽層對基板之材料之選擇性,該材料諸如矽材料、導電性材料,或金屬矽化物層等等)。
由於蝕刻停止層426與安置在其上方之材料層406 相比常具有相對較薄之厚度,因此在方塊306處的化學蝕刻製程期間在電漿空腔150中遠端產生之電漿可使蝕刻劑經分解以形成相對適度及溫和的蝕刻劑,以便緩緩地、溫和地,及逐漸地蝕刻已處理之蝕刻停止層426,直至曝露下層基板402。與習用的原位電漿蝕刻製程相比,在方塊306處經執行以移除已處理之蝕刻停止層426之化學蝕刻製程可經控制以利用遠端電漿來源以緩慢速率(如約1Å/秒與約10Å/秒之間)繼續進行,以便提供對介面蝕刻之優良控制,從而在不損害鄰接的材料層406之情況下容許為正在自基板402被移除之已處理之蝕刻停止層426提供精確的蝕刻端點。化學蝕刻製程經配置以使蝕刻停止層426發生化學反應及在不過度腐蝕及物理轟擊、噴濺,或偏壓基板表面之情況下自基板402移除已處理之蝕刻停止層426,從而提供緩慢移除已處理之蝕刻停止層426之溫和的蝕刻製程。化學蝕刻製程之每一週期可僅蝕刻掉已處理之蝕刻停止層426之約25Å,以便利用優良的輪廓控制慢慢地移除已處理之蝕刻停止層426,從而減少過度蝕刻基板、輪廓變形,或失去CD控制之可能性。
此外,據信諸如NH3及NF3之蝕刻劑可與已處理之蝕刻停止層426發生侵蝕性反應,同時與自材料層406及/或遮罩層411釋放之氧原子/氧自由基發生溫和反應。自蝕刻氣體混合物中分解之氮元素可迅速地與自材料層406中釋放之氧元素反應,該材料層406在方塊304中之處理製程期間或在方塊306中之化學蝕刻製程期間分解。然後,氮元素及氧元素經反應以形成氮氧(NO,或NO*)化合物,從而保護材 料層406中之開口特徵結構414之側壁,及有效地協助蝕刻氮化矽層。由於材料層406的側壁得到保護,因此有助於約束蝕刻劑以具有所需方向性以各向異性地蝕刻已處理之蝕刻停止層426,從而提高蝕刻效率及增強輪廓控制。此外,在蝕刻製程期間產生之氮氧(NO,或NO*)化合物亦可處於揮發性狀態,此狀態下之混合物可易於在蝕刻製程之後自基板表面被移除及自處理腔室中被抽出。
在方塊306中,在化學蝕刻製程期間,數個製程參數可經調節以控制化學蝕刻製程。在一示例性實施例中,處理腔室100中之製程壓力經調節處於約10毫托與約5000毫托之間,如約800毫托與約5托之間,例如約1500毫托。以約60MHz的頻率提供射頻來源功率以將電漿維持在化學蝕刻氣體混合物中。例如,約20瓦特至約800瓦特(如約400瓦特)之射頻來源功率可為化學蝕刻氣體混合物及可以約15sccm至約200sccm之間的速率流入腔室。在一實例中,可以約5sccm與約300sccm之間的速率在蝕刻氣體混合物中供應NH3氣體,該速率諸如約20sccm與約300sccm之間,如約100sccm。可以約5sccm與約300sccm之間的速率在蝕刻氣體混合物中供應NF3氣體,該速率諸如約5sccm與約50sccm之間,如約20sccm。在一實施例中,亦可在蝕刻氣體混合物中供應諸如氬或氦之惰性氣體。在一實施例中,在蝕刻氣體混合物中使用及供應約200sccm與約1000sccm(如約600sccm)之間的氦氣。基板溫度維持在約25℃至約300℃之間,如約50℃與約150℃之間,例如約110℃。在一實施例中,不 在化學蝕刻製程期間提供偏壓功率以減少離子轟擊。
在第4C圖中繪示之實施例中,在化學蝕刻之第一週期之後,已處理之材料層426可自基板402上被移除掉約20Å與約50Å之間之厚度420。
在方塊308中,可執行可選過渡製程以將蝕刻殘餘物自基板表面移除。包括淨化氣體或載氣之過渡氣體混合物可供應至處理腔室以協助自處理腔室中抽出/淨化蝕刻殘餘物。適合的淨化氣體/載氣包括氬、氦、氫、氮,或上述各者之混合物。腔室內之操作壓力可變化。可在抽出/淨化製程期間消除對射頻來源或射頻偏壓功率之施加,例如,在過渡過程期間在不施加射頻功率之情況下執行過渡過程。淨化氣體/載氣可用以促進自處理腔室中抽出/淨化蝕刻殘餘物。在一實施例中,基板經受過渡製程達約5秒至約5分鐘。例如,基板可曝露於過渡製程達約5秒至約10秒。在一示例性實施例中,基板曝露於過渡製程達約5秒。
應注意,方塊304、306及308可重複(亦即,循環地)執行,如第3圖中之迴路310所指示,直至藉由在遮罩層411與材料層406之間界定之特徵結構414而曝露之蝕刻停止層404經移除為止,如第4D圖所示,從而曝露下層基板402之表面436。在一實施例中,蝕刻停止層404之厚度可在約5奈米與約500奈米之間,如約10奈米與約35奈米之間。重複製程可在不過度腐蝕性侵蝕材料層406之情況下循環地及逐漸地蝕刻已處理之蝕刻停止層426,從而提供優良的介面蝕刻控制及適當的蝕刻停止端點。藉由利用重複處理之遞增 蝕刻,化學蝕刻製程及/或可選過渡製程改良特徵結構的垂直性及促進材料層406、蝕刻停止層404,與下層基板402之間的蝕刻選擇性,從而增強遮罩自遮罩層411及材料層406將特徵結構臨界尺寸(CD)傳遞至蝕刻停止層404之準確度。在一實施例中,形成於遮罩層411中之特徵結構可具有小於40奈米之CD及大於7之深寬比,如約5與約10之間。
由此,本文提供利用高選擇性及優良的輪廓控制對蝕刻停止層進行循環蝕刻之方法及設備。該方法可利用優良的介面控制容許適當的蝕刻停止端點,同時提供可接受範圍之整體蝕刻產量。蝕刻製程利用循環多步驟蝕刻製程(例如,處理製程、化學清洗製程,及可選過渡製程)以將特徵結構蝕刻至蝕刻停止層中,而不會腐蝕性地侵蝕材料層406。循環多步驟蝕刻製程可利用高選擇性及優良的輪廓控制,在不使整體製程時間顯著降級之情況下有效地蝕刻蝕刻停止層。
儘管前述內容係針對本發明之實施例,但可在不背離本發明之基本範疇之前提下設計本發明之其他及進一步實施例,及本發明之範疇由下文之申請專利範圍決定。
300‧‧‧方法
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧迴路

Claims (20)

  1. 一種用於對一蝕刻停止層進行蝕刻的方法,該方法包括以下步驟:(a)在該基板上執行一處理製程,該基板上安置有一氮化矽層,該處理製程係藉由將一處理氣體混合物供應至該處理腔室內以處理該氮化矽層;及(b)藉由將一化學蝕刻氣體混合物供應至該處理腔室在該基板上執行一化學蝕刻製程,其中該化學蝕刻氣體混合物包括至少一氨氣及一三氟化氮,其中該化學蝕刻製程蝕刻該已處理之氮化矽層。
  2. 如請求項1所述之方法,該方法進一步包括以下步驟:(c)藉由將一過渡氣體混合物供應至該處理腔室中在該已蝕刻基板上執行一過渡製程。
  3. 如請求項2所述之方法,其中該過渡氣體混合物包括至少一含氫氣體、一含氮氣體,或一惰性氣體。
  4. 如請求項2所述之方法,該方法進一步包括以下步驟:重複執行步驟(a)-步驟(c)以蝕刻該氮化矽層直至曝露一下層基板。
  5. 如請求項1所述之方法,其中該處理氣體混合物包括至少一含氫氣體、一含氮氣體,或一惰性氣體。
  6. 如請求項1所述之方法,該方法進一步包括以下步驟:重複執行步驟(a)-步驟(b)以蝕刻該氮化矽層直至曝露一下層基板。
  7. 如請求項1所述之方法,其中在該基板上執行該處理製程之步驟進一步包括以下步驟:施加一射頻偏壓功率至該處理氣體混合物。
  8. 如請求項1所述之方法,其中在該基板上執行該化學蝕刻製程之步驟進一步包括以下步驟:自該處理腔室之遠端施加一射頻來源功率至該化學蝕刻氣體混合物。
  9. 如請求項2所述之方法,其中執行該過渡製程之步驟進一步包括以下步驟:在不施加一射頻功率之情況下,供應該過渡氣體混合物以移除蝕刻殘餘物。
  10. 如請求項1所述之方法,其中在該基板上執行該化學蝕刻製程之步驟進一步包括以下步驟:在該化學蝕刻氣體混合物中以自約5:1起之一莫耳比率供應該氨氣及該三氟化氮。
  11. 如請求項1所述之方法,該方法進一步包括以下步驟:將一基板溫度維持在約50℃與約150℃之間。
  12. 如請求項1所述之方法,其中該氮化矽層是用於一半導體裝置中之一接觸結構中之一蝕刻停止層。
  13. 一種用於對一蝕刻停止層進行蝕刻的方法,該方法包括以下步驟:(a)在一處理腔室中移送一基板,該基板上安置有一氮化矽層,其中安置在該氮化矽層上之一圖案化氧化矽層及一圖案化遮罩層曝露該氮化矽層之一部分;(b)供應一處理氣體混合物以處理該氮化矽層之該曝露部分,其中該處理氣體混合物包括一惰性氣體;及(c)將一化學蝕刻氣體混合物供應至該處理腔室,其中該化學蝕刻氣體混合物包括至少一氨氣及一三氟化氮以蝕刻已經處理之該氮化矽層。
  14. 如請求項13所述之方法,該方法進一步包括以下步驟:(d)供應一過渡氣體混合物至該處理腔室,其中該過渡氣體混合物包括一惰性氣體。
  15. 如請求項13所述之方法,其中在該化學蝕刻氣體混合物中以自約3:1至約30:1之一莫耳比率供應該氨氣及該三氟化氮。
  16. 如請求項13所述之方法,該方法進一步包括以下步驟:重複執行步驟(b)及(c)直至該氮化矽層之該曝露部分自該基板上移除。
  17. 如請求項13所述之方法,該方法進一步包括以下步驟:施加一射頻偏壓功率至該處理氣體混合物;自該處理腔室之遠端施加一射頻來源功率至該化學蝕刻氣體混合物。
  18. 如請求項14所述之方法,其中供應該過渡氣體混合物之步驟進一步地包括以下步驟:在不施加一射頻功率之情況下,供應該過渡氣體混合物以移除蝕刻殘餘物。
  19. 一種用於對一氮化矽層進行蝕刻的方法,該方法包括以下步驟:(a)將一基板移送至一處理腔室中,該基板具有安置在一金屬矽化物結構上之一氮化矽層,其中該氮化矽層具有安置在該圖案化氧化矽層上之一圖案化氧化矽層及一圖案化遮罩層,從而曝露該氮化矽層之一部分;(b)在施加一射頻偏壓功率以處理該曝露的氮化矽層之同時,施加一氬氣或氦氣; (c)在自該處理腔室之遠端施加一射頻來源功率以蝕刻已處理之該氮化矽層之同時,供應一化學蝕刻氣體混合物,該化學蝕刻氣體混合物包括至少一氨氣及一三氟化氮;及(d)在不施加一射頻功率之情況下將一氬氣或氦氣供應至該處理腔室。
  20. 如請求項19所述之方法,該方法進一步包括以下步驟:重複執行步驟(b)至(d)直至該氮化矽層之該曝露部分被移除,從而曝露該下層金屬矽化物結構。
TW103129006A 2013-09-17 2014-08-22 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法 TWI631616B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/029,769 2013-09-17
US14/029,769 US8980758B1 (en) 2013-09-17 2013-09-17 Methods for etching an etching stop layer utilizing a cyclical etching process

Publications (2)

Publication Number Publication Date
TW201515098A true TW201515098A (zh) 2015-04-16
TWI631616B TWI631616B (zh) 2018-08-01

Family

ID=52632217

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103129006A TWI631616B (zh) 2013-09-17 2014-08-22 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法

Country Status (6)

Country Link
US (1) US8980758B1 (zh)
JP (1) JP6440716B2 (zh)
KR (1) KR102283949B1 (zh)
CN (1) CN105556643B (zh)
TW (1) TWI631616B (zh)
WO (1) WO2015041747A1 (zh)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140199854A1 (en) * 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108352317A (zh) * 2016-02-05 2018-07-31 应用材料公司 具有多重类型腔室的积层蚀刻***
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10312102B2 (en) 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9923083B1 (en) 2016-09-09 2018-03-20 International Business Machines Corporation Embedded endpoint fin reveal
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR102537097B1 (ko) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 유사 원자층 에칭 방법
WO2018156985A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107731678B (zh) * 2017-08-24 2020-04-14 长江存储科技有限责任公司 三维存储器的制作方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
TW202209480A (zh) * 2020-08-17 2022-03-01 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置
CN117650047B (zh) * 2024-01-26 2024-05-17 北京北方华创微电子装备有限公司 形成半导体结构的方法、等离子体发生装置及半导体工艺设备

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW359008B (en) * 1997-12-20 1999-05-21 United Microelectronics Corp Double metal embedding
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP2006108629A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP4627335B2 (ja) * 2009-10-28 2011-02-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5646190B2 (ja) * 2010-03-12 2014-12-24 東京エレクトロン株式会社 洗浄方法及び処理装置
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films

Also Published As

Publication number Publication date
WO2015041747A1 (en) 2015-03-26
KR20160055877A (ko) 2016-05-18
US20150079798A1 (en) 2015-03-19
CN105556643A (zh) 2016-05-04
CN105556643B (zh) 2018-07-27
JP2016532313A (ja) 2016-10-13
US8980758B1 (en) 2015-03-17
JP6440716B2 (ja) 2018-12-19
KR102283949B1 (ko) 2021-07-30
TWI631616B (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
TWI631616B (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
TW201517167A (zh) 使用同步射頻脈衝以蝕刻材料之方法
JP7176106B2 (ja) 誘電体材料の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
TWI837174B (zh) 沉積介電材料之方法
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees