KR20110086540A - 불소화합물을 이용한 필름의 선택적인 식각 방법 - Google Patents

불소화합물을 이용한 필름의 선택적인 식각 방법 Download PDF

Info

Publication number
KR20110086540A
KR20110086540A KR1020110069154A KR20110069154A KR20110086540A KR 20110086540 A KR20110086540 A KR 20110086540A KR 1020110069154 A KR1020110069154 A KR 1020110069154A KR 20110069154 A KR20110069154 A KR 20110069154A KR 20110086540 A KR20110086540 A KR 20110086540A
Authority
KR
South Korea
Prior art keywords
gas
fluorine compound
film
etching
membrane
Prior art date
Application number
KR1020110069154A
Other languages
English (en)
Inventor
조인숙
Original Assignee
조인숙
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조인숙 filed Critical 조인숙
Priority to KR1020110069154A priority Critical patent/KR20110086540A/ko
Publication of KR20110086540A publication Critical patent/KR20110086540A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 리닝 프리(Leaning Free)의 식각을 위해 일반적인 진공 공정조건 하에서 플로린 화합물을 사용하며, 막질 간의 선택비를 조절하기 위해 NH3 Gas를 선택적으로 사용하며, 불활성 Gas를 첨가하여 식각량을 보다 정밀하게 제어 할수 있도록 한다. 또한, 보다높은 식각량을 요구하는 공정의 경우 식각량을 높이기 위해 기존의 고밀도 프라즈마가 아닌 강도가 조절이 가능한 원거리 프라즈마를 채용 하는 것을 특징으로 하며, 공정이 완료된 후 잔류하는 플로린 혹은 다른 물질들로 인한 추가적인 반응이나 오염을 방지하기 위해 산소 혹은 질소분위기의 리모트 프라즈마 환경에서 2차적인 어닐(Annel)과 페시베이션 (Passivation)을 실시 하는 것을 특징으로 한다.

Description

불소화합물을 이용한 필름의 선택적인 식각 방법 {Method of selective film etching with fluorine compound}
본 발명은 반도체 소자 제조 공정에 사용되는 실리콘 웨이퍼 (Silicon Wafer)의 표면에 형성된 산화 막, 질화막 혹인 이들의 혼합물로 이루어진 막질을 선택적으로 식각하기 위한 방법에 관한 기술 중에서, 기존의 습식세정이나 고밀도 프라즈마 아닌 제3의 식각 방식으로 소자에 부작용이 없는 (Damage free) 필름 식각 방식에 관한 것이다. 기존의 고밀도 플라스마( High Density Plasma)를 사용한 식각 방식의 경우 사용하는 가스의 조합으로 원하는 선택비를 가질 수 있어 서로 다른 필름을 선택적으로 식각 하는데 주로 사용 되고 있으나 웨이퍼 원판의 표면에 가해지는 프라즈마 어텍 (Plasma Attack)으로 인한 소자의 신뢰성의 문제로 인해 습식 세정 방식으로 이러한 필름들을 제거해 왔다. 하지만 소자의 고집적화로 인해 디자인룰이 축소되면서 발생하는 리닝(Leaning: 특정 이상의 종횡비를 가지는 필름들이 건조가 되면서 서로 붙는 현상) 현상으로 인해 문제가 발생 되고 있다. 또한 습식 세정의 경우 유사한 필름의 경우에도 선택비의 차이가 크기 때문에 선택비의 조절이 용이하고, 데미지가 없는 식각 기술의 개발이 요구되고 있다. 본 발명은 이러한 문제점을 방지할 수 있는 기술에 관한 것이다.
반도체 제조 공정은 현재 20 나노급의 미세한 디자인 환경에서 공정을 진행하고 있으며, 이러한 선 폭을 구현하기 위해 세정 및 식각 기술의 변화가 필요한 시점이다. 알려진 바와 같이 습식 세정의 경우 리닝 이라는 복병과 막질 간 너무 높은 선택비로 인해 특정 부분의 식 각에 어려움을 격 고 있다, 이러한 문제를 해결하는 방식으로는 쉽게 기존의 건식 식 각을 사용하는 방법을 생각해 볼 수 있겠으나, 건식 식 각의 경우 고밀도 프라즈마의 사용으로 인한 소자의 신뢰성에 관한 문제로 인해 특정 부분, 특히 게이트 레벨에서의 사용을 금기 시 하고 있어 새로운 형태의 식각 방식이 요구되고 있다. 또한 선폭의 축소로 사용되는 절연막(IMD) 또한 물성이 다른 다양한 형태의 조합으로 사용하고 있어 리닝프리 (Leaning Free), 데미지프리(Damage Free)의 산화막, 질화막 혹인 이들의 조합물로 구성된 필름층을 낮은 선택비로 효과적으로 제거하는 공정의 개발이 요구되고 있으며, 이러한 기술로는 NF3와 NH3 Gas를 조합한 형태의 기상 식각 방식과, HF와 NH3 Gas를 조합한 기상 식각 방식이 도입되어 사용되고 있으나, 이들 모두 외국에서 특허권을 소유하고 있어 국내에서 사용 가능한 기술의 개발 및 발명이 절실히 요구되고 있다.
본 발명은 종래 문제점을 해결하기 위한 것으로, 웨이퍼 표면 (Wafer surface) 에 데미지가 없고 (Damage Free) , 리닝의 문제가 없으며 (Leaning Free), 막질간 선택비가 낮은 효과적인 방법을 제공하는 것이다.
상기 목적을 달성하기 위해 본 발명은 리닝 프리(Leaning Free)의 식각을 위해 일반적인 진공 공정 조건 에서 플로린 화합물을 사용하며, 막질 간의 선택비를 조절하기 위해 NH3 Gas를 선택적으로 사용하며, 불활성 Gas를 첨가하여 식각량을 보다 정밀하게 제어 할수 있도록 한다. 또한, 보다 높은 식각량을 요구하는 공정의 경우 식각량을 높이기 위해 기존의 고밀도 프라즈마가 아닌 강도가 조절이 가능한 원거리 프라즈마를 채용하는것을 특징으로하며, 공정이 완료된후 잔류하는 플로린 혹은 다른 물질들로 인한 추가적인 반응이나 오염을 방지 하기 위해 산소 혹은 질소분위기의 리모트 프라즈마 환경에서 어닐(Annel)과 페시베이션 (Passivation)을 실시 하는 것을 특징으로 한다.
본 발명에 따른 방법으로 공정을 진행함으로써 기존의 문제점을 해결하고 또한 기존의 외국의 장비보다 효과적이고 경제적인 장비를 개발할 수 있는 토대를 마련해 줄 수 있다.
도 1. 진공챔버의 구성
본 발명의 특징 및 이점들은 다음의 상세한 설명으로 더욱 명백해질 것이다. 이에 앞서, 본 명세서 및 청구범위에 사용된 용어나 단어는 발명자가 그 자신의 발명을 가장 최선의 방법으로 설명하기 위해 용어의 개념을 적절하게 정의할 수 있다는 원칙에 입각하여 본 발명의 기술적 사상에 부합하는 의미와 개념으로 해석되어야만 한다.
본 명이 수행되기 위한 구성을 보면, 일반적인 클러스터 형태의 운송 시스템과 진공 챔버가 구성된다. 구성의 경우 너무나 일반적인 진공 장비의 형태를, 특히 보편적으로 사용하고 있는 다중 챔버 방식 매엽식 CVD장비의 구성과 동일 하기 때문에 별도의 도면으로 표시하지 아니하였다. 이러한 형상들은 에플라이드 머트리얼저가 대한민국에 등록한 특허 등록번호 100931765에 상세히 나와 있다.
진공 챔버에는 일반적으로 0.1 ~ 400 Torr의 범위를 가지도록 압력이 제어되며, 상단의 가스 분배기를 통해 가스가 주입될 수 있는 통로와 가스가 고르게 분대 될 수 있도록 샤워 헤드를 거치 비로소 식각을 하고자 하는 목적물에 전달 되는 구조를 한다.
챔버 내부에는 부식성이 강한 가스로 인한 오염을 최소 하게 할 수 있도록 불활성 가스를 사용하여 오염이 될 부분을 퍼지 하며 여기에 사용되는 불활성 가스로는 Ar혹은 He이 바람직하다. 또한 오염을 최소화하기 위해 보편적인 사용 방식으로 챔버의 벽면의 온도를 올려준다. 챔버의 온도는 일반적인 장비의 온도인 60±20℃로 하고, 웨이퍼가 올려 지는 부분의 온도는 선택비를 조절하는 역활을 하는 NH3와 플로린의 혼합물인 (NH4)2SiF4가 형성될 수 있는 조건의 온도인 30±20℃로 조절된다.
플로린 화합물의 예로 불화 크세논(XeFx)을 사용할 수 있으며, 이미 상용화되어 있는 가스들의 조합으로 사용할 수 있다. 가스의 조합이란 둘 이상의 가스를 동시에 혹은 교번하여 주입함으로써 챔버내에 혼합 가스 분위기를 만드는 것으로 여기에 사용되는 플로린 화합물을 조성할 수 있는 가스의 예를 들면 XeF2, XeF4등의 크세논화합물, NF3,HF,F2 등을 들 수 있으며, 상기의 챔버 분위기에서 플로린 화합물과 NH3 가스를 챔버 내에 주입시켜서 식각을 진행하게 된다.
원하는 만큼의 식각이 이루어 지면 (NH4)2SiF4를 승화 시켜서 일련의 식각공정을 마치게 된다.
이러한 과정에서 막질을 제거함과 동시에 이에 상응하는 NH3와 플로린의 혼합물인 (NH4)2SiF4이 형성되어 에칭 스탑(Etching Stop: 화합물의 누적으로 인해 더 이상의 식 각이 진행되지 않는 현상)이 발생 될 수 있는데, 이때도 화합물이 승화하는 온도인 200±20℃의 온도를 웨이퍼 표면에 가하여 화합물을 승화시킨 후 다시 가스의 주입을 통해 식 각을 진행할 수 있으며, 이러한 식각과 승화를 반복하여 대상물을 원하는 만큼 식각을 하게 된다.
하지만 이러한 공정이 완료된 후에도 잔존하는 가스나 오염물로 인해 웨이퍼 표면의 반응이 지속하는 현상이 나날 날수 있다.이러한 현상을 방지하기 위해 리모트 프라즈마를 사용하는 산소 분위기 내에서 2차적인 어닐(annel)과 산소 페시베이션(Passivation : 격자 무늬의 Si의 빈 자리에 O 나 O2를 종단시켜 SiO2를 만들어 더이상의 오염으로부터 보호하는 것 )을 시키거나, 수소 분위기의 리모트 프라즈마를 사용하여 수소 페시베이션을 하는 과정을 거쳐 과정을 본 발명을 완성하게 된다. 2차적인 어닐과 페시베이션에 사용되는 웨이퍼의 온도는 20~300℃ 이내로 하며 바람직하게는 200±20℃로 한다.
해당사항 없음.

Claims (8)

  1. 진공의 분위기에서 질화막이나 산화막혹은 이들의 조합물로 구성된 막질을 선택적으로 제거하는 공정에서 막질을 제거하는 가스로 NH3 가스 이외에도 적어도 한가지 이상의 불소 화합물 가스를 사용하여 막질을 선택적으로 제거하는 방법.
  2. 제 1 항에 있어서, NH3와 사용되는 불소 화합물로 하나의 가스를 사용할 경우 그 하나의 가스로 크세논 화합물 (XeFx) 혹은 F2 가스를 사용하는 것을 특징으로 하는 선택적인 막질 제거 방법.
  3. 제 1항에 있어서, NH3와 사용되는 불소 화합물로 하나 이상을 사용할 경우 대상이 되는 가스로 XeFx, F2, NF3, HF의 조합을 사용하는 것을 특징으로 하는 선택적인 막질 제거 방법.
  4. 제 1항에 있어서 식각 공정이 완료 후 2차적인 처리를 함에 있어서 산소 혹은 수소 분위기의 리모트 프라즈마를 사용하는 것을 특징으로 하는 선택적인 막질 제거 방법.
  5. 진공의 분위기에서 질화막이나 산화막혹은 이들의 조합물로 구성된 막질을 선택적으로 제거하는 공정에서 막질을 제거하는 가스로 NH3 가스 이외에도 적어도 한가지 이상의 불소 화합물 가스를 사용하며 이들 가스를 활성화하는 방법으로 전력의 세기가 조절 가능한 리모트 프라즈마를 사용하는 선택적인 막질 제거하는 방법.
  6. 제 5 항에 있어서, NH3와 사용되는 불소 화합물로 하나의 가스를 사용할 경우 그 하나의 가스로 크세논 화합물 (XeFx) 혹은 F2 가스를 사용하는 것을 특징으로 하는 선택적인 막질 제거 방법.
  7. 제 5 항에 있어서, NH3와 사용되는 불소 화합물로 하나 이상을 사용할 경우 대상이 되는 가스로 XeFx, F2, NF3, HF의 조합을 사용하는 것을 특징으로 하는 선택적인 막질 제거 방법.
  8. 제 5 항에 있어서, 식각 공정이 완료 후 2차적인 처리를 함에 있어서 산소 혹은 수소 분위기의 리모트 프라즈마를 사용하는 것을 특징으로 하는 선택적인 막질 제거 방법.



KR1020110069154A 2011-07-12 2011-07-12 불소화합물을 이용한 필름의 선택적인 식각 방법 KR20110086540A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110069154A KR20110086540A (ko) 2011-07-12 2011-07-12 불소화합물을 이용한 필름의 선택적인 식각 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110069154A KR20110086540A (ko) 2011-07-12 2011-07-12 불소화합물을 이용한 필름의 선택적인 식각 방법

Publications (1)

Publication Number Publication Date
KR20110086540A true KR20110086540A (ko) 2011-07-28

Family

ID=44922910

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110069154A KR20110086540A (ko) 2011-07-12 2011-07-12 불소화합물을 이용한 필름의 선택적인 식각 방법

Country Status (1)

Country Link
KR (1) KR20110086540A (ko)

Cited By (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014046845A1 (en) * 2012-09-18 2014-03-27 Applied Materials, Inc. Radical-component oxide etch
WO2014099205A1 (en) * 2012-12-18 2014-06-26 Applied Materials, Inc. Non-local plasma oxide etch
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
WO2015038252A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Selective etch of silicon nitride
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
WO2016111811A1 (en) * 2015-01-08 2016-07-14 Applied Materials, Inc Self-aligned process
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Cited By (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
WO2014046845A1 (en) * 2012-09-18 2014-03-27 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014099205A1 (en) * 2012-12-18 2014-06-26 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
WO2015038252A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Selective etch of silicon nitride
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
WO2015041747A1 (en) * 2013-09-17 2015-03-26 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
WO2016111811A1 (en) * 2015-01-08 2016-07-14 Applied Materials, Inc Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US12009228B2 (en) 2015-02-03 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Similar Documents

Publication Publication Date Title
KR20110086540A (ko) 불소화합물을 이용한 필름의 선택적인 식각 방법
JP7343543B2 (ja) 高アスペクト比の構造体のための除去方法
KR102360672B1 (ko) 무수 식각 방법들
KR102272941B1 (ko) 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름
US9474163B2 (en) Germanium oxide pre-clean module and process
EP2922083B1 (en) Plasma pre-clean process
TWI591712B (zh) 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
TWI541898B (zh) 用於半導體整合之非敏性乾式移除製程
US8216861B1 (en) Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
KR102481860B1 (ko) 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법
US10504717B2 (en) Integrated system and method for source/drain engineering
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
KR20190088079A (ko) 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법
JP2018207088A (ja) エッチング方法
KR20190003979A (ko) 실리콘의 화학적 에칭을 위한 방법들
WO2018220973A1 (ja) エッチング方法
US11462413B2 (en) Processing of workpieces using deposition process and etch process
WO2019103819A1 (en) Dry etch rate reduction of silicon nitride films
KR101132568B1 (ko) 흄 발생없이 패턴을 형성하는 방법
JP7364688B2 (ja) トレンチに薄膜を堆積する方法
US10755941B2 (en) Self-limiting selective etching systems and methods
TW202101574A (zh) 蝕刻方法及蝕刻裝置
JP2018190986A (ja) トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
US9142422B2 (en) Methods of fabricating defect-free semiconductor structures
US20240096639A1 (en) Surface modification to achieve selective isotropic etch

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application