JP7176106B2 - 誘電体材料の堆積方法 - Google Patents

誘電体材料の堆積方法 Download PDF

Info

Publication number
JP7176106B2
JP7176106B2 JP2021514014A JP2021514014A JP7176106B2 JP 7176106 B2 JP7176106 B2 JP 7176106B2 JP 2021514014 A JP2021514014 A JP 2021514014A JP 2021514014 A JP2021514014 A JP 2021514014A JP 7176106 B2 JP7176106 B2 JP 7176106B2
Authority
JP
Japan
Prior art keywords
remote plasma
gas
substrate
bias power
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021514014A
Other languages
English (en)
Other versions
JP2022500867A (ja
Inventor
バーガブ エス. シトラ,
ジェスロ タノス,
ジンギ リ,
ダグラス エー.ジュニア ブッフベルガー,
チョン チャン ファ,
シュリーニヴァース ディー. ネマニ,
エリー ワイ. イー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022500867A publication Critical patent/JP2022500867A/ja
Application granted granted Critical
Publication of JP7176106B2 publication Critical patent/JP7176106B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)

Description

[0001] 本発明の実施形態は概して、堆積中にRFバイアスパルス制御を使用して誘電体材料を堆積する方法に関し、より具体的には、半導体用途において堆積中にRFバイアスパルスと共に遠隔プラズマ制御を使用して誘電体材料を堆積する方法に関する。
関連技術の説明
[0002] サブハーフミクロン以下で信頼度の高い特徴を製造することは、半導体デバイスの次世代型の超大規模集積(VLSI)および極超大規模集積(ULSI)に関する主要な技術的課題の1つである。しかしながら、回路技術の限界が更新されるにつれて、寸法が縮小しつつあるVLSIおよびULSIのインターコネクト技術により、処理能力に対してさらなる要求が突きつけられてきた。基板上に信頼度の高いゲート構造を形成することは、VLSIおよびULSIの成功にとって、また、個々の基板やダイの回路密度や品質を高めるための継続的な取り組みにとっても重要なことである。
[0003] フォトレジスト層のようなパターニングされたマスクは、一般的に、エッチング処理によって基板上に、ゲート構造、シャロートレンチアイソレーション(STI)、ビットラインなどの構造を形成する際に使用される。パターニングされたマスクは、所望の臨界寸法を有するパターンをフォトレジストの層に光学的に転写するために、リソグラフィ処理を使用することによって、従来通りに製造される。次いで、フォトレジスト層を現像して、フォトレジストの不要部分を除去し、これによって残りのフォトレジストに開口部を作る。
[0004] 次世代のデバイスおよび構造物の製造を可能にするためには、トランジスタの性能を向上させるよう、半導体チップの3次元(3D)積層が利用されることが多い。トランジスタを従来の2次元の代わりに3次元に配置することによって、複数のトランジスタを互いにかなり接近させて集積回路(IC)内に配置することができる。半導体チップの3次元(3D)積層により、配線長が短縮され、配線遅延が低く保たれる。トレンチの幅が縮小し続けると、半導体チップの積層のために、アスペクト比(深さを幅で割ったもの)は増大し続ける。高アスペクト比のトレンチの製造に関する1つの課題は、トレンチ内での誘電体材料の堆積中にボイドの形成を回避することである。
[0005] トレンチを充填するために、酸化シリコンなどの誘電体材料の層が堆積される。誘電体層は、典型的に、トレンチの壁および底部に加えてフィールドを覆う。トレンチが広くて浅い場合、トレンチを完全に埋めることは比較的容易である。しかし、トレンチのアスペクト比が増大するにつれて、トレンチの開口部が「ピンチオフ」し、トレンチ内にボイド(例えば欠陥)を形成する可能性が高くなる。
[0006] トレンチ内にボイドを形成したり、トレンチ内に継ぎ目を形成したりする可能性を減少させるために、欠陥を最小限に抑えてトレンチを誘電体材料で充填する、多くの異なる処理技術が開発されてきた。堆積処理中の処理制御が不十分であると、不規則な構造プロファイルまたはトレンチの早期閉鎖が生じ、トレンチに誘電体材料を充填する間にトレンチにボイドまたはギャップが生じる。
[0007] したがって、欠陥を最小限に抑えて所望のプロファイルで、トレンチ内に誘電体材料を形成するための堆積処理の改善が必要とされている。
[0008] 本発明の実施形態は、半導体デバイスを製造するための遠隔プラズマ源堆積と共にRFバイアスパルスを使用して、特に半導体用途において高いアスペクト比を有する開口部を充填するために、誘電体材料を堆積するための装置および方法を提供する。一実施形態では、誘電体材料を堆積させる方法は、内部に配置された基板を有する処理チャンバ内に混合ガスを提供することと、遠隔プラズマ源内に遠隔プラズマを形成し、その遠隔プラズマを処理チャンバ内に画定された内部処理領域に送達することと、パルスモードで処理チャンバにRFバイアス電力を印加することと、混合ガスおよび遠隔プラズマの存在下で基板上に配置された材料層内に画定された開口部内に誘電体材料を形成することとを含む。
[0009] 別の実施形態では、誘電性材料を形成するための方法は、遠隔プラズマ源から遠隔プラズマを形成し、その遠隔プラズマを処理チャンバの内部処理領域に送達することと、処理チャンバ内に配置された基板支持部材にRFバイアス電力を印加することと、基板温度を-20℃から約200℃の間に維持することと、基板上に配置された材料層内に画定された開口部内に誘電性材料を形成することとを含む。
[0010] さらに別の実施形態では、誘電体材料を形成するための方法は、遠隔プラズマから形成された誘電体材料、および、基板が配置されている処理チャンバの内部処理領域に供給されるパルスモードでのRFバイアス電力によって、基板上のアスペクト比が5より大きい開口部を充填することを含む。
[0011] 本発明の上述の特徴を詳細に理解しうるように、上記で簡単に要約されている本発明のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は添付の図面に示されている。しかしながら、本発明は他の等しく有効な実施形態も許容しうることから、添付の図面は、本発明の典型的な実施形態のみを示しており、従って、本発明の範囲を限定するとみなすべきではないことに留意されたい。
本開示のいくつかの実施形態による、堆積処理の実施に利用される装置を示す。 図1の装置を含む処理ツールの一実施形態の平面図を示す。 本開示の一実施形態を包含する、誘電体材料を形成するための方法の処理フロー図である。 本開示のいくつかの実施形態による、誘電体材料を堆積するためのシーケンスの一実施形態を示す。 本開示のいくつかの実施形態による、誘電体材料を堆積するためのシーケンスの一実施形態を示す。 本開示のいくつかの実施形態による、図3の堆積処理中に利用される遠隔プラズマ源電力およびRFバイアス電力制御の異なる実施形態を示す。 本開示のいくつかの実施形態による、図3の堆積処理中に利用される遠隔プラズマ源電力およびRFバイアス電力制御の異なる実施形態を示す。 本開示のいくつかの実施形態による、図3の堆積処理中に利用される遠隔プラズマ源電力およびRFバイアス電力制御の異なる実施形態を示す。
[0018] 理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。一実施形態の要素および特徴は、追加の記述がなくても、他の実施形態に有益に組み込むことができると考えられている。
[0019] しかしながら、本発明は他の等しく有効な実施形態も許容しうることから、添付の図面はこの発明の例示的な実施形態のみを例示しており、したがって本発明の範囲を限定すると見なすべきではないことに、留意されたい。
[0020] 本発明は、半導体デバイス、特に半導体チップの3次元(3D)積層のために、高アスペクト比を有するトレンチ内に誘電体材料を堆積する方法を提供する。一実施形態では、堆積処理は、基板が配置される基板支持アセンブリに供給されるパルスモードのRFバイアス電力と共に、遠隔プラズマを使用してもよい。堆積処理は、チャンバ内でのRF源電力の生成なしで実行される。遠隔的に生成された遠隔プラズマおよびパルス化されたRFバイアス電力は、基板からトレンチ内に誘電体材料を充填するための良好なギャップ充填能力を提供するために、必要に応じて、同時に、交互に、または連続的に、処理チャンバの内部処理領域に供給されてもよい。
[0021] 図1は、半導体用途のために、特に良好なガス充填能力を有する誘電体材料を堆積することができる堆積処理を実行するための処理チャンバ100の断面図である。本明細書に開示される教示と共に使用するように適合され得る好適な処理チャンバは、例えば、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能なHDP-PRODUCER(登録商標)またはC3(登録商標)処理チャンバを含む。処理チャンバ100は、優れたマスクトリミングおよび構造再成形プロセスを可能にする複数の特徴を含むように示されているが、他の処理チャンバが、本明細書に開示される本発明の特徴のうちの1つまたは複数から利益を得るように適合され得ることが企図される。
[0022] 処理チャンバ100は、処理チャンバ本体102と、処理チャンバ本体102に連結された遠隔プラズマ源104とを含む。遠隔プラズマ源104は、ラジカルを生成することができる任意の好適なソースであってもよい。遠隔プラズマ源104は、高周波(RF)または超高周波(VHRF)容量結合プラズマ(CCP)源、誘導結合プラズマ(ICP)源、マイクロ波誘起(MW)プラズマ源、電子サイクロトロン共鳴(ECR)チャンバ、または高密度プラズマ(HDP)チャンバなどの遠隔プラズマ源であってもよい。遠隔プラズマ源104は、1つまたは複数のガス源106を含むことができ、遠隔プラズマ源104は、ラジカル導管108によって処理チャンバ100に連結され得る。ラジカル形成ガスであってもよい1つまたは複数の処理ガスは、1つまたは複数のガス源106を介して遠隔プラズマ源104に入ってもよい。1つまたは複数の処理ガスは、塩素含有ガス、フッ素含有ガス、不活性ガス、酸素含有ガス、窒素含有ガス、水素含有ガス、またはこれらの任意の組合せを含み得る。遠隔プラズマ源104内で生成されたラジカルは、処理チャンバ100に連結されたラジカル導管108を通って処理チャンバ100内に移動し、処理チャンバ100内に画定された内部処理領域151に到達する。
[0023] ラジカル導管108は、ラジカルキャビティ110と、トッププレート114と、リッドリム116と、シャワーヘッド118とを含むリッドアセンブリ112の一部である。ラジカル導管108は、ラジカルに対して実質的に非反応性の材料を含み得る。例えば、ラジカル導管108は、AlN、SiO、Y、MgO、陽極酸化されたAl、サファイア、および、Al、サファイア、AlN、Y、MgO、またはプラスチックのうちの1つまたは複数を含むセラミック、を含み得る。好適なSiO材料の代表例は、石英である。ラジカル導管108は、ラジカル導管支持部材120内に配置され、それによって支持されてもよい。ラジカル導管支持部材120は、リッドリム116上に静置されたトッププレート114上に配置することができる。
[0024] ラジカルキャビティ110は、ラジカル導管108の下方に配置され、これに連結され、遠隔プラズマ源104において生成されたラジカルは、ラジカル導管108を通ってラジカルキャビティ110に移動する。ラジカルキャビティ110は、トッププレート114、リッドリム116およびシャワーヘッド118によって画定される。任意選択で、ラジカルキャビティ110は、ライナ122を含んでもよい。ライナ122は、ラジカルキャビティ110に露出されたトッププレート114およびリッドリム116の表面を覆うことができる。遠隔プラズマ源104からのラジカルは、シャワーヘッド118内に配置された複数のチューブ124を通って内部処理領域151に入る。シャワーヘッド118は、複数のチューブ124よりも直径が小さい複数の開口部126をさらに含む。複数の開口部126は、複数のチューブ124と流体連通していない内部空間(図示せず)に接続される。処理チャンバ100の内部処理領域151に流体混合物を導入するために、1つまたは複数の流体源119をシャワーヘッド118に連結することができる。流体混合物は、前駆体、ポロゲン、および/またはキャリア流体を含み得る。流体混合物は、気体と液体の混合物であってもよい。
[0025] 処理チャンバ100は、リッドアセンブリ112と、チャンバ本体130と、基板支持アセンブリ132とを含み得る。基板支持アセンブリ132は、チャンバ本体130内に少なくとも部分的に配置され得る。チャンバ本体130は、処理チャンバ100の内部へのアクセスを提供するため、スリットバルブ135を含み得る。チャンバ本体130は、チャンバ本体130の内面を覆うライナ134を含み得る。ライナ134は、1つまたは複数の開孔136、および真空システム140と流体連通する、開孔内に形成されたポンピングチャネル138を含み得る。開孔136は、ポンピングチャネル138に至るガスの流路を提供し、ポンピングチャネル129は、処理チャンバ100内のガスのための出口を提供する。
[0026] 真空システム140は、真空ポート142と、バルブ144と、真空ポンプ146とを含み得る。真空ポンプ146は、真空ポート142を介してポンピングチャネル138と流体連通している。開孔136は、ポンピングチャネル138がチャンバ本体130内の内部処理領域151と流体連通することを可能にする。内部処理領域151は、シャワーヘッド118の下面148と基板支持アセンブリ132の上面150とによって画定され、内部処理領域151はライナ134によって囲まれている。
[0027] 基板支持アセンブリ132は、チャンバ本体130内で処理するための基板(図示せず)を支持するための基板支持部材152を含み得る。基板は、例えば、300mmなどの任意の標準的なウエハサイズであってもよい。別の態様では、基板は、300mmよりも大きくてもよく、例えば450mm以上であってもよい。基板支持部材152は、動作温度に応じて、窒化アルミニウム(AlN)またはアルミニウムを含んでもよい。基板支持部材152は、基板支持部材152に基板をチャックするように構成されてもよい。例えば、基板支持部材152は、静電チャック又は真空チャックを含み得る。
[0028] 基板支持部材152は、チャンバ本体130の底面に形成された、中央に位置する開口部158を通って延在するシャフト156を介して、リフト機構154に連結され得る。リフト機構154は、シャフト156の周囲からの真空漏れを防止するベローズ160によって、チャンバ本体130に可撓的に密封されうる。リフト機構154により、基板支持部材152はチャンバ本体130内で処理位置と下方移送位置との間を垂直に移動することができる。移送位置は、スリットバルブ135の開口部よりわずかに下にある。動作中、基板表面におけるラジカルフラックスを最大にするために、基板301とシャワーヘッド118との間の間隔を最小にすることができる。例えば、間隔は、約100mmから約5,000mmの間であってもよい。リフト機構154は、シャフト156を回転させることができ、これが次に基板支持部材152を回転させ、基板支持部材152上に配置された基板を動作中に回転させることができる。
[0029] 1つまたは複数の加熱素子162および冷却チャネル164は、基板支持部材152内に埋め込まれてもよい。加熱素子162および冷却チャネル164は、動作中に基板の温度を制御するために使用されてもよい。加熱素子162は、1つまたは複数の抵抗加熱素子などの任意の好適な加熱素子であってもよい。加熱素子162は、1つまたは複数の電源(図示せず)に接続され得る。加熱素子162は、マルチゾーン加熱または冷却に関して、独立した加熱および/または冷却制御を有するように個々に制御することができる。マルチゾーン加熱および冷却に関して、独立した制御を有する能力により、基板温度プロファイルは、任意の所与の処理条件で強化され得る。基板を冷却するため、冷却剤が冷却チャネル164を通って流れることができる。基板支持部材152はさらに、冷却ガスを基板の裏面に流すために、上面150まで延在するガス通路を更に含み得る。
[0030] RF源電力143は、RF源電力整合ボックス147を介してシャワーヘッド118に連結されてもよい。RF源電力143は、低周波、高周波、または超高周波であってもよい。一実施形態では、RF源電力143は、高密度膜層を堆積させるために高密度プラズマを発生させることができる高周波RFジェネレータである。一実施例では、RF源電力143は、基板支持部材152の上方の内部処理領域351内で生成される誘導結合プラズマ(ICP)を生成および制御することができる誘導結合RFエネルギー送信装置として機能し得る。誘導結合プラズマ(ICP)を生成する際に、RF源電力整合ボックス147からの動的インピーダンス整合が提供されてもよい。
[0031] RF源電力143に加えて、RFバイアス電源145が基板支持部材152に連結されてもよい。基板支持部材152はカソードとして構成され、RFバイアス電源145に連結された電極163を含む。RFバイアス電源145は、基板支持部材152内に配置された電極163と、チャンバ本体130のシャワーヘッド118またはシーリング(トッププレート114)などの別の電極との間に連結される。RFバイアス電源145から生成されたRFバイアス電力は、チャンバ本体130の内部処理領域151に配置されたガスから形成されたプラズマ放電を励起し、維持する。
[0032] 1つの動作モードでは、基板301は、処理チャンバ100内の基板支持部材152上に配置される。処理ガスおよび/または混合ガスは、ガス源106からシャワーヘッド118を通ってチャンバ本体130に導入される。真空ポンプ146は、堆積副生成物を除去しながらチャンバ本体130内の圧力を維持する。
[0033] 処理チャンバ100の動作を制御するために、コントローラ170が処理チャンバ100に連結される。コントローラ170は、中央処理装置(CPU)172、メモリ174、および、処理シーケンスを制御し、かつガス源106からのガス流を調節するために利用されるサポート回路176を含む。CPU172は、産業用設定で使用されうる任意の形態の汎用コンピュータプロセッサであってよい。ソフトウェアルーチンは、ランダムアクセスメモリ、読み出し専用メモリなどのメモリ174、フロッピーまたはハードディスクドライブ、あるいは他の形態のデジタル記憶装置に記憶されうる。サポート回路176は、従来はCPU172に連結されており、キャッシュ、クロック回路、入出力システム、電源などを含みうる。コントローラ170と処理チャンバ100の様々な構成要素との間の双方向通信は、多数の信号ケーブルを経由して処理される。
[0034] 図2は、内部に組み込まれて一体化された、図1に示される処理チャンバ100のうちの1つまたは複数を含む例示的な処理システム200の概略上面図である。一実施形態では、処理システム200は、カリフォルニア州サンタクララにあるApplied Materials, Inc.から市販されているCENTURA(登録商標)統合処理システムであってもよい。他の処理システム(他の製造業者からのものを含む)が、本開示から利益を得るように適合され得ることが企図される。
[0035] システム200は、真空気密の処理プラットフォーム204、ファクトリインターフェース202、およびシステムコントローラ244を含む。処理プラットフォーム204は、図1に示す処理チャンバ100の1つなど、少なくとも1つの処理チャンバ100と、複数の処理チャンバ201、228、220、210と、真空基板移送チャンバ236に連結された少なくとも1つのロードロックチャンバ222とを含む。2つのロードロックチャンバ222を図2に示す。ファクトリインターフェース202は、ロードロックチャンバ222によって、移送チャンバ236に連結される。
[0036] 一実施形態では、基板の移送を容易にするため、ファクトリインターフェース202は、少なくとも1つのドッキングステーション208と、少なくとも1つのファクトリインターフェースロボット214とを含む。ドッキングステーション208は、1つまたは複数の前方開口型統一ポッド(FOUP)を受容するように構成されている。図2の実施形態では、2つのFOUP206A、206Bが示されている。ロボット214の一端に配置されたブレード216を有するファクトリインターフェースロボット214は、ロードロックチャンバ222を介して処理するために、ファクトリインターフェース202から処理プラットフォーム204に基板を移送するように構成されている。任意選択で、FOUP206A、206Bからの基板の処理を容易にするために、1つまたは複数の処理チャンバ100、201、210、220、228をファクトリインターフェース202の端子226に接続することができる。
[0037] ロードロックチャンバ222の各々は、ファクトリインターフェース202に連結された第1のポートと、移送チャンバ236に連結された第2のポートとを有する。ロードロックチャンバ222は、移送チャンバ236の真空環境とファクトリインターフェース202の実質的な周囲環境(例えば大気環境)との間で、基板の通過を容易にするため、ロードロックチャンバ222をポンプダウンしてベントする圧力制御システム(図示せず)に連結されている。
[0038] 移送チャンバ236は、内部に配置された真空ロボット230を有する。真空ロボット230は、ロードロックチャンバ222、堆積チャンバ100、処理チャンバ201、および処理チャンバ201、210、220、228の間で基板301を移送することができるブレード234を有する。
[0039] システム200の一実施形態では、システム200は、図1に描かれた処理チャンバ100(高密度プラズマ(HDP)チャンバなど)および他の処理チャンバ201、210、220、228を含んでもよく、これらの処理チャンバは、堆積チャンバ、エッチングチャンバ、熱処理チャンバ、または半導体デバイス内のトレンチ内に充填されるべき良好なギャップ充填能力を有する誘電体材料の形成を補助し得る他の類似のタイプの半導体処理チャンバであってもよい。
[0040] システムコントローラ244は処理システム200に連結される。コンピューティングデバイス241を含む、またはコンピューティングデバイス241内に含まれ得るシステムコントローラ244は、システム200の処理チャンバ100、201、210、220、228の直接制御を使用して、処理システム200の動作を制御する。別の態様では、システムコントローラ244は、処理チャンバ100、201、210、220、228およびシステム200に関連するコンピュータ(またはコントローラ)を制御してもよい。動作中、システム200の性能を最適化するため、システムコントローラ244により、それぞれのチャンバおよび処理チャンバ100からのデータの収集およびフィードバックも可能になる。
[0041] システムコントローラ244は、コントローラ170とほぼ同じように、概して、中央処理装置(CPU)238、メモリ240、およびサポート回路242を含む。CPU238は、産業用の設定で使用することができる汎用コンピュータプロセッサの任意の形態のうちの1つであってもよい。サポート回路242は、従来、CPU238に連結され、キャッシュ、クロック回路、入出力サブシステム、電源などを備え得る。ソフトウェアルーチンは、CPU238を特定目的のコンピュータ(コントローラ)244に変換する。ソフトウェアルーチンは、システム200から遠隔に配置されている第2のコントローラ(図示せず)によって、記憶され、かつ/または実行されてもよい。
[0042] 図3は、チャンバ100または他の好適な処理チャンバ内で実施することができる堆積処理300の一実施形態の流れ図である。図4A~図4Bは、堆積処理300の様々な段階に対応する、複合基板の一部分の概略断面図である。処理300は、構造、半導体デバイス、特に半導体メモリの3次元(3D)積層のための、例えば5:1より大きい、高アスペクト比特徴を形成するために利用され得る。別の態様では、処理300は、他の種類の構造のエッチングにも有効に利用されうる。
[0043] 処理300は、操作302において、基板301などの基板を、図1に示す堆積チャンバ100などの堆積プロセスチャンバに移送する(すなわち、提供する)ことによって開始される。基板301は、実質的に平坦な表面、平坦でない表面、または、上部に形成された構造を有する実質的に平坦な表面を有しうる。図4Aに示す実施形態では、基板301は、界面層402上に配置されたパターニングされた材料層404を有する。一実施形態では、パターニングされた材料層404は、内部に形成された開口部408を含む。パターニングされた材料層404は、ゲート構造、コンタクト構造、フロントエンドまたはバックエンド処理における相互接続構造、または必要に応じて任意の好適な構造を形成するために利用することができる。一実施形態では、処理300は、パターニングされた材料層404上で実行され、内部にコンタクト構造を形成し得る。基板301は、結晶シリコン(例えばSi<100>またはSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされたまたはドープされていないポリシリコン、ドープされたまたはドープされていないシリコンウエハ、およびパターニングされたまたはパターニングされていないウエハのシリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、またはサファイアなどの材料であってもよい。基板301は、様々な寸法を有し、例えば200mm、300mm、450mm、あるいはその他の直径を有するウエハであってよく、長方形または正方形のパネルであってもよい。別途明記されない限り、本明細書に記載の実施形態および実施例は、直径200mm、直径300mm、または直径450mmの基板上で実行される。基板301でSOI構造が利用される実施形態では、基板301は、シリコン結晶基板に配置された埋め込み型誘電体層を含み得る。本明細書に記載の実施形態では、基板301は結晶シリコン基板であってもよい。
[0044] 一実施形態では、界面層402は誘電体層であってもよい。パターニングされた材料層404は、内部に誘電体材料を堆積するための界面層402の一部分410を露出させる開口部408を有する。本明細書に記載の開口部408は、トレンチ、ビア、開口部などを含み得る。一実施形態では、パターニングされた材料層404は、金属含有材料、シリコン含有材料、炭素含有材料、または他の好適な材料であってよい。金属含有材料の好適な例には、銅含有材料、アルミニウム含有材料、ニッケル含有材料、タングステン含有材料、または他の金属含有材料が含まれる。好適なシリコン含有材料には、シリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、およびこれらの組み合わせが含まれる。好適な炭素含有材料には、炭化ケイ素、アモルファスカーボンなどが含まれる。本明細書に示した例示的な実施形態では、パターン化材料層404はシリコン層である。
[0045] 界面層402は、必要に応じて、誘電体酸化物層または誘電体窒化物層などの誘電体層であってもよい。界面層402は、複数の層、複合層、または単一層を含み得る。誘電体層に適した他の材料には、酸化シリコンまたはTEOSなどのドープされていないシリコンガラス(USG)、ホウ素シリケートガラス(BSG)、リンシリケートガラス(PSG)、ホウ素リンシリケートガラス(BPSG)、窒化シリコン、アモルファスシリコン、およびこれらの組み合わせが含まれる。
[0046] 一実施形態では、パターニングされた材料層404は、図4Aに示されるように、界面層402の一部分410を露出するために、所定の深さまでパターニングされてもよい。材料層404は、任意の好適なパターニング処理でエッチングまたはパターニングされてもよい。パターニングされた材料層404は、処理チャンバに印加される所定のRF電力レベルと共に、混合ガスを処理チャンバに供給することによってパターニングされてもよい。
[0047] 操作304では、堆積混合ガスが、図1の処理チャンバ100などの処理チャンバに供給され、堆積処理を実行して、開口部408内に誘電体材料406(図4Bに示した)を形成する。処理チャンバ100に供給される混合ガスは、開口部408に形成され充填される異なる種類の材料に基づいて変化させることができる。一実施例では、形成される誘電体材料406が窒化シリコン層であることが望ましい場合、供給される堆積混合ガスは、少なくとも1つのシリコン含有ガス、窒素含有ガス、または任意選択で不活性ガスを含む。別の実施例では、形成される誘電体材料406が酸化シリコン層であることが望ましい場合、供給される堆積混合ガスは、少なくとも1つのシリコン含有ガス、酸素含有ガス、または任意選択で不活性ガスを含む。別の実施例では、形成される誘電体材料406が炭化ケイ素層であることが望ましい場合、供給される堆積混合ガスは、少なくとも1つのシリコン含有ガス、炭素含有ガス、または任意選択で不活性ガスを含む。さらに別の実施例では、形成される誘電体材料406が炭化物層であることが望ましい場合、供給される堆積混合ガスは、少なくとも1つの炭素含有ガス、または任意選択で不活性ガスを含む。
[0048] シリコン含有ガスの好適な例には、SiH、TEOS、Siなどが含まれる。窒素含有ガスの好適な例には、N、NH、NO、NOなどが含まれる。酸素含有ガスの好適な例には、H、HO、O、Oなどが含まれる。炭素含有ガスの好適な例には、CO、炭化水素ガス(CHおよびCなど)などが含まれる。不活性ガスの好適な例には、He、Arなどが含まれる。
[0049] いくつかの実施例では、N、Hなどのキャリアガスも、必要に応じて堆積混合ガス中に供給することができる。
[0050] 一実施例では、堆積混合ガスは、シリコン含有ガス、窒素または炭素含有ガス、およびArまたはHガスを含む。1つの特定の実施例では、堆積混合ガスは、誘電体材料406として窒化ケイ素層を堆積させるために、SiH、ArまたはHe、NH、HまたはN、あるいはこれらの組み合わせを含む。別の特定の実施例では、堆積混合ガスは、誘電体材料406として炭化ケイ素層を堆積させるために、SiH、ArまたはHe、CHまたはCO、HまたはNを含む。さらに別の特定の実施例では、堆積混合ガスは、誘電体材料406として酸化シリコン層を堆積するために、SiH、Ar、またはHe、O、またはNOを含む。
[0051] 一実施形態では、シリコン含有ガスは、約30sccm~約500sccmの体積流量で制御される。酸素、炭素、または窒素含有ガスは、約50sccm~約2000sccmの体積流量で制御される。ArまたはHeガスは、約250sccm~約2000sccmの体積流量で制御される。
[0052] 一実施形態では、堆積混合ガスからのガスの一部は、ガス源106から遠隔プラズマ源104を通って内部処理領域151に供給されることがあり、一方、ガスの一部は、シャワーヘッド118の周りに横方向に形成された流体源119などの処理チャンバ100の側面を通って供給され、内部処理領域151に送達されることがある。いくつかの実施例では、シリコン含有ガスなどの堆積混合ガスからの第1のガス(例えば、反応性前駆体)は、処理チャンバ100の側面(例えば、流体源119)から供給され、一方、堆積混合ガスからの第2のガス(例えば、キャリアガス、不活性ガス、炭素または窒素含有ガスまたは他のガス)は、ガス源106から遠隔プラズマ源104を通って内部処理領域151に供給される。一実施例では、第1のガスは、流体源119から処理チャンバ内に供給されるSiHガスであり、一方、第2のガスは、ガス源106から遠隔プラズマ源104を通って内部処理領域151に供給されるAr、He、NH、H、N、またはこれらの組合せのうちの少なくとも1つである。
[0053] 操作306では、堆積混合ガスが処理チャンバに供給された後、遠隔プラズマ源電力が遠隔プラズマ源104に印加され、遠隔プラズマ源104から内部処理領域151に送達される遠隔プラズマを生成する。遠隔的に分離されたガスおよび/または他のガスは、シャワーヘッドに印加される従来のチャンバ内RF源電力と比較して、高エネルギーであるが比較的低密度のガスラジカルを提供し得る、高密度で低エネルギーの原子種を提供し得ると考えられる。したがって、図4Bに示すように、側面から、例えば流体源119から供給されるガスと共に、ある種の活性ガス種を有する遠隔プラズマ源を利用することによって、比較的低エネルギーの原子種を有する高密度ガス種が内部処理領域151に送達され、基板301上に誘電体材料406を形成する。遠隔プラズマ源からの原子ガス種は、側面から、例えば流体源119から供給される反応ガス前駆体と、より効率的に、ゆっくり、かつ完全に反応することができる、より高い程度の反応性を有し、したがって、パターニングされた材料層404内に画定された開口部408内に誘電体材料406を充填する良好なギャップ充填能力を提供すると考えられている。
[0054] 処理チャンバ内に導入される各ガスの量は、例えば、開口部408内に形成される誘電体材料406の厚さ(例えば、開口部408の高さまたは幾何学的形状)に適合するように変化させ、調節されてもよいことに留意されたい。1つまたは複数の実施形態では、遠隔プラズマ源104から供給されるガスは、特定の比率を有してもよい。例えば、Arガスに対する窒素または炭素含有ガスの比率は、体積比で約0.2:1~約2:1の間に制御され得る。
[0055] 一実施例では、約1000ワットから約10000ワットの間、例えば、約2000ワットから約4000ワットの間などの、遠隔RF源電力が、遠隔プラズマ源104に供給され、内部処理領域151に送達される遠隔プラズマを生成する。遠隔プラズマ源104への電力は、約400kHzの周波数で印加される周波数は、約50kHz~約2.45GHzの範囲とすることができる。基板温度は、約-20℃~約200℃(例えば、摂氏約20℃~約90℃)に維持される。
[0056] 操作308では、遠隔プラズマ源104から内部処理領域151に遠隔プラズマを供給しながら、パルスRFバイアス電力を基板支持部材152に印加して、基板支持部材152上に配置された基板301にRFバイアス電力を生成してもよい。基板支持部材152に生成されるRFバイアス電力は、堆積処理中に内部処理領域151内のプラズマに方向性を与えるのを補助するために利用されることに留意されたい。一実施形態では、RFバイアス電力は、必要に応じて60MHzおよび/または2MHzで供給されてもよい。1つの特定の実施形態では、RFバイアス電力は、60MHz、2MHz、または400KHzで提供される。
[0057] いくつかの実施例では、RFバイアス電力は、パルスモードで基板支持部材152に供給されてもよい。パルスモードで供給されるRFバイアス電力は、基板支持アセンブリ上に配置された基板301に比較的穏やかなRF電力レベルを提供することができ、その結果、反応種は、比較的穏やかなエネルギーレベルで基板301に向かって加速することができると考えられる。基板支持部材152に供給されるRFバイアス電力は、遠隔プラズマ源104から供給される遠隔プラズマ電力と同時であってよく、並列的、個別的、代替的、または順次的であってもよい。
[0058] 図5A~図5Cは、堆積処理中に基板支持部材152に供給されるRFバイアス電力の異なる実施例を示す。図5A~図5Cは、時間の関数としてプロットされた処理チャンバ100に供給される電力強度を示す。図5A~図5Cに示されるトレースライン502、510、520は、遠隔プラズマ源104から供給される電力強度を示し、一方、トレースライン504、512、522は、RFバイアス電源145から基板支持部材152に供給される電力強度を示す。
[0059] 図5Aに示すグラフ550において、遠隔プラズマは、第1の時点506から第2の時点508までの所定の期間、基板支持部材152に供給されるRFバイアス電力と同時に、遠隔プラズマ源104から基板301に供給される。遠隔プラズマ源104からの遠隔プラズマが連続モードで供給される間、基板支持部材152に供給されるRFバイアス電力はパルスモードである。遠隔プラズマ源104からの遠隔プラズマおよび基板支持部材152に供給されるRFバイアス電力は、誘電体材料406の所望の厚さが材料層404の開口部408に充填されるときに、第2の時点508で同時に終了(すなわち、オフ)されてもよい。この実施例では、材料層404内に形成された誘電体材料406は、窒化ケイ素材料であってもよい。
[0060] 図5Bに示すグラフ552では、遠隔プラズマは、第1の時点514から第2の時点516まで遠隔プラズマ源104から供給される。続いて、遠隔プラズマ源104に印加された電力が、第2の時点516で終了した後(例えば、遠隔プラズマ源104から供給された遠隔プラズマがオフにされる)、次いで、RFバイアス電力が、第2の時点516から第3の時点518までパルスモードで基板支持部材152に供給される。パルスモードで基板支持部材152に供給されるRFバイアス電力は、誘電体材料406の所望の厚さが材料層404の開口部408内に充填されると、終了(すなわち、電源オフ)されてもよい。この実施例では、材料層404内に形成された誘電体材料406は、窒化ケイ素材料であってもよい。
[0061] 図5Cに示すグラフ554では、遠隔プラズマは、第1の時点524から第2の時点525まで遠隔プラズマ源104から供給される。遠隔プラズマ源104からの遠隔プラズマが依然として継続的に供給されている間、次いで、RFバイアス電力が、第2の時点525から第3の時点526までパルスモードで基板支持部材152に供給される。したがって、遠隔プラズマ源104からの遠隔プラズマは、基板支持部材152にRFバイアス電力と同時に部分的に供給される。遠隔プラズマ源104からの遠隔プラズマ、およびパルスモードで基板支持部材152に供給されるRFバイアス電力は、誘電体材料406の所望の厚さが材料層404の開口部408に充填されるときに、第3の時点526で同時に終了(すなわち、電源オフ)されてもよい。
[0062] 遠隔プラズマ源104からの遠隔プラズマの供給および基板支持部材152へのRFバイアス電力は、必要に応じて、任意の配置、任意の順序、および任意のシーケンスであってもよいことに留意されたい。
[0063] 基板支持部材152に供給されるRFバイアス電力は、開口部408の深い端部(例えば、界面層402の露出部分410)に向かってプラズマ中の電子、イオン、および他の種を加速するのを助けることができると考えられる。RFバイアス電力から生成される加速度は、誘電体材料406を開口部408内に充填しつつ、ギャップ充填能力を強化する助けとなり得る。さらに、RFバイアス電力からのパルス時間およびパルス電力強度を制御することによって、必要に応じて堆積プロファイル、反応種軌道および堆積方向性を制御するため、過大なエネルギーを有する、または攻撃的な反応種(aggressive reactive species)を排除することができる。
[0064] 一実施例では、RFバイアス電力は、必要に応じて、60MHzおよび/または2MHzで供給されてもよい。一実施形態では、RFバイアス電力は、約162MHz、60MHzまたは2MHzで供給される。一実施例では、2MHzの周波数を有するRFバイアス電力が、約1000ワットから約4000ワットの間で、例えば、約1500ワットから約3000ワットの間で、基板支持部材152に供給される。RFバイアス電力は、約210マイクロ秒~約100ミニ秒の間で制御されうる。基板温度は、約-20℃~約200℃(例えば、約20℃~約90℃)に維持される。
[0065] 操作306および操作308は、図4Bに示すように、パターニングされた材料層404の開口部408が誘電体材料406で充填されるまで、図3のループ309によって示されるように、繰り返し(すなわち、周期的に)実行されてもよいことに留意されたい。繰り返される処理は、良好なギャップ充填能力で、誘電体材料406を周期的かつ漸増的に堆積させてもよい。過度に攻撃的な反応種は、比較的高い堆積速度で反応し、最終的には、開口部408の早期閉鎖につながり、開口部408を誘電体材料406で充填する間に、開口部408内に望ましくないボイドまたは空隙を形成することがある。漸増的な堆積は、ギャップ充填能力を改善し、材料層404の開口部408内に形成される誘電体材料406の堆積プロファイルを向上させる。
[0066] 操作310では、誘電体材料406の所望の厚さが開口部408に充填された後、誘電体材料406が次に基板301上に形成される。こうして、処理300が完了し、基板301を処理チャンバから取り出すことができる。
[0067] 良好なギャップ充填能力を有する堆積処理を利用することによって、追加の処理工程または硬化工程を排除して、製造サイクル時間およびコストを改善することができる。
[0068] したがって、高アスペクト比および小寸法の開口部内に誘電体材料を堆積するための方法は、堆積処理中の遠隔プラズマ電力およびRFバイアス電力の好適な管理によって提供される。別の態様では、堆積処理中に異なる供給モード(例えば、連続モードまたはパルスモード)を、同時に、または部分的に同時に有する遠隔プラズマ電力とRFバイアス電力によって、良好なギャップ充填能力を有する堆積処理が得られる。こうすることにより、特に半導体チップの3次元(3D)積層における用途のために、高アスペクト比と小さな寸法を有する開口部内に誘電体材料を充填するための改良された堆積処理が得られる。
[0069] 上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他の実施形態及び更なる実施形態が考案されてよく、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (17)

  1. 誘電体材料を堆積させる方法であって、
    内部の基板支持部材に配置された基板を有する処理チャンバ内に混合ガスを提供することと、
    遠隔プラズマ源内に遠隔プラズマを形成し、反応種を有する前記遠隔プラズマを前記処理チャンバ内に画定された内部処理領域に送達することと、
    パルスモードで前記基板支持部材にRFバイアス電力を印加して、前記反応種の軌道を前記基板の方向へ制御することと、
    前記混合ガスおよび前記遠隔プラズマの存在下で、チャンバ内でのRF源電力を用いることなく、前記反応種及び前記混合ガスから、前記基板上に配置された材料層内に画定された開口部内に誘電体材料を形成することと
    を含む、方法。
  2. 前記遠隔プラズマは、前記RFバイアス電力を前記処理チャンバに印加する前に形成される、請求項1に記載の方法。
  3. 前記RFバイアス電力の印加と同時に、前記遠隔プラズマを形成すること、
    をさらに含む、請求項1に記載の方法。
  4. 前記遠隔プラズマを形成することはさらに、
    所定の期間にわたって前記遠隔プラズマを形成することと、
    前記RFバイアス電力を印加する前に前記遠隔プラズマを終了させることと、
    を含む、請求項1に記載の方法。
  5. 前記混合ガスは、前記処理チャンバの側面を通って前記内部処理領域に供給される第1のガスを含む、請求項1に記載の方法。
  6. 前記第1のガスがシリコン含有ガスを含む、請求項5に記載の方法。
  7. 前記混合ガスは、前記遠隔プラズマ源を通って前記内部処理領域に供給される第2のガスを含む、請求項1に記載の方法。
  8. 前記遠隔プラズマは、前記混合ガスからの前記第2のガスの存在下で、前記遠隔プラズマ源において形成される、請求項7に記載の方法。
  9. 前記第2のガスが、窒素含有ガス、不活性ガス、炭素含有ガスおよび酸素含有ガスからなる群から選択される、請求項8に記載の方法。
  10. 前記第2のガスが、Ar、He、NH、H、N、およびこれらの組み合わせのうちの少なくとも1つを含む、請求項に記載の方法。
  11. 前記基板上に形成される前記誘電体材料は、窒化ケイ素層である、請求項4に記載の方法。
  12. 前記基板上に形成される前記誘電体材料は炭化ケイ素層である、請求項3に記載の方法。
  13. 基板を-20℃から200℃の間の温度に維持することをさらに含む、請求項1に記載の方法。
  14. 前記RFバイアス電力が210マイクロ秒から100ミリ秒の間の持続時間で、前記パルスモードで印加される、請求項1に記載の方法。
  15. 前記RFバイアス電力は、2MHzのRF周波数を有する、請求項1に記載の方法。
  16. 前記RFバイアス電力は前記基板支持部材に1000ワットから4000ワットの電力レベルで供給される、請求項1に記載の方法。
  17. 前記RFバイアス電力は、前記反応種の堆積プロファイルと堆積方向性とを制御するために前記基板支持部材に供給される、請求項1に記載の方法。
JP2021514014A 2018-09-17 2019-08-20 誘電体材料の堆積方法 Active JP7176106B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/132,837 2018-09-17
US16/132,837 US11114306B2 (en) 2018-09-17 2018-09-17 Methods for depositing dielectric material
PCT/US2019/047343 WO2020060712A1 (en) 2018-09-17 2019-08-20 Methods for depositing dielectric material

Publications (2)

Publication Number Publication Date
JP2022500867A JP2022500867A (ja) 2022-01-04
JP7176106B2 true JP7176106B2 (ja) 2022-11-21

Family

ID=69772255

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021514014A Active JP7176106B2 (ja) 2018-09-17 2019-08-20 誘電体材料の堆積方法

Country Status (5)

Country Link
US (2) US11114306B2 (ja)
JP (1) JP7176106B2 (ja)
KR (2) KR102514465B1 (ja)
CN (1) CN112673457A (ja)
WO (1) WO2020060712A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
KR20200080460A (ko) * 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US20220364230A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification
WO2023095374A1 (ja) * 2021-11-26 2023-06-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2018026532A (ja) 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 領域選択堆積用の統合クラスタツール
JP2018511700A (ja) 2015-03-17 2018-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜堆積のためのパルス化されたプラズマ

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6136388A (en) * 1997-12-01 2000-10-24 Applied Materials, Inc. Substrate processing chamber with tunable impedance
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6130169A (en) 1999-05-21 2000-10-10 Advanced Micro Devices, Inc. Efficient in-situ resist strip process for heavy polymer metal etch
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
KR100529298B1 (ko) * 2003-03-20 2005-11-17 학교법인 한양학원 Dc 바이어스를 이용한 리모트 플라즈마 원자층 증착 장치
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7491647B2 (en) 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7276447B1 (en) 2006-04-11 2007-10-02 Applied Materials, Inc. Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
CN107039527A (zh) * 2011-09-30 2017-08-11 英特尔公司 用于晶体管栅极的帽盖介电结构
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5822795B2 (ja) 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20140186544A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9595467B2 (en) * 2014-11-14 2017-03-14 Applied Materials, Inc. Air gap formation in interconnection structure by implantation process
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10032604B2 (en) * 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US20170092492A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Methods for forming a silicon containing dielectric film using a gas mixture with ar gas dilusion
KR20170097270A (ko) * 2016-02-17 2017-08-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN114231947A (zh) * 2016-02-26 2022-03-25 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11107674B2 (en) * 2019-01-24 2021-08-31 Applied Materials, Inc. Methods for depositing silicon nitride

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2018511700A (ja) 2015-03-17 2018-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜堆積のためのパルス化されたプラズマ
JP2018026532A (ja) 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 領域選択堆積用の統合クラスタツール

Also Published As

Publication number Publication date
US20210384040A1 (en) 2021-12-09
KR102514465B1 (ko) 2023-03-24
KR20210043746A (ko) 2021-04-21
KR20230044033A (ko) 2023-03-31
US11631591B2 (en) 2023-04-18
WO2020060712A1 (en) 2020-03-26
US20200090946A1 (en) 2020-03-19
CN112673457A (zh) 2021-04-16
US11114306B2 (en) 2021-09-07
JP2022500867A (ja) 2022-01-04
TW202025283A (zh) 2020-07-01

Similar Documents

Publication Publication Date Title
JP7176106B2 (ja) 誘電体材料の堆積方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
US9214377B2 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
US10128337B2 (en) Methods for forming fin structures with desired profile for 3D structure semiconductor applications
CN114885614B (zh) 用于蚀刻用于半导体应用的材料层的方法
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
TWI837174B (zh) 沉積介電材料之方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220901

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221109

R150 Certificate of patent or registration of utility model

Ref document number: 7176106

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150