JP5965907B2 - 電気機器や機械機器・光学機器用の基板の化学機械研磨用の水性研磨組成物と方法 - Google Patents

電気機器や機械機器・光学機器用の基板の化学機械研磨用の水性研磨組成物と方法 Download PDF

Info

Publication number
JP5965907B2
JP5965907B2 JP2013527717A JP2013527717A JP5965907B2 JP 5965907 B2 JP5965907 B2 JP 5965907B2 JP 2013527717 A JP2013527717 A JP 2013527717A JP 2013527717 A JP2013527717 A JP 2013527717A JP 5965907 B2 JP5965907 B2 JP 5965907B2
Authority
JP
Japan
Prior art keywords
water
group
aqueous
polishing composition
soluble
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013527717A
Other languages
English (en)
Other versions
JP2013541609A (ja
JP2013541609A5 (ja
Inventor
リー,ユツホウ
チュー,チョア‐チュイ
スンダール ヴェンカタラマン,シアム
スンダール ヴェンカタラマン,シアム
イブラヒム,シェイク アンサル ウスマン
イブラヒム,シェイク アンサル ウスマン
ウエイン ピンダー,ハーヴェイ
ウエイン ピンダー,ハーヴェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2013541609A publication Critical patent/JP2013541609A/ja
Publication of JP2013541609A5 publication Critical patent/JP2013541609A5/ja
Application granted granted Critical
Publication of JP5965907B2 publication Critical patent/JP5965907B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/24Homopolymers or copolymers of amides or imides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

本発明は、電気機器や機械機器・光学機器用の基板の研磨に特に適した新規の水性研磨組成物に関する。
本発明はまた、電気機器や機械機器・光学機器の製造のための新規の基板研磨方法に関する。
最後にさらに、本発明は、製造電気機器や機械機器・光学機器の製造での新規水性研磨組成物の新規利用に関する。
[引用文書]
本出願に引用の文書の全てを、参照として採用する。
化学機械的な平坦化または研磨(CMP)は、集積回路(IC)装置の局所的また全面的な平面性を確保するために最も重要な方法である。この方法では通常、荷重がかかった状態で回転している基板表面と研磨パッド間に、活性化学物質としての研磨剤と他の添加物とを含むCMP組成物あるいはスラリーが供給される。したがって、CMPプロセスでは、研磨などの物理プロセスと酸化またはキレート化などの化学プロセスが並存している。基板の除去または研磨が純粋な物理作用や純粋な化学作用で起こることは望ましくなく、速やかに均一な除去を行うにはこれら二つの作用の相乗的な組合せが望ましい。
このように、所望の平面性が得られるか、下バリア層またはストップ層が露出されるまで基板を研磨する。最後に、無欠陥の平面的な表面が得られ、続くフォトリソグラフィーやパターニング・エッチング・薄膜加工による適当な多層IC装置の組み立てが可能となる。
シャロートレンチアイソレーション(STI)はある特定のCMPの用途であり、
この場合には、一般的には、回路パターンが形成されたウエハー基板上で、窒化ケイ素まで二酸化ケイ素を選択的に除去することが必要となる。この場合、エッチングで設けられたトレンチが誘電体材料、例えば二酸化ケイ素で過充填され、これが窒化ケイ素バリアフィルムをストップ層として研磨される。このCMPプロセスは、露出された窒化ケイ素とトレンチの酸化ケイ素の除去を最低に抑えながらバリアフィルムから二酸化ケイを除いて終了する。
このためには、二酸化ケイ素材料除去率(MRR)と窒化ケイ素材料除去率(MRR)の相対比(従来技術では酸化物/窒化物選択性を呼ばれている)を高くすることができるCMPスラリーが必要である。
セリア系のCMPスラリーは、セリアの二酸化ケイ素に対する高い化学的親和性のため比較的高い酸化物/窒化物選択性を持つことができ(当分野ではセリアの「ケミカルトゥース作用」とよばれている)、STI用途でかなり大きな注目を集めている。
しかし、セリア系CMPスラリーの酸化物/窒化物選択性は、この選択性を「望むように変更」できる添加物を用いて改善する必要がある。
セリア系CMPスラリーの選択性を望むように変更するためにいろいろな検討がなされた。
Jae−Don Leeらは、Journal of the Electrochemical Society, 149 (8), G477−G481,2002に、異なる親水性/親油性バランス(HLB)値をもつノニオン性界面活性剤、例えばポリエチレンオキシドやエチレンオキシド−プロピレンオキシドコポリマー、エチレンオキシド・プロピレンオキシド・エチレンオキシトリブロックコポリマーの、CMPの間の酸化物/ポリシリコン選択性に及ぼす効果を開示している。しかしながら、研磨剤としてヒュームドシリカが用いられている。
Jae−Dong Leeらは、Journal of the Electrochemical Society, 149 (8) G477−G481, 2002、「ノニオン性界面活性剤の化学機械研磨の際の酸化物/ポリシリコン選択性」に、ポリエチレンオキシド(PEO)やエチレンオキシド・プロピレンオキシド・エチレンオキシドトリブロックコポリマーなどの界面活性剤の選択性に及ぼす影響を開示している。しかしながら、酸化物/窒化物選択性は示されていない。
米国特許出願US2002/0034875A1と米国特許US6,626,968B2には、界面活性剤と、水酸化カリウム、硫酸、硝酸、塩酸またはリン酸などのpH調整剤と、親水性の官能基と疎水的な官能基を含むポリマー、例えばポリビニルメチルエーテル(PVME)やポリエチレングリコール(PEG)、ポリオキシエチレン23ラウリルエーテル(POLE)、ポリプロパン酸(PPA)、ポリアクリル酸(PM)、ポリエーテルグリコールビスエーテル(PEGBE)を含むセリア系CMPスラリーが開示されている。このセリア系CMPスラリーは、より高い酸化物/ポリシリコン選択性を持っている。
米国特許US6,616,514B1には、この酸化物/窒化物選択性を改善するために、水性媒体中で解離不能な少なくとも3個のヒドロキシル基をもつ有機ポリオール、あるいは水性媒体中で解離不能な少なくとも3個のヒドロキシル基をもつ少なくとも一種のモノマーから形成されたポリマーを含む、例えばマンニトールやソルビトール、マンノース、キシリトール、ソルボース、スクロース、デキストリンを含むセリア系CMPスラリーが開示されている。
米国特許出願US2006/0124594A1には、ポリエチレングリコール(PEG)などのノニオン性ポリマーを含む増粘剤を含む、粘度が少なくとも1.5cPであるセリア系CMPスラリーが開示されている。このセリア系CMPスラリーは、高い酸化物/窒化物選択性と低いウエハー内不均一性WIWNUを持つといわれている。
米国特許出願US2006/0207188A1には、ポリアクリル酸またはポリ(メタクリル酸アルキル)などのポリマーとアクリルアミド・メタクリルアミド・エチルメタクリルアミド・ビニルピリジン・ビニルピロリドンなどのモノマーとの間の反応生成物を含むセリア系CMPスラリーが開示されている。これらの反応生成物も酸化物/窒化物選択性を上げると考えられている。
米国特許出願US2006/0216935A1には、タンパク質とリシン及び/又はアルギニンに加えて、ポリビニルピロリドン(PVP)やN−オクチル−2−ピロリドン、N−エチル−2−ピロリドン、N−ヒドロキシエチル−2−ピロリドン、N−シクロヘキシル−2−ピロリドン、n−ブチル−2−ピロリドン、N−ヘキシル−2−ピロリドン、N−デシル−2−ピロリドン、N−オクタデシル−2−ピロリドン、N−ヘキサデシル−2−ピロリドンなどのピロリドン化合物を含むセリア系CMPスラリーが開示されている。このセリア系CMPスラリーは、さらにポリアクリル酸やグリコール、ポリグリコールなどの分散剤を含むことができる。具体的な例では、プロリンやポリビニルピロリドンまたはN−オクチル−2−ピロリドン、PPO/PEOブロックコポリマー、グルタルアルデヒドが用いられている。このセリア系CMPスラリーは、トレンチの二酸化ケイ素を激しく除かず、最小ステップ高さを大きく増加させることなく終点を越えてさらに研磨を可能とすると考えられている。
米国特許出願US2007/0077865A1には、ポリエチレンオキシド/ポリプロピレンオキシドコポリマーを、好ましくはBASF社から販売されているプルオニック・シリーズのコポリマーを含むセリア系CMPスラリーが開示されている。
このセリア系CMPスラリーは、さらにアミノアルコールを、例えば2−ジメチルアミノ−2−メチル−1−プロパノール(DMAMP)や、2−アミノ−2−エチル−1−プロパノール(AMP)、2−(2−アミノエチルアミノ)エタノール、2−(イソプロピルアミノ)エタノール、2−(メチルアミノ)エタノール、2−(ジエチルアミノ)エタノール、2−(2−ジメチルアミノ)エトキシ)エタノール、1,1’−[[3−(ジメチルアミノ)プロピル]イミノ]−ビス−2−プロパノール、2−(2−ブチルアミノ)エタノール、2−(tert−ブチルアミノ)エタノール、2−(ジイソプロピルアミノ)エタノール、N−(3−アミノプロピル)モルホリンを含んでいてもよい。このセリア系CMPスラリーはさらに、水酸化テトラメテルアンモニウムなどの四級アンモニウム化合物や、アルキルアミンやアルカノールアミン、ヒドロキシルアミン、リン酸エステル、ラウリル硫酸ナトリウム、脂肪酸、ポリアクリレート、ポリメタクリレート、ポリビニルホスホネート、ポリマレート、ポリスチレンスルホネート、ポリビニルスルフェート、ベンゾトリアゾール、トリアゾール、ベンゾイミダゾールなどのフィルム成形剤、さらにはアセチルアセトンや酢酸塩、グリコール酸塩、乳酸塩、グルコン酸塩、没食子酸、シュウ酸塩、フタール酸塩、クエン酸塩、コハク酸塩、酒石酸塩、リンゴ酸塩、エチレンジアミン四酢酸、エチレングリコール、ピロカテコール、ピロガロール、タンニン酸、ホスホニウム塩、ホスホン酸などの錯化剤を含んでいてもよい。このセリア系CMPスラリーは、ポリシリコンに対するより酸化ケイ素及び/又は窒化ケイ素に対して良い選択性を与えると考えられている。
米国特許出願US2007/0175104A1には、アクリルアミドとメタクリルアミド、これらのα−置換誘導体;ポリエチレングリコール;ポリビニルピロリドン;およびアルキルオキシ化線状脂肪族アルコールとアセチレン系ジオールのエチレンオキシド付加物とから選ばれるいずれかの基で置換されたN−一置換またはN,N−二置換の骨格をもつ水溶性ポリマーからなる群から選ばれるポリシリコン研磨阻害剤を含むセリア系CMPスラリーが開示されている。このセリア系CMPスラリーは、さらに水溶性ポリマーを含むことができ、例えばアルギン酸やペクチン酸、カルボキシメチルセルロース、寒天、カードラン、プルランなどの多糖類;ポリアスパラギン酸、ポリグルタミン酸、ポリリジン、ポリリンゴ酸、ポリメタクリル酸、ポリイミド酸、ポリマレイン酸、ポリイタコン酸、ポリフマール酸、ポリ(p−スチレンカルボン酸)、ポリアクリル酸、ポリアクリルアミド、アミノポリアクリルアミド、ポリグリオキサル酸などのポリカルボン酸とその塩類;またポリビニルアルコールやポリアクロレインなどのビニルポリマーを含むことができる。このセリア系CMPスラリーは、高い酸化ケイ素/ポリシリコン選択性を持つといわれている。
米国特許出願US2007/0191244A1には、重量平均分子量が30〜500で、ヒドロキシル基、カルボキシル基または両方を持つ化合物を含むセリア系CMPスラリーが、例えばクエン酸塩やリンゴ酸塩、グルコン酸塩、酒石酸塩、2−ヒドロキシイソ酪酸塩、アジピン酸塩、オクタン酸塩、コハク酸塩、EDTA含有化合物、グルタレート、メチレンスクシナート、マンノース、グリセロ−ガラクト−ヘプトース、エリトロ−マンノ−オクトース、アラビノ−ガラクト−ノノース、グルタミンを含むセリア系CMPスラリーが開示されている。このセリア系CMPスラリーはさらに、アルコキシポリアルキレングリコール側鎖をもつ線状高分子酸またはグラフト型高分子酸を含んでいてもよい。このセリア系CMPスラリーは、全面平面性が改善された研磨ウエハーを与えるといわれている。
米国特許出願US2008/0085602A1とUS2008/0124913A1には、分散剤としてエチレンオキシド・プロピレンオキシド・エチレンオキシドのトリブロックコポリマーとポリアクリル酸から選ばれるノニオン性界面活性剤を0.001〜0.1質量%の量で含むセリア系CMPスラリーが開示されている。このセリア系スラリーは、ポリシリコンと較べて高い酸化ケイ素と窒化ケイ素選択性を持つといわれる。
電気装置、特に半導体集積回路(IC)の組立には、特に高い選択性のCMPなどの高精度な方法が必要である。
先行技術のセリア系CMPスラリーは、満足できる酸化物/窒化物選択性を示し、全面的にも局所的にも好ましい(具体的には、ウエハー内不均一性(WIWNU)やウエハー間不均一性(WTWNU)において好ましい)平面性を持つ研磨ウエハーを与えるが、IC構造物、特にLSI(大規模集積回路)またはVLSI(超大規模集積回路)をもつIC構造物の大きさは減少を続けており、これに対応するために増大する集積回路装置製造業者の技術的・経済的ニーズを満たすためには、セリア系CMPスラリーの継続的な改良が必要となる。
しかしながら、先行技術のセリア系CMPスラリーを常に向上を求めるこの強いニーズは、集積回路装置の分野にのみに存在するのではない。液晶パネルや有機電界発光パネル、プリント基板、マイクロマシン、DNAチップ、マイクロプラント、太陽電池セル、磁気ヘッドなどの他の電気装置や、高精度の機械装置や光学機器の分野、特にフォトマスクやレンズ、プリズムなどの光学ガラス、酸化インジウムスズ(ITO)などの無機導電膜、光学集積回路、光学開閉素子、光導波路、光ファイバー端面やシンチレータなどの光学的単結晶、固体レーザー単結晶、青色レーザーLED用サファイア基板、半導体単結晶、磁気ディスク用ガラス基板などの製造の分野でも、研磨効率と平坦化効率を改善する必要がある。これらの電気機器や光学機器の製造にも高精度のCMP加工工程が必要である。
欧州特許出願EP1338636A1には、セルロースと結晶セルロース、セルロース誘導体、シリカ、アルギン酸塩、β−ナフタレンスルホネートホルマリン縮合物、第二リン酸カルシウム、タンパク質、ポリペプチドと有機高分子フロック形成剤からなる群から選ばれる抗凝固剤、さらにはピロリン酸やピロリン酸ナトリウム、トリポリリン酸ナトリウムまたはヘキサメタリン酸ナトリウムなどの縮合リン酸誘導体などの分散剤または界面活性剤を含むセリア系CMPスラリーが開示されている。しかしながら、ガラスの研磨が開示されているのみである。
日本特許出願JP2005−336400Aには、ピロリン酸塩とトリポリリン酸塩、ヘキサメタリン酸塩などの水溶性縮合リン酸誘導体と水溶性の炭酸塩または炭酸水素塩を含むセリア系CMPスラリーが開示されている。このセリア系CMPスラリーはさらに、メタノールやエタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、エチレングリコール、プロピレングリコール1,2,3−プロパントリオール、さらにはアセトンやメチルエチルケトンなどのケトン、テトラヒドロフラン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、1,4−ジオキサンなどの水溶性有機溶媒を含んでいてもよい。このセリア系CMPスラリーは、研磨精度や洗浄・初期研磨速度・研磨速度の面で改善された研磨を行うといわれる。しかしながら、ガラスの研磨が開示されているのみである。
日本特許出願JP2001−240850Aには、研磨剤としてアルミナ、ジルコニアまたは炭化ケイ素を含み、分散剤としてアルキレンオキシド−エチレンオキシドブロックまたはランダムコポリマーを含み、「防錆剤」としてリン酸ナトリウムまたはポリリン酸ナトリウムを含むCMPスラリーが開示されている。このCMPスラリーは、シリコンウエハーやガラス・アルミニウム・セラミック・合成シリカ・石英・サファイアの研磨に使用される。
US2002/0034875A1 US6,626,968B2 US6,616,514B1 US2006/0124594A1 US2006/0207188A1 US2006/0216935A1 US2007/0077865A1 US2007/0175104A1 US2007/0191244A1 US2008/0085602A1 US2008/0124913A1 EP1338636A1 JP2005−336400A JP2001−240850A
Journal of the Electrochemical Society, 149 (8), G477−G481,2002
したがって本発明の目的は、先行技術の研磨組成物の欠点や問題点を示さない新規の水性研磨組成物、特に新規の化学機械研磨(CMP)組成物、特に新規のセリア系CMPスラリーを提供することである。
特にこの新規の水性研磨組成物、特に新規の化学機械研磨(CMP)組成物、特に新規のセリア系CMPスラリーは、かなり改善された酸化物/窒化物選択性とを示すとともに、ウエハー内不均一性(WIWNU)とウエハー間不均一性(WTWNU)などで示されるように、優れた全面的及び局所的な平面性を持つ研磨ウエハーを与える必要がある。したがって、IC構造物、特に大きさが50nm未満の構造を持つ、LSI(大規模集積回路)またはVLSI(超大規模集積回路)をもつIC製造物に、極めて適している必要がある。
また、この新規の水性研磨組成物、特に新規の化学機械研磨(CMP)組成物、特に新規のセリア系CMPスラリーは、集積回路装置の分野で極めて有用であるばかりか、液晶パネルや有機電界発光パネル、プリント基板、マイクロマシン、DNAチップ、マイクロプラント、磁気ヘッドなどの他の電気装置や、特に光マスクなどの光学ガラスや、レンズとプリズム、酸化インジウムスズ(ITO)などの無機導電膜、光学集積回路、光学開閉素子、光導波路、光ファイバーやシンチレータの端面などの光学単結晶、固体レーザー単結晶、青色レーザーLED用サファイア基板、半導体単結晶、磁気ディスク用ガラス基板などの高精度の機械装置や光学機器の製造の分野でも、効率が最も高く好適である必要がある。
本発明のもう一つの目的は、新規の機械機器や電気機器・光学機器用の基板の研磨方法であって、その基板が酸化ケイ素誘電体と窒化ケイ素膜とを含んでいる方法を提供することである。
したがって、pHが3〜11の範囲である新規の水性研磨組成物が見出された。この水性研磨組成物は、
(A)成分(B)を含まず、pHが3〜9の範囲にある水性媒体中に分散した時、電気泳動異動度により測定すると正に荷電する少なくとも一種の研磨剤粒子と
(B)少なくとも一種のアニオン性リン酸塩分散剤と
(C)以下のものからなる群から選ばれる少なくとも一種の多価アルコール成分:
(c1)水性媒体で解離不能な少なくとも4個のヒドロキシ基をもつ、水溶性および水分散性の脂肪族および脂環式モノマー・ダイマー・オリゴマー状のポリオールからなる群から選ばれる多価アルコール;と
(c2)以下のものの混合物:
(c21)少なくとも2個の水性媒体で解離不能なヒドロキシ基をもつ水溶性および水分散性の脂肪族および脂環式ポリオールからなる群から選ばれる少なくとも一種の多価アルコール;および
(c22)線状および分岐状のアルキレンオキシドホモポリマーとコポリマー(c221)と線状および分岐状の脂肪族および脂環式のポリ(N−ビニルアミド)のホモポリマーとコポリマー(c222)からなる群から選ばれる少なくとも一種の水溶性または水分散性のポリマー;および
(c3)(c1)と(c2)の混合物
含み、
上記の水性媒体で解離不能な少なくとも4個のヒドロキシ基をもつ水溶性および水分散性で脂肪族および脂環式モノマー・ダイマー・オリゴマー状のポリオール(c1)が、エリトリトール、ペンタエリスリトール、アルジトール、シクリトール、炭水化物、及びグリセロール、エリスリトール、ペンタエリスリトール、アルジトール及びシクリトールのダイマーとオリゴマーからなる群から選ばれ、
上記炭水化物(c1)が単糖、二糖、オリゴ糖、多糖、デソキシ糖及びアミノ糖からなる群から選ばれ、
上記単糖(c1)がアロース、アルトロース、グルコース、マンノース、イドース、ガラクトース及びタロースからなる群から選ばれ、
前記多価アルコール(c1)が当該組成物の総質量に対して0.005〜5質量%の量で含まれている。
これ以降、この新規の水性研磨組成物を「本発明の組成物」とよぶ。
また、この新規の電気機器や機械機器・光学機器用の基板の化学的機械研磨方法であって、この基板を少なくとも一度本発明の組成物に接触させ所望の平面性が得られるまでこの基板を研磨する方法が見出された。
以降、この新規の電気機器や機械機器・光学機器用の基板の化学的機械研磨方法を「本発明の方法」とよぶ。
最後にさらに、この新規の本発明の組成物の電気機器や機械機器・光学機器の製造のための利用が見出された。この利用を、これ以降「本発明の利用」とよぶ。
本発明の長所
先行技術を鑑みるに、本発明の目的が、本発明の組成物や本発明の方法・本発明の利用で解決できるのは熟練者には驚きであり予想もされていなかった。
本発明の組成物が、大きく改善された酸化物/窒化物選択性を示し、ウエハー内不均一性(WIWNU)やウエハー間不均一性(WTWNU)で例示されるように優れた全面的かつ局所的な平面性をもつ研磨ウエハーを与えることは特に驚きである。したがって、これらは、IC構造物の、特に大きさが50nm未満の構造のLSI(大規模集積回路)またはVLSI(超大規模集積回路)をもつIC構造物の製造に優れて適している。
また本発明の組成物は、長期間の輸送や保管の間安定であり、このためその安定性が輸送や工程管理を大幅に改善した。
また本発明の組成物は、集積回路装置の分野で極めて有用であるばかりか、液晶パネルや有機電界発光パネル、プリント基板、マイクロマシン、DNAチップ、マイクロプラント、磁気ヘッドなどの他の電気装置や、特に光マスクなどの光学ガラスや、レンズとプリズム、酸化インジウムスズ(ITO)などの無機導電膜、光学集積回路、光学開閉素子、光導波路、光ファイバーやシンチレータの端面などの光学単結晶、固体レーザー単結晶、青色レーザーLED用サファイア基板、半導体単結晶、磁気ディスク用ガラス基板などの高精度の機械装置や光学機器の製造の分野でも、効率が最も高く、好適である。
特に本発明の組成物は本発明の利用に極めて好適である。
したがって本発明の組成物は本発明の方法で極めて有用である。本発明の方法は、液晶パネルや有機電界発光パネル、プリント基板、マイクロマシン、DNAチップ、マイクロプラント、磁気ヘッドなどの電気装置用の基板材料や、高精度の機械装置や光学機器、特に光学ガラスやフォトマスク、レンズやプリズム、酸化インジウムスズ(ITO)などの無機導電膜、光学集積回路、光学開閉素子、光導波路、光ファイバー端面やシンチレータなどの光学単結晶、固体レーザー単結晶、青色レーザーLED用サファイア基板、半導体単結晶、磁気ディスク用ガラス基板用の基板材料の研磨、特に化学的機械研磨に最も好ましく用いられる。
しかしながら本発明の方法は、特に酸化ケイ素誘電体膜と窒化ケイ素膜を含む半導体ウエハーの研磨に極めて適している。本発明の方法は、ウエハー内不均一性(WIWNU)やウエハー間不均一性(WTWNU)で例示されるように、ディッシング、カッピングまたはホットスポットを形成することなく、優れた全面的かつ局所的平面性とバランスをもつ研磨ウエハーを与える。したがってこれらは、IC構造物の、特に大きさが50nm未満の構造のLSI(大規模集積回路)またはVLSI(超大規模集積回路)をもつIC構造物の製造に優れて適している。
本発明の組成物は水性の組成物である。これは、この組成物が水を、特に超純水を、主たる溶媒と分散剤として含むことを意味する。しかし本発明の組成物は少なくとも一種の水混和性有機溶媒を含んでいてもよい。ただしその量は、本発明の組成物の水性を変化させない程度の少量である。
本発明の組成物は、水を、本発明の組成物総質量に対して好ましくは60〜99.95質量%の量で含み、より好ましくは70〜99.9質量%、さらに好ましくは80〜99.9質量%、最も好ましくは、90〜99.9質量%で含む。
本発明の組成物のpHは、下述のpH調整剤(E)を用いて、好ましくは3〜11に設定され、より好ましくは4〜11、さらに好ましくは5〜11、最も好ましくは6〜11に調整される。
「水溶性」は、本発明の組成物の関連する成分または構成要素が、分子レベルで水相中に溶解していることを意味する。
「水分散性」は、本発明の組成物の関連する成分または構成要素が、水相に分散可能であり、安定な乳化液または懸濁液を形成することを意味する。
「ダイマー」は、本発明の組成物の関連する成分または構成要素が、2個の結合したモノマー構造単位を持つことを意味する。ただし、これら両モノマーが同一構造をとっても相互に異なる構造をとっていてもよい。
「オリゴマー」は、本発明の組成物の関連する成分または構成要素が、3〜12個が結合した、同一構造であってもよいモノマー構造単位からなることを意味する。しかしこれらの構造単位が、少なくとも2種の異なる構造から選ばれてもよい。
「ポリマー」は、本発明の組成物の関連する成分または構成要素が、12個を越える数の結合した、同一構造でもよいモノマー構造単位を持つことを意味する。しかしこれらの構造単位が、少なくとも2種の異なる構造から選ばれてもよい。
「多価」は2つ以上のヒドロキシ基を持つことを意味する。
本発明の組成物の第一の必須構成要素は、少なくとも一種の、好ましくは一種の研磨剤粒子(A)である。
この研磨剤粒子(A)は、pHが3〜9の範囲にあり下記のアニオン性リン酸塩分散剤(B)を含まない水性媒体中に分散されると、正に荷電する。この正電荷は、この研磨剤粒子(A)の電気泳動異動度μ(μm/s)(V/cm)で証明される。この電気泳動異動度μは、マルバーン社のゼータサイザー・ナノなどの装置を用いて直接測定できる。
研磨剤粒子(A)の平均粒度は広く変動することができ、このため本発明の組成物と方法の特定の要件に応じて最も好ましく調整できる。ダイナミックレーザー光散乱で測定した平均粒度は、好ましくは1〜2000nmの範囲であり、好ましくは1〜1000nm、より好ましくは1〜750、最も好ましくは1〜500nmの範囲である。
この研磨剤粒子(A)の粒度分布は、単峰性であっても、二峰性または多峰性であってもよい。研磨剤粒子(A)の性質が容易に再現可能であり、本発明の方法で容易に条件が再現可能であるためには、この粒度分布が単峰性であることが好ましい。
またこの研磨剤粒子(A)の粒度分布は、狭くても広くてもよい。研磨剤粒子(A)の性質が容易に再現可能であり、本発明の方法で容易に条件が再現可能であるためには、この粒度分布が狭く、小型粒子と大型粒子がほんの少量であることが好ましい。この研磨剤粒子(A)はいろいろな形状をとることができる。この粒子は、単一形状、あるいは実質的に単一形状であってもよい。しかしこの研磨剤粒子(A)が異なる形状をとることもできる。特にある特定の本発明の組成物中に、二種の形状の異なる研磨剤粒子(A)が含まれていてもよい。形状に関しては、これらが、立方体であっても、角のとれた立方体、八面体、二十面体、節状、突起または窪みを有するあるいは有さない球状であってよい。この形状が、突起または窪みをまったくあるいはほとんど含まない球状であることが最も好ましい。この形状が原則として好ましいのは、これにより、CMPプロセス中で研磨剤粒子(A)が暴露される機械的な力への抵抗が増加するためである。
原則としていずれの種類の研磨剤粒子(A)も、上記のいろいろな性質を持っている限り、本発明の組成物中で使用することができる。したがってこの研磨剤粒子(A)は、有機粒子であっても無機粒子であってもよく、複合有機・無機粒子であってもよい。好ましくはこの研磨剤粒子(A)は無機粒子である。
原則としていずれの種類の無機研磨剤粒子(A)も、上記のいろいろな性質を持つ限り、本発明の組成物中で使用することができる。しかしながら、セリアを含む、あるいはセリアからなる無機研磨剤粒子(A)を使用することが最も好ましい。
このセリア含有研磨剤粒子(A)は、少量の他の希土類酸化物を含んでいてもよい。
このセリア含有研磨剤粒子(A)は、セリアとは異なる少なくとも一種の他の研磨剤粒子状の材料、特にアルミナかシリカチタニア、ジルコニア、酸化亜鉛、これらの混合物を含むか、これからなるコアを含む複合粒子(A)であることが好ましい。
このような複合粒子(A)は、例えば、WO2005/035688A1やUS6,110,396、US6,238,469B1、US6,645,265B1、K. S. Choi et al., Mat. Res. Soc. Symp. Proc. Vol. 671, 2001 Materials Research Society, M5.8.1 to M5.8.10, S.−H. Lee et al., J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 to 2749, A. Jindal et al., Journal of the Electrochemical Society, 150 (5) G314−G318 (2003), Z. Lu, Journal of Materials Research, Vol. 18, No. 10, October 2003, Materials Research Society, or S. Hedge et al., Electrochemical and Solid−State Letters, 7 (12) G316−G318 (2004)から既知である。
複合粒子(A)が、コアサイズが20〜100nmである、アルミナとシリカチタニア、ジルコニア、酸化亜鉛、及びこれらの混合物からなる群から選ばれるコアを含み、そのコアが粒度が10nm未満のセリア粒子で覆われているラズベリー型の被覆粒子であることが最も好ましい。
本発明の組成物中で用いられる研磨剤粒子(A)の量は広く変動でき、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。本発明の組成物は、好ましくは本発明の組成物総質量に対して0.005〜10質量%の研磨剤粒子(A)を含み、より好ましくは0.01〜8質量%、最も好ましくは0.01〜6質量%の研磨剤粒子(A)を含む。
本発明の組成物は、第二の必須の構成要素として少なくとも一種の、好ましくは一種のアニオン性リン酸塩分散剤(B)を含む。
好ましくは、このアニオン性リン酸塩分散剤(B)が、水溶性縮合リン酸誘導体からなる群から選ばれる。
水溶性縮合リン酸誘導体(B)の例には、塩類、特に一般式I:

[M (PO] (I);

のメタリン酸のアンモニウム塩やナトリウム塩、カリウム塩と、一般式IIとIII:

3n+1 (II)
3n+1 (III);

のポリリン酸塩(式中、Mはアンモニウム、ナトリウムまたはカリウムであり、指数nは
2〜10,000である)があげられる。式IとIIとIIIのポリリン酸塩に関して、指数nは好ましくは2〜2,000であり、より好ましくは2〜300、最も好ましくは2〜50、特に2〜15、例えば3〜8である。
特に好適な水溶性縮合リン酸塩(B)の例は、グレアム塩(NaPO40−50や、カルゴンTM(NaPO15−20、クロール塩(NaPO(ただし、n=約5000)、ヘキサメタリン酸のアンモニウム塩やナトリウム塩・カリウム塩が含まれる。
本発明の組成物中の上記水溶性アニオン性リン酸塩分散剤(B)の濃度は広く変動でき、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。このアニオン性リン酸塩分散剤(B)が、セリア/アニオン性リン酸塩分散剤(B)の質量比が10〜2000となる量で用いられることが好ましく、20〜1000となる量で用いられることがより好ましい。
第三の必須の構成要素として、本発明の組成物は、少なくとも一種の、好ましくは一種の多価アルコール成分(C)を含む。
この多価アルコール成分(C)は、
(c1)水溶性また水分散性の、好ましくは水溶性の脂肪族及び脂環式の、その分子に少なくとも4個のヒドロキシ基を有する、好ましくは少なくとも5個、最も好ましくは少なくとも6個のヒドロキシ基を有するモノマー状、ダイマー状、オリゴマー状のポリオールからなる群から選ばれる多価アルコールで、そのヒドロキシ基が水性媒体中で解離不能であるもの;
(c2)以下の二つの混合物:
(c21)水溶性および水分散性、好ましくは水溶性であり、その分子内に少なくとも2個のヒドロキシ基、好ましくは2個または3個のヒドロキシ基を持ち脂肪族お呼び脂環式ポリオールであって、該ヒドロキシ基が水性媒体中で解離不能であるものからなる群から選ばれる少なくとも一種の、好ましくは一種の価アルコール;と
(c22)線状及び分岐状のアルキレンオキシドのホモポリマーとコポリマー(c221)と線状及び分岐状の脂肪族および脂環式のポリ(N−ビニルアミド)のホモポリマーとコポリマー(c222)とからなる群から選ばれる少なくとも一種の、好ましくは一種の水溶性または水分散性、好ましくは水溶性ポリマー;及び(c3)(c1)と(c2)の混合物からなる群から選ばれる。
「解離不能」は、中性の水相中でのヒドロキシ基の、反応R−OH→R−O+Hの解酸定数が非常に小さいか、実用的な目的で実質的にゼロであることを意味する。
この多価アルコール(c1)が、ペンタエリスリトールとアルジトール、シクリトール、炭水化物、またグリセロールとトリメチロールプロパン、ペンタエリスリトール、アルジトール、シクリトールのダイマーやオリゴマーからなる群から選ばれることが好ましい。
このアルジトール(c1)が、テトリトールとペンチトール、ヘキシトール、ヘプチトール、オクチトールからなる群から選ばれることが好ましい。
より好ましくは、このテトリトール(c1)が、エリトリトールとトレイトール、及びこれらの混合物から選ばれ;ペンチトール(c1)が、アラビニトールとリビトール、キシリトール、及びこれらの混合物からなる群から選ばれ;ヘキシトール(c1)が、ガラクチトールとマンニトール、グルシトール、アリトール、アルトリトール、イジトール、及びこれらの混合物からなる群から選ばれる。
好ましくは、ダイマー(c1)が、グリセロールやトリメチロールプロパン、エリトリトール、トレイトール、ペンタエリスリトールのダイマー、及びこれらの混合物、さらにはマルチトール、イソマルト、ラクチトール、及びこれらの混合物からなる群から選ばれる。
より好ましくは、オリゴマー(c1)が、トリ−、テトラ−、ペンタ−、ヘキサ−、ヘプタ−、オクタ−、ノナ−、デカ−、ウンデカ−、ドデカグリセロール、−トリメチロールプロパン、−エリトリトール、−トレイトール、−ペンタエリスリトール、及びこれらの混合物からなる群から選ばれる。好ましくは、シクリトール(c1)が、1,2,3,4−テトラヒドロキシシクロヘキサン、1,2,3,4,5−ペンタヒドロキシシクロヘキサン、イノシトール、及びこれらの混合物から選ばれる。
より好ましくは、イノシトール(c1)が、myo−、scyllo−、muco−、chiro−、neo−、allo−、epi−、cis−イノシトール、及びこれらの混合物からなる群から選ばれる。myo−イノシトール(c1)の使用が最も好ましい。
好ましくは、炭水化物(c1)は、単糖と二糖、オリゴ糖、多糖、デソキシ糖、アミノ糖からなる群から選ばれ、特に単糖(c1)から選ばれる。
好ましくは、この単糖(c1)は、アロースとアルトロース、グルコース、マンノース、イドース、ガラクトース、タロースからなる群から選ばれ、特にガラクトースである。
本発明の組成物中の多価アルコール(c1)の濃度は大きく変動することがあり、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。本発明の組成物は、多価アルコール(c1)を、本発明の組成物総質量に対して好ましくは0.005〜5質量%の量で含み、より好ましくは0.01〜4質量%、最も好ましくは0.05〜3質量%の量で含む。
この水性媒体に解離不能な2〜3個のヒドロキシ基を持つ水溶性または水分散性の脂肪族または脂環式のポリオール(c21)は、エチレングリコールとプロピレングリコール、ジエチレングリコール、トリエチレングリコール、ジプロピレングリコール、トリプロピレングリコール、エチレンプロピレングリコール、ジエチレンプロピレングリコール、エチレンジプロピレングリコール、グリセロール、1,2,3−トリヒドロキシ−n−ブタン、トリメチロールプロパン、及びこれらの混合物からなる群から選ばれることが好ましく、エチレングリコールとグリセロール、及びこれらの混合物からなる群から選ばれることが最も好ましい。
本発明の組成物中のポリオール(c21)の濃度も大きく変動でき、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。このポリオール(c21)は、本発明の組成物総質量に対して好ましくは0.05〜5質量%の量で使用され、好ましくは0.1〜4質量%、最も好ましくは0.5〜3質量%の量で使用される。
好ましくは、このアルキレンオキシドホモポリマーまたはコポリマー(c221)は、線状及び分岐状のエチレンオキシドとプロピレンオキシドのホモポリマーとコポリマーからなる群から選ばれる。
このエチレンオキシド・プロピレンオキシドコポリマー(c221)は、ポリエチレンオキシドブロックとポリプロピレンオキシドブロックを含むランダムコポリマーであってもよいし、交互コポリマーまたはブロックコポリマーであってもよい。エチレンオキシド・プロピレンオキシドブロックコポリマー(c221)中のポリエチレンオキシドブロックの親水性/親油性バランス(HLB)値は、10〜15であることが好ましい。これらのポリプロピレンオキシドブロックのHLB値は28〜約32であることが好ましい。
アルキレンオキシドホモポリマー(c221)が、エチレンオキシドポリマーであることが、例えばポリエチレングリコール(PEG)であることが好ましい。
水溶性ポリマー(c221)の重量平均分子量は、好ましくは2000〜1,000,000ダルトンであり、より好ましくは5000〜500,000ダルトン、最も好ましくは、10,000〜250,000ダルトンである。
これらの水溶性ポリマー(c221)は、従来から使用されている既知の市販材料である。適当な水溶性ポリマー(c22)は、日本特許出願JP2001−240850Aの請求項2と段落[0007]〜[0014]と、米国特許出願US2007/0077865A1の欄頁1、段落[0008]〜頁2段落[0010]、米国特許出願US2006/0124594A1の頁3、段落[0036]と[0037]、米国特許出願US2008/0124913A1の頁3、段落[0031]〜[0033]と請求項14に記載されており、あるいはこれらは、BASF社の会社文書「プルオニック&テトロニック・ブロックコポリマー界面活性剤、1996」または米国特許US2006/0213780A1に示されるように、プルオニックTMやテトロニックTM、バセンソルTMなどの商標でBASF社から販売されている。
ポリマー(c221)としてポリエチレングリコール(PEG)を使用することが最も好ましい。
本発明の組成物は、上記多価アルコール(c1)に加えてポリマー(c221)のみを含んでいてもよい。
本発明の組成物中のポリマー(c221)の濃度も大きく変動でき、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。ポリマー(c22)は、本発明の組成物の総重量に対して好ましくは0.005〜5質量%の量で使用され、より好ましくは0.01〜4質量%、最も好ましくは0.05〜3質量%の量で使用される。
線状および分岐状の脂肪族および脂環式ポリ(N−ビニルアミド)のホモポリマーとコポリマー(c222)の成形ブロックである脂肪族および脂環式のN−ビニルアミドモノマーは、N−ビニルアセトアミド、N−ビニルピロリドン、N−ビニルバレロラクタム、N−ビニルカプロラクタム、N−ビニルスクシンイミド、及びこれらの混合物からなる群から選ばれることが好ましい。
ポリ(N−ビニルアミド)コポリマー(c222)は、N−ビニルアミド以外に、通常の既知のオレフィン性不飽和モノマーに由来するモノマー単位を、その量が水溶解性が低下しない程度の量であるなら含んでいてもよく、例えばビニルエステルとエーテルやアクリル酸エステルやメタクリル酸のエステル、アリリル酸エステルとアクリルエーテル、ハロゲン原子またはニトリル基で置換されていてもよいオレフィン、スチレン系モノマーを含んでいてもよい。
これらの水溶性ポリマー(c222)の重量平均分子量は、好ましくは2000〜1,000,000ダルトンであり、より好ましくは5000〜500,000ダルトン、最も好ましくは10,000〜250,000ダルトンである。
本発明の組成物は、多価アルコール(c1)と組み合わせてポリマー(c222)のみを含んでいてもよい。
また、本発明の組成物中のポリマー(c222)の濃度は大きく変動でき、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。ポリマー(c22)は、本発明の組成物総質量に対して、好ましくは0.005〜5質量%の量で使用され、より好ましくは0.01〜4質量%、最も好ましくは0.05〜3質量%の量で使用される。
本発明の組成物中では、多価アルコール(c1)の混合物(c2)に対する質量比も大きく変動することができ、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。質量比(c1):(c2)は100:1〜1:100の範囲であることが好ましい。
また本発明の組成物中で、多価アルコール(c21)のポリマー(c221)または(c222)に対する質量比も大きく変動でき、このため、本発明の組成物と方法の特定の要件応じて調整することが最も好ましい。質量比(c21):(c221)または(c222)は100:1〜1:100の範囲であることが好ましい。
本発明の組成物は、必要なら、構成要素(A)と(B)と(C)とは物質的に異なる少なくとも一種の機能性成分(D)を含んでいてもよい。
この機能性成分(D)は、従来からセリア系CMPスラリー中で用いられている化合物の群から選ばれることが好ましい。
このような化合物(D)の例としては、例えば、Y. N. Prasad et al. in Electrochemical and Solid−State Letters, 9 (12) G337−G339 (2006), Hyun−Goo Kang et al. in Journal of Material Research, volume 22, No. 3,2007, pages 777 to 787, S. Kim et al. in Journal ofColloid and Interface Science, 319 (2008), pages 48 to52, S. V. Babu etal. in Electrochemical and Solid−State Letters, 7 (12) G327−G330 (2004), Jae−Dong Lee et al. in Journal of the Electrochemical Society, 149 (8) G477−G481, 2002に開示されており、また米国特許US5,738,800とUS6,042,741、US6,132,637、US6,218,305B、US5,759,917、US6,689,692B1、US6,984,588B2、US6,299,659B1、US6,626,968B2、US6,436,835B1、US6,491,843B1、US6,544,892B2、US6,627,107B2、US6,616,514B1、US7,071,105B2、
米国特許出願US2002/0034875A1とUS2006/0144824A1、US2006/0207188A1、US2006/0216935A1、US2007/0077865A1、US2007/0175104A1、US2007/0191244A1 and US2007/0218811A1、また日本特許出願JP2005−336400Aに開示されている。
また、機能性成分(D)は、粒子(c1)とは異なる有機、無機、また複合有機・無機研磨剤粒子や、下限臨界溶解温度LCSTまたは上限臨界溶解温度UCSTをもつ材料、酸化剤、不動態化剤、電荷反転剤、錯化剤またはキレート剤、摩擦剤、安定化剤、レオロジー剤、界面活性剤、殺菌剤、金属カチオン、有機溶媒からなる群から選ばれる。
適当な有機研磨剤粒子(c1)とこれらの効果的な量は、例えば、米国特許出願US2008/0254628A1の頁4、段落[0054]から、あるいは国際出願WO2005/014753A1から既知であり、ここでは、メラミンに加えて、アセトグアナミンやベンゾグアナミン、ジシアンジアミドなどのメラミン誘導体とからなる固体粒子が開示されている。
適当な無機研磨剤粒子(D)とこれらの効果的な量は、例えば国際特許出願WO2005/014753A1の頁12、行1〜8、または米国特許US6,068,787の欄6、行41〜欄7、行65から既知である。
適当な複合有機・無機研磨剤粒子(D)とこれらの効果的な量は、例えば米国特許出願US2008/0254628A1の頁4、段落[0054]、またはUS2009/0013609A1の頁3、段落[0047]〜頁6、段落[0087]から既知である。
適当な酸化剤(D)とこれらの効果的な量は、例えば欧州特許出願EP1036836A1の頁8、段落[0074]〜[0075]から、または米国特許US6,068,787の欄4、行40〜欄7、行45またはUS7,300,601B2の欄4、行18〜34から既知である。有機過酸化物および無機過酸化物が好ましく用いられ、無機過酸化物がより好ましく用いられる。特に過酸化水素が用いられる。
適当な不動態化剤(D)とこれらの効果的量は、例えば米国特許US7,300,601B2の欄3、行59〜欄4、行9から、あるいは米国特許出願US2008/0254628A1の頁4と5の段落[0058]から既知である。
適当な錯化剤またはキレート剤(D)(時には摩擦剤ともよばれる(米国特許出願US2008/0254628A1の頁5、段落[0061]参照))またはエッチング剤またはエッチング液(米国特許出願US2008/0254628A1の頁4、文節[0054]参照)とこれらの効果的な量は、例えば米国特許US7,300,601B2の欄4、行35〜48から既知である。アミノ酸、特にグリシンと、さらにジシアンジアミドと少なくとも一個、好ましくは二個、より好ましくは三個の一級アミノ基を持つトリアジン(例えば、メラミンや水溶性のグアナミン、特にメラミンやホルモグアナミン、アセトグアナミン、2,4−ジアミノ−6−エチル−1,3,5−トリアジン)が最も好ましく用いられる。
適当な安定化剤(D)とこれらの効果的な量は、例えば米国特許US6,068,787の欄8、行4〜56から既知である。
適当なレオロジー剤(D)とこれらの効果的な量は、例えば米国特許出願US2008/0254628A1の頁5、段落[0065]〜頁6、段落[0069]から既知である。
適当な界面活性剤(D)とこれらの効果的量は、例えば国際特許出願WO2005/014753A1の頁8、行23〜頁10、行17から、あるいは米国特許US7,300,601B2の欄5、行4〜欄6、行8から既知である。
適当な多価金属イオン(D)とこれらの効果的な量は、例えば欧州特許出願EP1036836A1の頁8、段落[0076]〜頁9、段落[0078]から既知である。
適当な有機溶媒(D)とこれらの効果的な量は、例えば米国特許US7,361,603B2の欄7、行32〜48、あるいは米国特許出願US2008/0254628A1の頁5、段落[0059]から既知である。
下限臨界溶解温度LCSTまたは上限臨界溶解温度UCSTを示す適当な材料(D)は、例えば、H. Mori, H. Iwaya, A. Nagai and T. Endo, Chemical Communication, 2005, 4872−4874「RAFT重合によるL−プロリン由来の熱応答性ポリマーの制御された合成」; あるいはD. Schmaljohann, Advanced Drug Delivery Reviews, volume 58 (2006), 1655−1670「熱応答性及びpH応答性ポリマーと薬物輸送」に記載されており、また、米国特許出願US2002/0198328A1とUS2004/0209095A1、US2004/0217009A1、US2006/0141254A1、US2007/0029198A1、US2007/0289875A1、US2008/0249210A1、US2008/0050435A1またはUS2009/0013609A1、米国特許US5,057,560とUS5,788,82、US6.682.642B2、国際特許出願WO01/60926A1とWO2004/029160A1、WO2004/0521946A1、WO2006/093242A2、WO2007/012763A1、欧州特許出願EP0583814A1とEP1197587B1、EP1942179A1、あるいはドイツ特許出願DE2610705に記載されている。
原則として、CMPの分野で従来から用いられている公知の何れの電荷反転剤(D)も使用可能である。この電荷反転剤(D)は、カルボキシレートとスルホネート、スルフェート、ホスホネート基からなる群から選ばれる少なくとも一種のアニオン性基を有するモノマー状・オリゴマー状・高分子状化合物からなる群から選ばれることが好ましい。
適当な殺菌剤(D)は、水溶性または水分散性のN−置換ジアゼニウムダイオキシド塩とN’−ヒドロキシ−ジアゼニウムオキシド塩からなる群から選ぶことができる。
存在するなら、この機能性成分(D)は、いろいろの量で含まれる。(D)の総量は、相当するCMP組成物の総質量に対して好ましくは10質量%(「質量%」は「質量当りのパーセント」を意味する)以下であり、より好ましくは2質量%以下、最も好ましくは0.5質量%以下、特に0.1質量%以下、例えば0.01質量%以下である。好ましくは、(D)の総量は、相当する組成物の総質量に対して少なくとも0.0001質量%であり、より好ましくは少なくとも0.001質量%、最も好ましくは少なくとも0.008質量%、特に少なくとも0.05質量%、例えば少なくとも0.3質量%である。
本発明の組成物は、必要なら構成要素(A)と(B)と(C)とは物質的に異なる少なくとも一種のpH調整剤または緩衝剤(E)を含むことができる。
適当なpH調整剤または緩衝剤(E)とこれらの効果的な量は、例えば、欧州特許出願EP1036836A1の頁8、段落[0080]と[0085]と[0086]から、国際特許出願WO2005/014753A1の頁12、行19〜24、米国特許出願US2008/0254628A1の頁6、段落[0073]または米国特許US7,300,601B2の欄5、行33〜63から既知である。H調整剤または緩衝剤(E)の例は、水酸化カリウムとアンモニウムヒドロキシド、テトラメテルアンモニウムヒドロキシド(TMAH)、硝酸、硫酸である。
存在する場合、このH調整剤または緩衝剤(E)はいろいろな量で含まれる。(E)の総量は、相当するCMP組成物の総質量に対して、好ましくは20質量%以下であり、より好ましくは7質量%以下、最も好ましくは2質量%以下、特に0.5質量%以下、例えば0.1質量%以下である。(E)の総量は、相当するP組成物の総質量に対して、好ましくは少なくとも0.001質量%であり、より好ましくは少なくとも0.01質量%、最も好ましくは少なくとも0.05質量%、特に少なくとも0.1質量%、例えば少なくとも0.5質量%である。
本発明の組成物の製造は特殊なものではなく、上記構成要素(A)と(B)と(C)、また必要なら(D)及び/又は(E)を水性媒体中に、特に脱イオン水中に溶解または分散させて行われる。このために、従来から用いられている標準的な混合方法や、攪拌器やインライン溶解機、高せん断インペラー、超音波ミキサー、ホモジナイサーノズルまたは向流ミキサーなどの混合装置を使用できる。このようにして得られる本発明の組成物を適当な開口のフィルターを通して濾過して、固い微分散研磨剤粒子(A)の凝集物または会合物などの粗大粒子を除くことが好ましい。
本発明の組成物は、本発明の方法に極めて好適である。
本発明の方法では、電気機器や機械機器・光学機器用の、特に電気装置用、最も好ましくは集積回路装置用の基板を少なくとも一度本発明の組成物と接触させ、所望の平面性が得られるまで研磨、特に化学的機械的に研磨する。
本発明の方法は、低誘電率のまたは超低誘電率の酸化ケイ素材料とからなる分離層と、ストップ層またはバリアー層としての窒化ケイ素層とをもつケイ素半導体ウエハーのCMPにおいて、その特定の長所を示す。
適当な低誘電率または超低誘電率材料と適度な絶縁性の誘電体層の製造方法が、例えば、米国特許出願US2005/0176259A1の頁2、段落[0025]〜[0027]に、US2005/0014667A1の頁1、段落[0003]、US2005/0266683A1の頁1、段落[0003]と頁2、段落[0024]、またはUS2008/0280452A1の段落[0024]〜[0026]、米国特許US7,250,391B2の欄1、行49〜54、欧州特許出願EP1306415A2の頁4、段落[0031]に記載されている。
本発明の方法は、回路パターンが形成されたウエハー基板上で、窒化ケイ素より二酸化ケイ素を選択的に除去することが必要であるシャロートレンチアイソレーション(STI)に特に適している。この方法では、エッチングされたトレンチが誘電体材料、例えば二酸化ケイ素で過充填され、窒化ケイ素バリアフィルムをストップ層としてこれを研磨する。この好ましい実施様態においては、本発明の方法は、バリアフィルムから二酸化ケイ素を除き、露出される窒化ケイ素とレンチ酸化ケイ素の除去を最小限に抑えて終了する。
したがって本発明の方法では、50より大きな酸化物/窒化物選択性を示し、好ましくは75を越える、最も好ましくは100を越える酸化物/窒化物選択性を示す。
本発明の方法は特殊なものではなく、半導体ウエハーにICを形成する際のCMPで従来から用いられている方法や装置を用いて実施できる。
当分野では既知のように、代表なCMP装置は、回転プラテンとこれを覆う研磨パッドとからなる。ウエハーは、支持体またはチャック上に、上面を下向きに研磨パッドに向けて取り付けられる。支持体がこのウエハーを水平の姿勢に保持する。この特定の研磨・保持装置の配列は、「ハード・プラテン」デザインとしても知られている。この支持体が、支持体の保持表面とウエハーの非研磨表面間に位置する支持体パッドを保持してもよい。このパッドは、ウエハーのクッションとして働くことができる。
この支持体の下で、より大きな直径をもつプラテンも一般的には水平に位置しており、研磨ウエハーの表面に平行な表面を提供する。平坦化プロセスの間に、研磨パッドはウエハー表面と接触する。本発明のCMP方法の間に、連続流としてあるいは滴下により本発明の組成物が研磨パッド上に塗布される。
支持体とプラテンの両方が、支持体とプラテンから垂直に延びるそれぞれのシャフトの回りを回転している。この回転する支持体シャフトは、回転するプラテンに対して位置的に固定されていてもよいし、プラテンに対して水平に往復移動してもよい。支持体の回転方向は、通常(必ずしも必須ではないが)、プラテンの回転方向と同じである。支持体とプラテンの回転速度は、一般的には(必ずしも必須ではないが)、異なる値に設定される。
通常プラテンの温度は、10〜70℃の温度に設定される。
さらに詳細には、国際特許出願WO2004/063301A1の、特に頁16、段落[0036]〜頁18、段落[0040]と図1を参照されたい。
本発明の方法により、優れた平面性を持つ、回路パターンが形成された低誘電率および超低誘電率材料層を、特に二酸化ケイ素層を含むICを持つ半導体ウエハーが得られる。このため優れた平面性をもつ銅ダマシンパターンが得られ、最終的には優れた電気的機能をもつICが得られる。
実施例と比較試験
比較試験C1〜C6
比較用の水性研磨組成物C1〜C6の製造
比較用の水性研磨組成物C1〜C6を製造するために、セリア(ダイナミックレーザー光散乱による平均粒度d50:120〜140nm)、ヘキサメタリン酸ナトリウム(PP;セリア/PP質量比=200、以降、PP200と称し;セリア/質量比=300、以降PP300と称す)とイノシトールを超純水中に分散または溶解した。これらの使用量を表1に示す。
Figure 0005965907
実施例1〜11
水性研磨組成物1〜11の製造
水性研磨組成物1〜11を製造するために、セリア(ダイナミックレーザー光散乱による平均粒度d50:120〜140nm)と、ヘキサメタリン酸ナトリウム(PP;セリア/PP質量比=200、以降、PP200を称し;セリア/PP質量比=300、以降PP300と称す)とイノシトールを超純水中に分散または溶解した。これらの使用量を表2に示す。
Figure 0005965907
実施例1〜11の水性研磨組成物1〜11は、電気機器や機械機器・光学機器用の基板の化学的機械研磨に極めて好適であった。
実施例12〜22と比較例C7〜C12
二酸化ケイ素被覆ブランケットウエハーと窒化ケイ素被覆ブランケットウエハーのCMPと酸化物/窒化物選択性
表3に、実施例12〜22と比較試験C7〜C12にどの水性研磨組成物を使用したかを示す。
Figure 0005965907
HDP:高密度プラズマ二酸化シリコン;
TEOS:テトラエチルオルソシリケートCVD型酸化物
以降のCMPでは、以下のプロセス変数を用いた。
実施例12と比較試験C7〜C10:
−研磨装置:ストラスバー6EGnHance型(回転型):
−プラテン速度:93rpm;
−支持体速度:87rpm;
−ローム・アンド・ハース社製IC1000/Suba400K溝研磨パッド;
−S603Mダイヤモンドコンディショナを用いる系内コンディショニング;
−スラリー流速:200ml/分;
−基板:モントコ・シリコン社製二酸化ケイ素ブランケットウエハー(表3参照)と500nmのCVD窒化ケイ素ブランケットウエハー;
−ダウン力:2.7psi(185.143mbar);C9:1.5psi(102.86mbar);
−研磨時間:1分
実施例13〜22と比較試験C11〜C12:
−研磨装置: AMATミラ(回転型):
−プラテン速度:100rpm;
−支持体速度:90rpm;
−ローム・アンド・ハース社製IC1000/Suba400K溝研磨パッド;
−S603Mダイヤモンドコンディショナを用いる系内コンディショニング;
−スラリー流速:200ml/分;
−基板:二酸化ケイ素被覆ブランケットウエハー(表3参照)と500nm窒化ケイ素被覆ブランケットウエハー;
−ダウン力:2psi(137.143mbar);
−研磨時間:1分
材料除去率(MRR)はレーザー干渉分光法(フィルムテックTM2000)で測定した。表4に得られたMRRの概要を示す。
Figure 0005965907
表中に示した結果から、セリア、セリアとPP、またセリアとイノシトールのみを含む水性研磨組成物では、高い酸化物/窒化物選択性が得られないことが分る。これとは反対に、セリアとPPとイノシトールを含む研磨組成物では、50を越える高い酸化物/窒化物選択性も容易に達成可能である。研磨組成物のpHを9に増加させると酸化物/窒化物選択性をさらに改善することができた。
セリアとヘキサメタリン酸ナトリウムPP、グリセロール、ポリエチレングリコールPEG及び/又はポリビニルピロリドンPVPを含む水性研磨組成物ででも、このような高い酸化物/窒化物選択性を達成できる。
実施例23〜26
水性研磨組成物12〜15の製造
水性研磨組成物12〜15の製造のために、セリア(ダイナミックレーザー光散乱による平均粒度d50:120〜140nm)とヘキサメタリン酸ナトリウム(PP;セリア/PP質量比=250、以降PP250と表記する)、イノシトール、ガラクトース、プロテクトールKD(N’−ヒドロキシ−ジアゼニウム酸化物塩;BASF社製殺生剤)を超純水中に分散または溶解させた。これらの使用量を表2に示す。
Figure 0005965907
実施例23〜26の水性研磨組成物12〜15は、電気機器や機械機器・光学機器用の基板の化学的機械研磨に極めて好適であった。
実施例27〜30
二酸化ケイ素被覆ブランケットウエハーと窒化ケイ素被覆ブランケットウエハーのCMPと酸化物/窒化物選択性
CMPは実施例13〜22に記載のようにして実施した。ただしプラテン速度は63rpmとし、支持体速度は60rpmとした。得られたMRRを表6に示す。
Figure 0005965907
表6の結果より、シクリトール(実施例25参照)または単糖(実施例27参照)の使用で達成されたすでに高い酸化物/窒化物選択性を、シクリトールと単糖の併用により(実施例28と30参照)さらに増加できることがわかる。

Claims (13)

  1. pHが3〜11の範囲にある水性研磨組成物であって、
    (A)成分(B)を含まず且つpHが3〜9の範囲にある水性媒体中に分散させた場合、電気泳動移動度で測定すると正に荷電する少なくとも一種の研磨剤粒子、
    (B)少なくとも一種のアニオン性リン酸塩分散剤、及び
    (C)以下の(c1)〜(c3)からなる群から選ばれる少なくとも一種の多価アルコール成分:
    (c1)水性媒体で解離不能な少なくとも4個のヒドロキシ基をもつ、水溶性および水分散性の脂肪族および脂環式モノマー・ダイマー・オリゴマー状のポリオールからなる群から選ばれる多価アルコール;
    (c2)以下の(c21)及び(c22)の混合物:
    (c21)水性媒体で解離不能な少なくとも2個のヒドロキシ基をもつ水溶性および水分散性の脂肪族および脂環式ポリオールからなる群から選ばれる少なくとも一種の多価アルコール;および
    (c22)線状および分岐状のアルキレンオキシドホモポリマーとコポリマー(c221)と線状および分岐状の脂肪族および脂環式のポリ(N−ビニルアミド)のホモポリマーとコポリマー(c222)からなる群から選ばれる少なくとも一種の水溶性または水分散性のポリマー;および
    (c3)(c1)と(c2)の混合物、
    を含み
    上記の水性媒体で解離不能な少なくとも4個のヒドロキシ基をもつ水溶性および水分散性で脂肪族および脂環式モノマー・ダイマー・オリゴマー状のポリオール(c1)が、エリトリトール、ペンタエリスリトール、アルジトール、シクリトール、炭水化物、及びグリセロール、エリスリトール、ペンタエリスリトール、アルジトール及びシクリトールのダイマーとオリゴマーからなる群から選ばれ、
    上記炭水化物(c1)が単糖、二糖、オリゴ糖、多糖、デソキシ糖及びアミノ糖からなる群から選ばれ、
    上記単糖(c1)がアロース、アルトロース、グルコース、マンノース、イドース、ガラクトース及びタロースからなる群から選ばれ、
    前記多価アルコール(c1)が当該組成物の総質量に対して0.005〜5質量%の量で含まれていることを特徴とする組成物。
  2. 上記研磨剤粒子(A)がセリアを含む又はセリアからなる無機粒子である請求項1に記載の水性研磨組成物。
  3. 前記ポリオール(c21)が当該組成物の総質量に対して0.05〜5質量%の量で使用され、そして
    前記ポリマー(c22)が当該組成物の総質量に対して0.005〜5質量%の量で使用される請求項1または2に記載の水性研磨組成物。
  4. 上記アニオン性リン酸塩分散剤(B)が、水溶性縮合リン酸塩の群から選ばれる請求項1〜3のいずれか一項に記載の水性研磨組成物。
  5. 上記水溶性縮合リン酸塩(B)が、一般式I:

    [M (PO] (I);

    のメタリン酸塩と、一般式IIとIII:

    3n+1 (II);
    3n+1 (III);

    のポリリン酸塩(式中、Mはアンモニウム、ナトリウム又はカリウムであり、指数nは2〜10,000である)からなる群から選ばれる請求項4に記載の水性研磨組成物。
  6. 上記アルジトール(c1)がテトリトール、ペンチトール、ヘキシトール、ヘプチトール及びオクチトールからなる群から選ばれ;上記シクリトール(c1)がイノシトールから選ばれる請求項1〜5のいずれか一項に記載の水性研磨組成物。
  7. 上記の水性媒体で解離不能な2〜3個のヒドロキシ基をもつ水溶性または水分散性の脂肪族または脂環式ポリオール(c21)が、エチレングリコール、プロピレングリコール、ジエチレングリコール、トリエチレングリコール、ジプロピレングリコール、トリプロピレングリコール、エチレンプロピレングリコール、ジエチレンプロピレングリコール、エチレンジプロピレングリコール、グリセロール、1,2,3−トリヒドロキシ−n−ブタン、トリメチロールプロパン、及びこれらの混合物からなる群から選ばれ;上記水溶性または水分散性の直鎖又は分岐鎖のアルキレンオキシドホモポリマーまたはコポリマー(c221)が、エチレンオキシド及びプロピレンオキシドのホモポリマーとコポリマーからなる群から選ばれ;また、上記直鎖又は分岐鎖の脂肪族または脂環式ポリ(N−ビニルアミド)ホモポリマーまたはコポリマー(c222)が、N−ビニルアセトアミド、N−ビニルピロリドン、N−ビニルバレロラクタム、N−ビニルカプロラクタム、N−ビニルスクシンイミド、及びこれらの混合物からなる群から選ばれる脂肪族および脂環式のN−ビニルアミドモノマーのホモポリマーとコポリマーからなる群から選ばれる請求項1〜6のいずれか一項に記載の水性研磨組成物。
  8. 成分(A)、(B)及び(C)とは異なる少なくとも一種のpH調整剤または緩衝剤(E)を含む請求項1〜7のいずれか一項に記載の水性研磨組成物。
  9. 成分(A)、(B)及び(C)とは異なる少なくとも一種の機能性成分(D)を含み、該機能性成分(D)が、粒子(A)とは異なる有機、無機、複合有機・無機研磨剤粒子、下限臨界溶解温度LCSTまたは上限臨界溶解温度UCSTを持つ材料、酸化剤、不動態化剤、電荷反転剤、錯化剤またはキレート剤、摩擦剤、安定化剤、レオロジー剤、界面活性剤、殺菌剤、金属カチオン、及び有機溶媒からなる群から選ばれる請求項1〜8のいずれか一項に記載の水性研磨組成物。
  10. 上記殺菌剤(D1)が、水溶性または水分散性のN−置換されたジアゼニウムジオキシド及びN’−ヒドロキシ−ジアゼニウムオキシド塩からなる群から選ばれる請求項9に記載の水性研磨組成物。
  11. 基板を少なくとも一度水性研磨組成物と接触させ、所望の平面性が得られるまで該基板を研磨することからなる電気機器、機械機器及び光学機器用の基板の研磨方法であって、請求項1〜10のいずれか一項に記載の水性研磨組成物を使用する方法。
  12. 上記基板が、少なくとも一種の誘電体酸化ケイ素材料を含むかこれからなる層を少なくとも一層と、窒化ケイ素からなる層を少なくとも一層もつ請求項11に記載の方法。
  13. 請求項1〜10のいずれか一項に記載の水性研磨組成物を、電気機器、機械機器及び光学機器の製造のために使用する方法。
JP2013527717A 2010-09-08 2011-09-06 電気機器や機械機器・光学機器用の基板の化学機械研磨用の水性研磨組成物と方法 Expired - Fee Related JP5965907B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072110P 2010-09-08 2010-09-08
US61/380,721 2010-09-08
PCT/IB2011/053884 WO2012032461A1 (en) 2010-09-08 2011-09-06 Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices

Publications (3)

Publication Number Publication Date
JP2013541609A JP2013541609A (ja) 2013-11-14
JP2013541609A5 JP2013541609A5 (ja) 2014-10-23
JP5965907B2 true JP5965907B2 (ja) 2016-08-10

Family

ID=45810173

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013527717A Expired - Fee Related JP5965907B2 (ja) 2010-09-08 2011-09-06 電気機器や機械機器・光学機器用の基板の化学機械研磨用の水性研磨組成物と方法

Country Status (11)

Country Link
US (1) US20130200038A1 (ja)
EP (1) EP2614121B1 (ja)
JP (1) JP5965907B2 (ja)
KR (1) KR101908280B1 (ja)
CN (1) CN103097476B (ja)
IL (1) IL224615B (ja)
MY (1) MY170196A (ja)
RU (1) RU2607214C2 (ja)
SG (2) SG188206A1 (ja)
TW (1) TWI538971B (ja)
WO (1) WO2012032461A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2608890C2 (ru) * 2010-09-08 2017-01-26 Басф Се Водные полирующие композиции, содержащие n-замещенные диазений диоксиды и/или соли n -замещенных n'-гидрокси-диазений оксидов
EP2625236B1 (en) 2010-10-07 2017-12-13 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
EP2753670B1 (en) 2011-09-07 2016-06-22 Basf Se A chemical mechanical polishing (cmp) composition comprising a glycoside
JP6035346B2 (ja) * 2011-12-21 2016-11-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 半導体装置の製造方法及びcmp組成物の使用方法
JP2016178099A (ja) * 2013-08-09 2016-10-06 コニカミノルタ株式会社 Cmp用研磨液
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
KR20160114709A (ko) * 2014-01-31 2016-10-05 바스프 에스이 폴리(아미노산)을 포함하는 화학 기계적 연마(cmp) 조성물
TWI652336B (zh) * 2014-05-08 2019-03-01 日商花王股份有限公司 Sapphire plate slurry composition
KR20170039221A (ko) * 2014-08-01 2017-04-10 쓰리엠 이노베이티브 프로퍼티즈 컴파니 폴리싱 용액 및 그의 사용 방법
US9551075B2 (en) 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
JP6393231B2 (ja) * 2015-05-08 2018-09-19 信越化学工業株式会社 合成石英ガラス基板用研磨剤及び合成石英ガラス基板の研磨方法
JP2017013183A (ja) * 2015-07-01 2017-01-19 不二越機械工業株式会社 研磨装置
WO2017081835A1 (ja) * 2015-11-10 2017-05-18 信越化学工業株式会社 合成石英ガラス基板用研磨剤及びその製造方法、並びに合成石英ガラス基板の研磨方法
KR102574842B1 (ko) * 2015-12-17 2023-09-06 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용한 연마 방법
KR102600276B1 (ko) * 2016-03-01 2023-11-08 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 화학적 기계적 연마 방법
JP6957265B2 (ja) * 2016-09-29 2021-11-02 花王株式会社 研磨液組成物
JP2019050307A (ja) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド 研磨方法、ならびに研磨用組成物およびその製造方法
US10428241B2 (en) 2017-10-05 2019-10-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions containing charged abrasive
JP7045171B2 (ja) 2017-11-28 2022-03-31 花王株式会社 研磨液組成物
KR102442600B1 (ko) * 2018-11-09 2022-09-14 주식회사 케이씨텍 연마용 슬러리 조성물
US20200002607A1 (en) * 2018-06-29 2020-01-02 Versum Materials Us, Llc Low Oxide Trench Dishing Chemical Mechanical Polishing
US11072726B2 (en) * 2018-06-29 2021-07-27 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11078417B2 (en) 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
CN108587478B (zh) * 2018-07-03 2020-09-25 中国人民解放军国防科技大学 一种改性纳米二氧化硅复合抛光液及其应用
US11718767B2 (en) * 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof
CN109536038A (zh) * 2018-11-30 2019-03-29 东莞市晶博光电有限公司 一种抛光液及采用该抛光液的抛光方法
US11326076B2 (en) 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
CN113004802B (zh) * 2019-12-20 2024-04-12 安集微电子(上海)有限公司 一种化学机械抛光液
CN114621683A (zh) * 2020-12-11 2022-06-14 安集微电子(上海)有限公司 一种化学机械抛光液及其使用方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU490811A1 (ru) * 1973-07-06 1975-11-05 Харьковский Институт Радиоэлектроники Паста дл доводки и полировки деталей
JP2000109816A (ja) * 1998-10-05 2000-04-18 Okamoto Machine Tool Works Ltd 研磨剤スラリ−の調製方法
US6206756B1 (en) * 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6110832A (en) * 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6471735B1 (en) * 1999-08-17 2002-10-29 Air Liquide America Corporation Compositions for use in a chemical-mechanical planarization process
US6429133B1 (en) * 1999-08-31 2002-08-06 Micron Technology, Inc. Composition compatible with aluminum planarization and methods therefore
US6540935B2 (en) * 2001-04-05 2003-04-01 Samsung Electronics Co., Ltd. Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
KR100464429B1 (ko) * 2002-08-16 2005-01-03 삼성전자주식회사 화학 기계적 폴리싱 슬러리 및 이를 사용한 화학 기계적폴리싱 방법
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
GB0222843D0 (en) * 2002-10-02 2002-11-06 Basf Ag Microbicidal compositions and their use
WO2004037943A1 (en) * 2002-10-25 2004-05-06 Showa Denko K.K. Polishing slurry and polished substrate
CN1213118C (zh) * 2002-12-13 2005-08-03 清华大学 一种用于存储器硬盘的磁盘基片抛光浆料
JP4202172B2 (ja) * 2003-03-31 2008-12-24 株式会社フジミインコーポレーテッド 研磨用組成物
TWI415926B (zh) * 2003-07-11 2013-11-21 Grace W R & Co 化學機械研磨用磨粒
KR100637772B1 (ko) * 2004-06-25 2006-10-23 제일모직주식회사 반도체 sti 공정용 고선택비 cmp 슬러리 조성물
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
DE102005032427A1 (de) * 2005-07-12 2007-01-18 Degussa Ag Aluminiumoxid-Dispersion
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
CN101291778B (zh) * 2005-10-19 2012-06-20 日立化成工业株式会社 氧化铈浆料、氧化铈抛光浆料以及使用其抛光衬底的方法
DE102006061891A1 (de) * 2006-12-28 2008-07-03 Basf Se Zusammensetzung zum Polieren von Oberflächen aus Siliziumdioxid
KR101431299B1 (ko) * 2007-03-26 2014-08-20 제이에스알 가부시끼가이샤 화학 기계 연마용 수계 분산체, 및 반도체 장치의 화학 기계 연마 방법
CN101033374A (zh) * 2007-04-13 2007-09-12 中国地质大学(武汉) 一种高纯度纳米金刚石抛光液及其制备方法
WO2009110729A1 (en) * 2008-03-06 2009-09-11 Lg Chem, Ltd. Cmp slurry and a polishing method using the same
KR101256551B1 (ko) * 2008-03-06 2013-04-19 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 연마 방법
JP2011142284A (ja) * 2009-12-10 2011-07-21 Hitachi Chem Co Ltd Cmp研磨液、基板の研磨方法及び電子部品
US20110244184A1 (en) * 2010-04-01 2011-10-06 Solarworld Industries America, Inc. Alkaline etching solution for texturing a silicon wafer surface

Also Published As

Publication number Publication date
WO2012032461A1 (en) 2012-03-15
US20130200038A1 (en) 2013-08-08
RU2013115239A (ru) 2014-10-20
CN103097476B (zh) 2016-02-17
TWI538971B (zh) 2016-06-21
SG188206A1 (en) 2013-04-30
SG10201506169XA (en) 2015-09-29
KR101908280B1 (ko) 2018-10-16
IL224615B (en) 2018-11-29
EP2614121B1 (en) 2019-03-06
MY170196A (en) 2019-07-09
JP2013541609A (ja) 2013-11-14
RU2607214C2 (ru) 2017-01-10
EP2614121A4 (en) 2016-03-09
CN103097476A (zh) 2013-05-08
KR20130133174A (ko) 2013-12-06
EP2614121A1 (en) 2013-07-17
TW201213469A (en) 2012-04-01

Similar Documents

Publication Publication Date Title
JP5965907B2 (ja) 電気機器や機械機器・光学機器用の基板の化学機械研磨用の水性研磨組成物と方法
JP6096670B2 (ja) 酸化ケイ素誘電体膜およびポリシリコン膜を含有する基板を化学的機械的に研磨するための水性研磨組成物および方法
KR101907863B1 (ko) 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법
JP5965906B2 (ja) 水性研磨組成物、及び酸化ケイ素誘電体膜とポリシリコン膜を含む基板の化学機械的な研磨方法
KR101967134B1 (ko) N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물
JP2014529673A (ja) グリコシドを含む化学機械研磨(cmp)組成物
US9070632B2 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
US9496146B2 (en) Method for forming through-base wafer vias
TWI583755B (zh) 用於將含氧化矽介電質及多晶矽薄膜之基板化學機械拋光的水性拋光組成物及方法
TWI565770B (zh) 水性研磨組成物及用來化學機械研磨具有經圖案化或未經圖案化低k介電層之基板之方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140903

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160322

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160520

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160614

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160704

R150 Certificate of patent or registration of utility model

Ref document number: 5965907

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees