KR101907863B1 - 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법 - Google Patents

수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법 Download PDF

Info

Publication number
KR101907863B1
KR101907863B1 KR1020137009004A KR20137009004A KR101907863B1 KR 101907863 B1 KR101907863 B1 KR 101907863B1 KR 1020137009004 A KR1020137009004 A KR 1020137009004A KR 20137009004 A KR20137009004 A KR 20137009004A KR 101907863 B1 KR101907863 B1 KR 101907863B1
Authority
KR
South Korea
Prior art keywords
acid
group
oxide
aqueous
delete delete
Prior art date
Application number
KR1020137009004A
Other languages
English (en)
Other versions
KR20130133177A (ko
Inventor
유주오 리
제-주 추
시얌 순다르 벤카타라만
이브라힘 쉐익 안사르 우스만
하비 웨인 핀더
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20130133177A publication Critical patent/KR20130133177A/ko
Application granted granted Critical
Publication of KR101907863B1 publication Critical patent/KR101907863B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • C09G1/14Other polishing compositions based on non-waxy substances
    • C09G1/18Other polishing compositions based on non-waxy substances on other substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

하기를 포함하는 수성 폴리싱 조성물:
(A) 전기영동 이동에 의해 증명된 바 3 내지 9 범위의 pH 를 가진 수성 매질에서 분산시 양전하를 띠는 연마제 입자;
(B) 하기로부터 선택되는 수용성 및 수분산성 히드록시기 함유 성분:
(b1) 지방족 및 지환족 히드록시카르복실산으로서, 이때 히드록시기 대 카르복실산기의 몰비는 1 이상임;
(b2) 1 개 이상의 히드록시기를 갖는 히드록시카르복실산 (b1) 의 에스테르 및 락톤; 및
(b3) 그 혼합물; 및
(C) 하기로부터 선택된 하나 이상의 수용성 및 수분산성 폴리머 성분:
(c1) 선형 및 분지형 알킬렌 옥시드 폴리머;
(c2) 선형 및 분지형, 지방족 및 지환족 폴리(N-비닐아미드) 폴리머; 및
(c3) 100,000 달톤 미만의 중량 평균 분자량을 가진 양이온성 폴리머 응집제; 및 전기적, 기계적 및 광학적 장치를 위한 상기 기판 재료의 폴리싱 방법.

Description

수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법 {AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATE MATERIALS FOR ELECTRICAL, MECHANICAL AND OPTICAL DEVICES}
본 발명은 전기적, 기계적 및 광학적 장치용 기판 재료를 폴리싱하기 특히 적합한 신규한 수성 폴리싱 조성물에 관한 것이다.
나아가, 본 발명은 전기적, 기계적 및 광학적 장치 제조용 기판 재료를 폴리싱하는 신규한 방법에 관한 것이다.
마지막으로, 그러나 역시 중요한 것으로서, 본 발명은 전기적, 기계적 및 광학적 장치 제조를 위한, 신규한 수성 폴리싱 조성물의 신규한 용도에 관한 것이다.
인용 문헌
본 특허출원에서 인용된 문헌들은 그 전문이 참조로서 인용된다.
화학적 기계적 평탄화 또는 폴리싱 (Chemical Mechanical Planarization, CMP) 은 집적회로 (IC) 장치의 국부적 및 전면적 평탄도를 달성하기 위한 주요한 공정이다. 상기 기술은 통상적으로 연마제 및 활성 화학 물질로서 기타 첨가제를 함유하는, CMP 조성물 또는 슬러리를, 적용된 하중 하에서, 회전하는 기판 표면과 폴리싱 패드 사이에 적용한다. 따라서, 상기 CMP 공정은 연마와 같은 물리적 공정과 산화 또는 킬레이트화와 같은 화학적 공정이 결합되어 있다. 순수하게 물리적 또는 순수하게 화학적 작용으로 구성되는 기판 재료의 제거 또는 폴리싱은 바람직하지 않고, 신속하고 균일한 제거를 달성하기 위해서는 두 가지의 상승적인 조합이 바람직하다.
상기 방식으로, 목적하는 평탄도가 달성되거나, 장벽 내부층 또는 정지층 (stopping layer) 이 노출될 때까지 상기 기판 재료가 제거된다. 궁극적으로는, 뒤이은 포토리소그래피 (photolithography), 패턴화, 에칭 및 박막 가공에 의해 적절한 다층 IC 장치 제조를 가능하게 하는, 편평하고 결함이 없는 표면이 수득된다.
섈로우 트렌치 아이솔레이션 (Shallow trench isolation) (STI) 은 일반적으로 패턴화된 웨이퍼 기판 상의 질화규소에 대한 이산화규소의 선택적인 제거가 요구되는 특정한 CMP 적용이다. 상기 경우에, 에칭된 트렌치는 정지층으로서 질화규소 장벽 필름을 사용하여 폴리싱된 유전체 물질, 예컨대, 이산화규소로 과충전된다. 상기 CMP 공정은 노출된 질화규소 및 트렌치 산화규소의 제거를 최소화하면서, 상기 장벽 필름으로부터 이산화규소를 제거하는 것으로 마무리된다.
상기는 이산화규소 물질 제거 속도 MRR 대 질화규소 제거 속도 MRR 의 높은 상대적 비를 달성하는 것이 가능한 CMP 슬러리가 요구되는데, 이때 상기 비는 또한 산화물 대 질화물 선택성으로서 당업계에 언급되어 있다.
세리아 (ceria)-기반 CMP 슬러리는 또한 세리아의 화학적 치아 작용 (chemical tooth action) 으로서 당업계에 언급되어 있는, 이산화규소에 대한 세리아의 높은 화학적 친화성으로 인한, 비교적 높은 산화물 대 질화물 선택성을 달성할 수 있는 이의 능력 때문에, STI 적용에서 상당한 관심을 받아왔다.
그럼에도 불구하고, 세리아-기반 CMP 슬러리의 산화물 대 질화물 선택성은 상기 선택성을 "조정하는 (tailor)" 첨가제에 의해 개선되어야 한다.
세리아-기반 CMP 슬러리의 선택성을 조정하고자 수많은 시도가 행해진 바 있다.
즉, Jae-Don Lee 등은 [Journal of the Electrochemical Society, 149 (8), G477-G481, 2002] 에, 상이한 친수-친유-평형 (HLB) 값을 갖는 비이온성 계면활성제, 예컨대 폴리에틸렌옥시드, 에틸렌옥시드-프로필렌옥시드 코폴리머 및 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 트리블록 코폴리머의 CMP 동안 산화물 대 폴리실리콘 선택성의 영향을 개시하였다. 그러나, 퓸드 실리카가 연마제로서 사용된다.
Jae-Don Lee 등은 [Journal of the Electrochemical Society, 149 (8) G477-G481, 2002, Effects of Nonionic surfactants on Oxide-To-Polysilicon Selectivity during Chemical Mechanical Polishing] 에, 상기 선택성에 대한 계면활성제, 예컨대 폴리에틸렌 옥시드 (PEO) 및 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 트리블록 코폴리머의 영향을 개시하였다. 하지만, 상기 산화물 대 질화물 선택성은 언급되어 있지 않다.
미국 특허 US 5,738,800, US 6,042,741, US 6,132,637 및 US 6,218,305 B 에는 착화제, 예컨대 말산, 타르타르산, 글루콘산, 시트르산, 오르쏘 디- 및 폴리히드록시벤조산, 프탈산, 피로카테콜, 피로갈롤, 갈산, 탄닌산 및 이들의 염을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 나아가, 상기 세리아-기반 CMP 슬러리는 음이온성, 양이온성, 양쪽성 또는 비이온성 계면활성제를 함유한다. 상기 세리아-기반 CMP 슬러리는 높은 산화물 대 질화물 선택성을 갖는 것으로 주장되어 있다.
미국 특허 US 5,759,917, US 6,689,692 B1 및 US 6,984,588 B2 에는 카르복실산, 예컨대 아세트산, 아디프산, 부티르산, 카프르산, 카프로산, 카프릴산, 시트르산, 글루타르산, 글리콜산, 포름산, 푸마르산, 락트산, 라우르산, 말산, 말레산, 말론산, 미리스트산, 옥살산, 팔미트산, 프탈산, 프로피온산, 피루브산, 스테아르산, 숙신산, 타르타르산, 발레르산, 2-(2-메톡시에톡시)아세트산, 2-[2-(2-메톡시에톡시)에톡시]아세트산, 폴리(에틸렌 글리콜)비스(카르복시메틸)에테르 및 이들의 유도체 및 염을 함유하는, 세리아-기반 CMP 슬러리를 개시하고 있다. 또한, 상기 세리아-기반 CMP 슬러리는 수용성 유기 및 무기 염, 예컨대 니트레이트, 포스페이트 및 술페이트를 함유한다. 상기 세리아-기반 CMP 슬러리는 질화규소 층 보다 우선적으로 산화규소 과충전을 폴리싱하는 것으로 주장되어 있다.
미국 특허 US 6,299,659 B1 에는 상기 연마제 입자가 상기 산화물 대 질화물 선택성을 개선하기 위하여, 실란, 티타네이트, 지르코네이트, 알루미늄 및 포스페이트 커플링제로 처리된, 세리아-기반 CMP 슬러리가 개시되어 있다.
미국 특허 출원 US 2002/0034875 A1 및 미국 특허 US 6,626,968 B2 에는 계면활성제, pH 조절제, 예컨대 수산화칼륨, 황산, 질산, 염산 또는 인산 및 친수성 관능기 및 소수성 관능기를 함유하는 폴리머, 예컨대 폴리비닐 메틸 에테르 (PVME), 폴리에틸렌 글리콜 (PEG), 폴리옥시에틸렌 23 라우릴 에테르 (POLE), 폴리프로파노산 (PPA), 폴리아크릴산 (PM) 및 폴리에테르 글리콜 비스 에테르 (PEGBE) 를 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 하지만, 상기 세리아-기반 CMP 슬러리는 산화물 대 폴리실리콘 선택성을 증가시킨다.
미국 특허 US 6,436,835 B1 에는 카르복실산 또는 카르복실레이트 또는 술폰산 또는 술팜산 기, 예컨대 폴리아크릴산, 폴리메타크릴산, 나프탈렌 술폰산-포르말린 축합물, 말산, 락트산, 타르타르산, 글루콘산, 시트르산, 숙신산, 아디프산, 푸마르산, 아스파르트산, 글루탐산, 글리신 4-아미노부티르산, 6-아미노헥사노산, 12-아미노라우르산, 아르기닌, 글리실글리신, 라우릴벤젠 술폰산 및 이들의 암모늄 염을 갖는 수용성 유기 화합물을 포함하는, 섈로우 트렌치 아이솔레이션 공정을 위한 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 4 이하의 pH 를 가질 수 있고, 또한 pH 가 4 초과인 것이 바람직하다. 이는 높은 산화물 대 질화물 선택성을 갖는 것으로 주장되어 있다.
미국 특허 US 6,491,843 B1, US 6,544,892 B2 및 US 6,627,107 B2 에는 상기 산화물 대 질화물 선택성을 개선하기 위한, 알파-아미노산, 예컨대 리신, 알라닌 및 프롤린을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다.
미국 특허 US 6,616,514 B1 에는 상기 산화물 대 질화물 선택성을 개선하기 위하여, 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록실기를 갖는 유기 폴리올; 또는 수성 매질 중에서 분리할 수 없는 3 개 이상의 히드록실기를 갖는 하나 이상의 모노머로부터 형성되는 폴리머, 예컨대 만니톨, 소르비톨, 만노스, 자일리톨, 소르보스, 수크로오스 및 덱스트린을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다.
미국 특허 출원 US 2006/0207188 A1 에는 폴리머, 예컨대 폴리아크릴산 또는 폴리(알킬 메타크릴레이트) 및 모노머, 예컨대 아크릴아미드, 메타크릴아미드, 에틸-메타크릴아미드, 비닐피리딘 또는 비닐피롤리돈의 반응 생성물을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 반응 생성물은 또한 상기 산화물 대 질화물 선택성을 증가시키는 것으로 여겨진다.
미국 특허 출원 US 2006/0216935 A1 에는 단백질, 리신 및/또는 아르기닌 및 피롤리돈 화합물, 예컨대 폴리비닐피롤리돈 (PVP), N-옥틸-2-피롤리돈, N-에틸-2-피롤리돈, N-히드록시에틸-2-피롤리돈, N-시클로헥실-2-피롤리돈, N-부틸-2-피롤리돈, N-헥실-2-피롤리돈, N-데실-2-피롤리돈, N-옥타데실-2-피롤리돈 및 N-헥사데실-2-피롤리돈을 포함하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 폴리아크릴산, 글리콜 및 폴리글리콜과 같은 분산제를 함유할 수 있다. 구체 예로는 프롤린, 폴리비닐피롤리돈 또는 N-옥틸-2-피롤리돈, PPO/PEO 블록코폴리머 및 글루타르알데히드가 사용된다. 상기 세리아-기반 CMP 슬러리는 트렌치 이산화규소를 공격적으로 제거하지 않기 때문에, 최소 스텝 높이 (step height) 를 상당히 증가시키지 않으면서 종점을 지나서 확장된 폴리싱을 가능하게 하는 것으로 여겨진다.
미국 특허 출원 US 2007/0077865 A1 에는 폴리에틸렌옥시드/폴리프로필렌옥시드 코폴리머 (바람직하게는 BASF 사에서 판매되는 Pluronic™ 계열) 를 함유하는 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 아미노 알코올, 예컨대 2-디메틸아미노-2-메틸-1-프로판올 (DMAMP), 2-아미노-2-에틸-1-프로판올 (AMP), 2-(2-아미노에틸아미노)에탄올, 2-(이소프로필아미노)에탄올, 2-(메틸아미노)에탄올, 2-(디에틸아미노)에탄올, 2-(2-디메틸아미노)에톡시)에탄올, 1,1'-[[3-(디메틸아미노)프로필]이미노]-비스-2-프로판올, 2-(2-부틸아미노)에탄올, 2-(tert-부틸아미노)에탄올, 2-(디이소프로필아미노)에탄올 및 N-(3-아미노프로필)모르폴린을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 4 차 암모늄 화합물, 예컨대 테트라메틸수산화암모늄, 필름 형성제, 예컨대 알킬 아민, 알칸올아민, 히드록실 아민, 포스페이트 에스테르, 나트륨 라우릴 술페이트, 지방산, 폴리아크릴레이트, 폴리메타크릴레이트, 폴리비닐포스포네이트, 폴리말레이트, 폴리스티렌 술포네이트, 폴리비닐 술페이트, 벤조트리아졸, 트리아졸 및 벤조이미다졸 및 착화제, 예컨대 아세틸아세톤, 아세테이트, 글리콜레이트, 락테이트, 글루코네이트, 갈산, 옥살레이트, 프탈레이트, 시트레이트, 숙시네이트, 타르테이트, 말레이트, 에틸렌디아민테트라아세트산, 에틸렌 글리콜, 피로카테콜, 피로갈롤, 탄닌산, 포스포늄 염 및 포스폰산을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 폴리실리콘에 대한 산화규소 및/또는 질화규소의 우수한 선택성을 제공하는 것으로 여겨진다.
미국 특허 출원 US 2007/0175104 A1 에는 폴리에틸렌 글리콜; 폴리비닐피롤리돈; 알킬옥실화된 선형 지방족 알코올 및 아세틸렌-기반 디올의 에틸렌옥시드 부가물; 아크릴아미드, 메타크릴아미드 및 이의 알파-치환 유도체로 이루어진 군으로부터 선택되는 임의의 구성 성분으로 치환된 N-단일치환 또는 N,N-이중치환된 골격을 갖는, 수용성 폴리머로부터 선택되는, 폴리실리콘 폴리싱 억제제를 포함하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 추가적인 수용성 폴리머, 예컨대 알긴산, 펙틴산, 카르복시메틸셀룰로오스, 아가, 커들란 및 풀루란과 같은 다당류; 폴리카르복실산, 예컨대 폴리아스파르트산, 폴리글루탐산, 폴리리신, 폴리말산, 폴리메타크릴산, 폴리이미드산, 폴리말레산, 폴리이타콘산, 폴리푸마르산, 폴리(p-스티렌 카르복실산), 폴리아크릴산, 폴리아크릴아미드, 아미노 폴리아크릴아미드, 폴리글리옥살산 및 이들의 염; 및 비닐 폴리머, 예컨대 폴리비닐 알코올 및 폴리아크롤레인을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 폴리실리콘에 대한 높은 산화규소 선택성을 갖는 것으로 알려져 있다.
미국 특허 출원 US 2007/0191244 A1 에는 히드록실기 및 카르복실기 또는 둘 다, 예컨대 시트레이트, 말레이트, 글루코네이트, 타르트레이트, 2-히드록시이소부티레이트, 아디페이트, 옥타노에이트, 숙시네이트, EDTA-함유 화합물, 글루타레이트, 메틸렌숙시네이트, 만노스, 글리세로-갈락토-헵토오스, 에리트로-만노-옥토오스, 아라비노-갈락토-노노오스 및 글루타민을 함유하고, 중량 평균 분자량 30 내지 500 을 갖는 화합물을 함유하는, 세리아-기반 CMP 슬러리가 개시되어 있다. 상기 세리아-기반 CMP 슬러리는 더 나아가 알콕시폴리알킬렌 글리콜 측쇄를 갖는, 선형 폴리머 산 또는 그래프트형 폴리머 산을 함유할 수 있다. 상기 세리아-기반 CMP 슬러리는 상기 폴리싱된 웨이퍼의 개선된 전면적 평탄도를 달성하는 것으로 알려져 있다.
미국 특허 출원 US 2007/0218811 A1 에는 pH 4 내지 7.5 를 갖고, 분산제, 폴리카르복실산 및 3.2 이하에서 가장 먼저 분리가능한 산성기의 pKa 를 갖는 강산 100 내지 1000 ppm 을 함유하는, 세리아-기반 CMP 슬러리를 개시하고 있다. 예를 들면, 아크릴산 및 메타크릴산의 폴리머가 음이온성 분산제로서 언급되고, 폴리옥시에틸렌 유도체가 비이온성 분산제로서 언급되며, 폴리비닐피롤리돈이 양이온성 분산제로서 언급된다. 특히 언급되는 강산은 황산, HCl, 질산, 인산, 옥살산, 말레산, 피크르산, 아황산, 티오아황산, 아미도황산, 염소산, 과염소산, 아염소산, 요오드화수소산, 과요오드산, 요오드산, 브롬화수소산, 과브롬산, 크롬산, 아질산, 디포스폰산, 트리폴리인산, 포스핀산, 피콜린산, 포스폰산, 이소니콘틴산, 니코틴산, 트리클로로아세트산, 디클로로아세트산, 클로로아세트산, 시아노아세트산, 옥살로아세트산, 니트로아세트산, 브로모아세트산, 플루오로아세트산, 페녹시아세트산, o-브로모벤조산, o-니트로벤조산, o-클로로벤조산, p-아미노벤조산, 안트라닐산, 프탈산, 푸마르산, 말론산, 타르타르산, 시트르산, o-클로로아닐린, 2,2'-바이피리딘, 4,4'-바이피리딘, 2,6-피리딘디카르복실산, 피루브산, 폴리스티렌 술폰산, 폴리술폰산, 글루탐산, 살리실산, 아스파르트산, 2-아미노에틸포스폰산, 리신, 아르기닌, 이소류신, 사르코신, 오르니틴, 구아노신, 시트룰린, 티로신, 발린, 히포잔틴, 메티오닌, 리신 및 류신이다. 상기 세리아-기반 CMP 슬러리는 효율적인 고속 작동, 더 용이한 공정 관리 및 패턴 농도에서의 차이로 인한 필름 두께에 있어서 더 작은 변동을 야기한다.
미국 특허 출원 2008/0085602 A1 및 US 2008/0124913 A1 은, 분산제로서 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 트리블록 코폴리머 및 폴리아크릴산으로부터 선택된 비이온성 계면활성제 0.001 내지 0.1 중량% 를 포함하는 세리아-기반 CMP 슬러리를 개시한다. 세리아-기반 슬러리는 폴리실리콘 보다도 높은 산화규소 및 질화규소 선택성을 갖는다고 명시되어 있다.
전기적 장치, 특히, 반도체 집적회로 (IC) 의 제조에는 특히 고 선택성 CMP 를 포함하는, 고 정밀 방법이 요구된다.
선행 기술의 세리아-기반 CMP 슬러리는 만족스러운 산화물 대 질화물 선택성을 가질 수 있고, 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이 우수한 전면적 및 국부적 평탄도를 갖는 폴리싱된 웨이퍼를 수득할 수 있지만, IC 구조, 특히 LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 의 끊임없이 감소하는 치수로 인해, 집적회로 장치의 제조에서의 끊임없이 증가하는 기술적 및 경제적인 요구를 만족시키기 위한, 상기 세리아-기반 CMP 슬러리의 지속적인 개선이 요구된다.
하지만, 선행 기술의 세리아-기반 CMP 슬러리를 지속적으로 개선하기 위한 상기 절실한 요구는 집적회로 장치의 분야에만 적용되는 것이 아니라, 상기 폴리싱 및 평탄화 효과는 또한 기타 전기적 장치, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 (micro plant), 태양전지 및 자기 헤드 (magnetic head); 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관 (waveguide), 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기판, 반도체 단결정 및 자기 디스크용 유리 기판을 제조하는 분야에서 개선되어야 한다. 상기와 같은 전기적 및 광학적 장치의 제조에는 고 정밀 CMP 공정 단계가 요구된다.
발명의 목적
따라서, 본 발명의 목적은 선행 기술의 폴리싱 조성물의 약점 및 문제점을 더이상 나타내지 않는, 신규한 수성 폴리싱 조성물, 특히 신규한 화학적 기계적 폴리싱 (CMP) 조성물, 특히 신규한 세리아-기반 CMP 슬러리를 제공하는 것이다.
구체적으로, 상기 신규한 수성 폴리싱 조성물, 특히 상기 신규한 화학적 기계적 폴리싱 (CMP) 조성물, 특히 상기 신규한 세리아-기반 CMP 슬러리는 유의하게 개선된 산화물 대 질화물 선택성을 나타내야 하고, 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이 뛰어난 전면적 및 국부적 평탄도를 갖는 폴리싱된 웨이퍼를 수득해야 한다. 따라서, 이는 IC 구조, 특히 50 nm 미만의 치수를 갖는 구조를 구비한, LSI (대규모 집적) 또는 VLSI (초대규모 집적) 을 갖는 IC 의 제조를 위하여 탁월하게 적합해야 한다.
나아가, 상기 신규한 수성 폴리싱 조성물, 특히 상기 신규한 화학적 기계적 폴리싱 (CMP) 조성물 및 특히 상기 신규한 세리아-기반 CMP 슬러리는 집적회로 장치의 분야에서만 유달리 유용해야 하는 것이 아니라, 또한 기타 전기적 장치, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드; 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기판, 반도체 단결정 및 자기 디스크용 유리 기재의 제조를 위한 분야에서도 가장 효율적으로 및 유리하게 유용해야 한다.
본 발명의 추가 목적은 기계적, 전기적 및 광학적 장치의 기판 재료를 폴리싱하는 신규한 방법을 제공하는 것으로, 상기 기판 재료는 산화규소 유전체 필름 및 질화규소 필름을 포함한다.
본 발명의 개요
따라서, 하기를 포함하는 신규한 수성 폴리싱 조성물을 발견하였다:
(A) 전기영동 이동에 의해 증명된 바 3 내지 9 범위의 pH 를 가진 수성 매질에서 분산시 양전하를 띠는 한 종류 이상의 연마제 입자;
(B) 하기로 이루어진 군으로부터 선택되는 하나 이상의 수용성 및 수분산성 히드록시기 함유 성분:
(b1) 분자 당 2 개 이상의 탄소 원자, 1 개 이상의 히드록시기 및 1 개 이상의 카르복실산기를 갖는 지방족 및 지환족 히드록시카르복실산으로서, 이때 히드록시기 대 카르복실산기의 몰비는 1 이상임;
(b2) 락톤기, 에스테르화 히드록시기, 에스테르화 카르복실산기 및 그 혼합물로 이루어진 군으로부터 선택된 1 개 이상의 기를 가진 히드록시카르복실산 (b1) 의 에스테르로서, 단 1 개 이상의 히드록시기가 (b2) 에 존재함; 및
(b3) 그 혼합물; 및
(C) 하기로 이루어진 군으로부터 선택된 하나 이상의 수용성 및 수분산성 폴리머 성분:
(c1) 선형 및 분지형 알킬렌 옥시드 호모폴리머 및 코폴리머;
(c2) 선형 및 분지형, 지방족 및 지환족 폴리(N-비닐아미드) 호모폴리머 및 코폴리머; 및
(c3) 100,000 달톤 (Dalton) 미만의 중량 평균 분자량을 가진 양이온성 폴리머 응집제 (flocculant).
이하, 상기 신규한 수성 폴리싱 조성물은 "본 발명의 조성물"로서 지칭된다.
더욱이, 기계적, 전기적 및 광학적 장치용 기판 재료를 1 회 이상 본 발명의 조성물과 접촉시키고, 상기 기판 재료를 원하는 평탄도가 달성될 때까지 폴리싱하는 것에 의한 상기 기판 재료의 신규한 폴리싱 방법이 발견된 바 있다.
이하, 기계적, 전기적 및 광학적 장치용 기판 재료를 폴리싱하는 신규한 방법은 "본 발명의 방법"으로서 지칭된다.
추가적으로, 전기적, 기계적 및 광학적 장치 제조를 위한 본 발명의 조성물의 신규한 용도, 및 입자의 수성 분산액의 안정화를 위한 양이온성 개질된 응집제의 신규한 용도가 발견된 바, 이 용도들을 이하 "본 발명의 용도"로서 지칭한다.
본 발명의 이점
선행 기술의 관점에서, 본 발명의 목적이 본 발명의 조성물, 본 발명의 방법 및 본 발명의 용도에 의해 해결될 수 있다는 것은, 숙련된 기술자에 의해 예상될 수 없었던 놀라운 일이었다.
본 발명의 조성물이 유의하게 개선된 산화물 대 질화물 선택성을 나타내고, 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이 뛰어난 전면적 및 국부적 평탄도를 갖는 폴리싱된 웨이퍼를 수득한다는 것은 특히 놀라운 일이었다. 따라서, 이는 IC 구조, 특히 50 nm 미만의 치수를 갖는 구조를 구비한, LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 의 제조를 위하여 탁월하게 적합하였다.
추가적으로, 본 발명의 조성물은 연장된 운송 및 보관 동안 안정적이어서, 이 안정성으로 물류 및 공정 관리가 현저하게 개선되었다.
나아가, 본 발명의 조성물은 집적회로 장치의 분야에서만 유달리 유용한 것이 아니라, 또한 전기적 장치, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드; 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기판, 반도체 단결정, 및 자기 디스크용 유리 기재의 제조를 위한 분야에서도 가장 효율적으로 및 유리하게 유용하였다.
가장 특히는, 본 발명의 조성물은 본 발명의 용도에 유난히 잘 적합했다.
그리하여, 본 발명의 조성물은 가장 특히는 본 발명의 방법을 위해 유용하였다. 본 발명의 방법은 특히 전기적 장치를 위한 기판 재료, 예컨대 액정 패널, 유기 전계 발광 패널, 인쇄 회로 기판, 미세 기계, DNA 칩, 마이크로 플랜트 및 자기 헤드; 뿐 아니라, 고 정밀 기계적 장치 및 광학적 장치를 위한 기판 재료, 특히, 광학적 유리, 예컨대 포토마스크, 렌즈 및 프리즘, 무기 전기 전도성 필름, 예컨대 인듐 주석 산화물 (ITO), 광학적 집적회로, 광학적 스위칭 소자, 광학적 도파관, 광학적 단결정, 예컨대 광학적 섬유 및 신틸레이터의 끝 단면, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기판, 반도체 단결정 및 자기 디스크용 유리 기재를 폴리싱하는데, 특히 화학적으로 기계적으로 폴리싱하는데 가장 유리하게 사용될 수 있었다.
그러나, 가장 특히는, 본 발명의 방법은 산화규소 유전체 필름 및 질화규소 필름을 포함하는 반도체 웨이퍼를 폴리싱하는데 있어서 특별하게도 적합하였다. 본 발명의 방법은 웨이퍼 내 불균일성 (WIWNU) 및 웨이퍼 대 웨이퍼 불균일성 (WTWNU) 으로 예시되는 바와 같이, 디싱 (dishing), 커핑 (cupping) 또는 고온점 (hotspot) 없이, 뛰어난 전면적 및 국부적 평탄도 및 균형을 갖는 폴리싱된 웨이퍼를 수득했다. 따라서, 이는 IC 구조, 특히 50 nm 미만의 치수를 갖는 구조를 구비한, LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 구조의 제조를 위하여 탁월하게 적합하였다.
본 발명의 상세한 설명
본 발명의 조성물은 수성 조성물이다. 이는 주 용매 및 분산제로서 물, 특히 초순수를 함유하는 것을 의미한다. 그럼에도 불구하고, 본 발명의 조성물은 하나 이상의 수혼화성 유기 용매를 본 발명의 조성물의 수성 성질을 변화시키지 않는 단지 미량으로 함유할 수 있다.
바람직하게는, 본 발명의 조성물은 본 발명의 조성물의 총 중량을 기반으로한 중량% 로, 60 내지 99.95 중량%, 더욱 바람직하게는 70 내지 99.9 중량%, 보다 더욱 바람직하게는 80 내지 99.9 중량% 및 가장 바람직하게는 90 내지 99.9 중량% 의 양으로 물을 함유한다.
"수용성"이란, 본 발명의 조성물의 관련 성분 또는 요소가 분자 수준에서 수성 상에 용해될 수 있다는 것을 의미한다.
"수분산성"이란, 본 발명의 조성물의 관련 성분 또는 요소가 수성 상에 분산되어 안정한 에멀젼 또는 현탁액을 형성할 수 있는 것을 의미한다.
"폴리머" 또는 "폴리머성"은, 본 발명의 조성물의 관련 성분 또는 요소가 12 초과의 연결된 모노머 구조 단위들 (모두 동일한 구조를 지닐 수 있음) 로 이루어진 것을 의미한다. 그러나, 상기 구조 단위는 2 개 이상의 상이한 구조로부터 선택되는 것도 가능하다.
본 발명의 조성물의 제 1 의 필수 성분은 하나 이상의, 바람직하게는 한 종류의 연마제 입자 (A) 이다.
연마제 입자 (A) 는 3 내지 9 의 pH 를 갖는 수성 매질에 분산 시 양전하를 띤다. 양전하는 연마제 입자 (A) 의 전기영동 이동 μ (㎛/s) (V/cm) 으로 증명된다. 전기영동 이동 μ 는 Malvern, Ltd. 사의 Zetasizer Nano 등 장치로 직접 측정될 수 있다.
연마제 입자 (A) 의 평균 입자 크기는 광범위하게 달라질 수 있고, 따라서, 본 발명의 주어진 조성물 및 방법의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 동적 레이저 광 산란 (dynamic laser light scattering) 에 의해 측정되는 바로서, 상기 평균 입자 크기는 1 내지 2000 nm, 바람직하게는 1 내지 1000 nm, 더욱 바람직하게는 1 내지 750, 및 가장 바람직하게는 1 내지 500 nm 의 범위이다.
연마제 입자 (A) 의 입자 크기 분포는 단봉성, 이봉성 또는 다봉성일 수 있다. 바람직하게, 입자 크기 분포는 연마제 입자 (A) 의 용이한 재생 특성 프로파일 및 본 발명의 공정 동안의 용이한 재생성 조건을 갖도록 단봉성이다.
더욱이, 연마제 입자 (A) 의 입자 크기 분포는 좁거나 (narrow) 또는 넓을 수 있다 (broad). 바람직하게, 입자 크기 분포는 단지 소량의 소형 입자 및 대형 입자 하, 연마제 입자 (A) 의 용이한 재생 특성 프로파일 및 본 발명의 공정 동안 용이한 재생성 조건을 갖도록 좁다.
연마제 입자 (A) 는 다양한 형상을 지닐 수 있다. 즉, 이는 1 종 또는 본질적으로 1 종의 형상을 지닐 수 있다. 그러나, 연마제 입자 (A) 가 상이한 형상을 지니는 것도 가능하다. 특히, 2 종의 상이한 형상의 연마제 입자 (A) 는 본 발명의 주어진 조성물에 존재할 수 있다. 형상 그 자체에 관해서, 이는 정육면체, 모서리를 깎아낸 정육면체, 팔면체, 20면체, 혹 및 구형 (돌출부 또는 오목부의 존재 또는 부재 하) 일 수 있다. 가장 바람직하게, 형상은 돌출부 또는 오목부가 없거나 또는 단지 매우 적게 존재하는 구형이다. 이 형상은 대체로 바람직한데, 그 이유는 연마제 입자 (A) 가 접하는 기계적 힘에 대한 저항성이 CMP 공정 동안 증가하기 때문이다.
원칙적으로, 임의 유형의 연마제 입자 (A) 가 본 발명의 조성물에 상기 기술된 특성 프로파일을 보유하는 한 사용될 수 있다. 따라서, 연마제 입자 (A) 는 유기 또는 무기 입자 또는 유기-무기 혼성 입자일 수 있다. 바람직하게 연마제 입자 (A) 는 무기 입자이다.
원칙적으로, 임의 유형의 무기 연마제 입자 (A) 가 본 발명의 조성물에 상기 기술된 특성 프로파일을 보유하는 한 사용될 수 있다. 그러나, 가장 바람직하게는 세리아를 포함 또는 세리아로 이루어진 무기 연마제 입자 (A) 가 사용된다.
세리아를 포함하는 연마제 입자 (A) 는 미량의 기타 희토류 금속 산화물을 포함할 수 있다.
바람직하게, 세리아를 포함하는 연마제 입자 (A) 는 세리아와 상이한 하나 이상의 기타 연마제 미립자 물질, 특히 알루미나, 실리카 티타니아, 지르코니아, 산화아연 및 그 혼합물을 포함 또는 이로 이루어진 코어 (core) 를 포함하는 복합체 입자 (A) 이다.
상기 복합체 입자 (A) 는 예를 들어, WO 2005/035688 A1, US 6,110,396, US 6,238,469 B1, US 6,645,265 B1, K. S. Choi et al., Mat. Res. Soc. Symp. Proc. Vol. 671, 2001 Materials Research Society, M5.8.1 to M5.8.10, S.-H. Lee et al., J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 to 2749, A. Jindal et al., Journal of the Electrochemical Society, 150 (5) G314-G318 (2003), Z. Lu, Journal of Materials Research, Vol. 18, No. 10, October 2003, Materials Research Society, 또는 S. Hedge et al., Electrochemical and Solid-State Letters, 7 (12) G316-G318 (2004) 에 공지되어 있다.
가장 바람직하게는, 상기 복합체 입자 (A) 는 20 내지 100 nm 의 코어 크기를 갖는, 알루미나, 실리카, 티타니아, 지르코니아, 산화아연 및 이들의 혼합물로 이루어진 군으로부터 선택되는 코어를 포함하는 라즈베리형 (raspberry-type) 코팅된 입자이며, 여기서 상기 코어는 10 nm 미만의 입자 크기를 갖는 세리아 입자로 코팅된다.
본 발명의 조성물에서 사용되는 연마제 입자 (A) 의 양은 광범위하게 달라질 수 있고, 따라서 본 발명의 주어진 조성물 및 방법의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 본 발명의 조성물은 상기 연마제 입자 (A) 를 0.005 내지 10 중량%, 더욱 바람직하게는 0.01 내지 8 중량% 및 가장 바람직하게는 0.01 내지 6 중량% 로 함유하고, 여기서 중량% 는 본 발명의 조성물의 총 중량을 기반으로 한다.
본 발명의 조성물은 제 2 필수 요소로서 하나 이상의, 바람직하게 하나의 수용성 또는 수분산성, 바람직하게는 수용성 히드록시기 포함 성분 (B) 를 포함한다.
성분 (B) 는 하기로 이루어진 군으로부터 선택된다:
(b1) 분자 당, 하기를 갖는 지방족 및 지환족 히드록시카르복실산
- 2 개 이상, 바람직하게는 3 개 이상, 더욱 바람직하게는 4 개 이상, 보다 더욱 바람직하게는 5 개 이상, 가장 바람직하게는 6 이상의 탄소 원자,
- 1 개 이상, 바람직하게는 2 개 이상, 더욱 바람직하게는 3 개 이상, 가장 바람직하게는 4 개 이상의 히드록시기 및
- 1 개 이상의 카르복실산기,
이때, 히드록시기 대 카르복실산기의 몰비는 1 이상, 바람직하게 2 이상, 더욱 바람직하게 3 이상, 가장 바람직하게 4 이상임;
(b2) 락톤기, 에스테르화 히드록시기, 에스테르화 카르복실산기 및 그 혼합물로 이루어진 군으로부터 선택된 하나 이상의 기를 갖는 히드록시카르복실산 (b1) 의 에스테르, 단 1 개 이상의 히드록시기, 바람직하게 2 개 이상, 가장 바람직하게 3 개 이상의 히드록시기가 (b2) 에 존재함; 및
(b3) 그 혼합물.
바람직하게, 히드록시카르복실산 (b1) 은 글리콜산, 락트산 (lactic acid), 퀸산 (quinic acid), 당산 (sugar acid), 및 그 혼합물로 이루어진 군으로부터 선택된다.
바람직하게, 에스테르 (b2) 는 글리콜산, 락트산, 퀸산 및 당산 에스테르 및 락톤 및 그 혼합물로 이루어진 군으로부터 선택된다.
더욱 바람직하게, 당산 (b1) 은 알돈산 (aldonic acid), 우론산 (uronic acid), 글리쿠론산 (glycuronic acid), 알다르산 (aldaric acid), 울루손산 (ulusonic acid), 뉴라민산 (neuraminic acid), 시알산 (sialic acid) 및 그 혼합물로 이루어진 군으로부터 선택된다.
더욱 바람직하게, 당산 에스테르 (b2) 는 알돈산, 우론산, 글리쿠론산, 알다르산, 울루손산, 뉴라민산, 시알산 에스테르 및 락톤 및 그 혼합물로 이루어진 군으로부터 선택된다.
보다 더욱 바람직하게, 당산 (b1) 은 글리세르산 (glyceric acid), 타르타르산 (tartaric acid), 트레온산 (threonic acid), 에리트론산 (erythronic acid), 자일론산 (xylonic acid), 글루쿠론산 (glucuronic acid), 아스코르브산 (ascorbic acid), 글루콘산, 갈락투론산 (galacturonic acid), 이두론산 (iduronic acid), 만누론산 (mannuronic acid), 글루쿠론산, 굴루론산 (guluronic acid), 글리쿠론산, 글루카르산 (glucaric acid), 울루손산, 뉴라민산, 시알산, 뮤람산 (muramic acid), 락토비온산 (lactobionic acid) 및 그 혼합물로 이루어진 군으로부터 선택된다.
보다 더욱 바람직하게, 당산 에스테르 (b2) 는 글리세르산, 타르타르산, 트레온산, 에리트론산, 자일론산, 글루쿠론산, 아스코르브산, 글루콘산, 갈락투론산, 이두론산, 만누론산, 글루쿠론산, 굴루론산, 글리쿠론산, 글루카르산, 울루손산, 뉴라민산, 시알산, 뮤람산 및 락토비온산 에스테르 및 락톤, 글루코노-델타-락톤, 판감산 (pangamic acid) 및 그 혼합물로 이루어진 군으로부터 선택된다.
가장 바람직하게, 성분 (B) 는 퀸산, 글루쿠론산, 락토비온산, 글루코노-델타-락톤 및 그 혼합물로 이루어진 군으로부터 선택된다.
본 발명의 조성물 내 성분 (B) 의 농도는 광범위하게 달라질 수 있고, 따라서, 본 발명의 주어진 조성물, 및 방법의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게는, 본 발명의 조성물은 본 발명의 조성물의 총 중량을 기준으로 한 중량% 로, 성분 (B) 를 0.005 내지 5 중량%, 더욱 바람직하게 0.01 내지 4 중량%, 가장 바람직하게 0.01 내지 3 중량% 의 양으로 함유한다.
제 3 의 필수 요소로서, 본 발명의 조성물은 1 개 이상, 바람직하게는 2 개 이상의, 수용성 또는 수분산성, 바람직하게는 수용성의 폴리머 성분(들) (C) 를 포함한다.
성분 (C) 는 하기로 이루어진 군으로부터 선택된다:
(c1) 선형 및 분지형 알킬렌 옥시드 호모폴리머 및 코폴리머;
(c2) 선형 및 분지형, 지방족 및 지환족 폴리(N-비닐아미드) 호모폴리머 및 코폴리머; 및
(c3) 100,000 달톤 미만, 바람직하게 75,000 달톤 미만, 보다 더욱 바람직하게 50,000 달톤 미만, 가장 바람직하게 30,000 달톤 미만의 중량 평균 분자량을 갖는 양이온성 폴리머 응집제.
바람직하게, 알킬렌 옥시드 호모폴리머 또는 코폴리머 (b1) 는 선형 및 분지형 에틸렌옥시드 및 프로필렌옥시드 호모폴리머 및 코폴리머로 이루어진 군으로부터 선택된다.
에틸렌옥시드-프로필렌옥시드 코폴리머 (b1) 는 폴리에틸렌옥시드 블록 및 폴리프로필렌옥시드 블록을 포함하는 랜덤 코폴리머, 교대 코폴리머 또는 블록코폴리머일 수 있다. 에틸렌옥시드-프로필렌옥시드 블록코폴리머 (b1) 에서, 폴리에틸렌옥시드 블록은 바람직하게 10 내지 15 의 친수-친유-평형 (HLB) 값을 가진다. 폴리프로필렌옥시드 블록은 바람직하게 28 내지 약 32 의 HLB 값을 가질 수 있다.
바람직하게, 알킬렌 옥시드 호모폴리머 (b1) 는 에틸렌옥시드 폴리머, 예컨대 폴리에틸렌 글리콜 (PEG) 이다.
바람직하게, 수용성 폴리머 (b1) 은 2000 내지 1,000,000 Dalton, 더욱 바람직하게 5000 내지 500,000 Dalton, 가장 바람직하게 10,000 내지 250,000 Dalton 의 중량 평균 분자량을 가진다.
수용성 폴리머 (b1) 는 통상적이고 공지되어 있는 시판중의 물질이다. 적합한 수용성 폴리머 (b1) 는 일본 특허 출원 JP 2001-240850 A, 청구항 2 와 paragraph [0007] 내지 [0014], 미국 특허 출원 US 2007/0077865 A1, column page 1, paragraph [0008] to page 2, paragraph [0010], 미국 특허 출원 US 2006/0124594 A1, page 3, paragraph [0036] 및 [0037], 및 미국 특허 출원 US 2008/0124913 A1, page 3, paragraph [0031] 내지 [0033] 와 청구항 14 에 기재되어 있거나, 또는 BASF Corporation 의 회사 책자 "Pluronic™ & Tetronic™ Block Copolymer Surfactants, 1996" 또는 미국 특허 US 2006/0213780 A1 에 의해 증명된 바와 같이, 상표명 Pluronic™, Tetronic™ 및 Basensol™ (BASF Corporation 및 BASF SE) 으로 판매되고 있다.
가장 바람직하게, 폴리에틸렌 글리콜 (PEG) 이 폴리머 (b1) 로서 사용된다.
바람직하게, 선형 및 분지형, 지방족 및 지환족 폴리(N-비닐아미드) 호모폴리머 및 코폴리머 (c2) 의 빌딩 블록인 지방족 및 지환족 N-비닐아미드 모노머는 N-비닐아세트아미드, N-비닐피롤리돈, N-비닐발레로락탐, N-비닐카프로락탐, N-비닐숙신이미드 및 그 혼합물로 이루어진 군으로부터 선택된다.
폴리(N-비닐아미드) 코폴리머 (c2) 는, 비닐 에스테르 및 에테르, 아크릴 및 메타크릴 에스테르, 알릴 에스테르 및 에테르, 할로겐 원자 또는 니트릴기에 의해 치환될 수 있는 올레핀 및 스티렌 모노머와 같은 N-비닐아미드 이외의 통상적이고 공지된 올레핀계 불포화 모노머로부터 유도된 모노머 단위를 포함할 수 있는데, 단 상기 모노머 단위는 수용성이 저해되지 않는 양으로만 포함된다.
바람직하게, 수용성 폴리머 (c2) 는 중량 평균 분자량이 2000 내지 1,000,000 Dalton, 더욱 바람직하게 5000 내지 500,000 Dalton, 및 가장 바람직하게 10,000 내지 250,000 Dalton 이다.
바람직하게, 양이온성 폴리머 응집제 (c3) 은 양이온성 개질된 폴리아크릴아미드, 폴리아민, 폴리에틸렌이민, 폴리(디알릴-N,N-디알킬암모늄 할라이드) 및 그 혼합물로 이루어진 군으로부터 선택된다.
더욱 바람직하게, 양이온 기는 4차 암모늄기, 술포늄기, 포스포늄기 및 그 혼합물로 이루어진 군으로부터 선택된다. 가장 바람직하게, 4차 암모늄기가 사용된다.
더욱 바람직하게, 폴리(디알릴-N,N-디알킬암모늄 할라이드) (c3) 의 알킬기는 메틸, 에틸, 프로필 및 이소프로필 및 그 혼합물로 이루어진 군으로부터 선택된다. 가장 바람직하게, 메틸기가 사용된다. 더욱 바람직하게, 할라이드는 플루오라이드, 클로라이드 및 브로마이드로 이루어진 군으로부터 선택된다. 가장 바람직하게, 클로라이드가 사용된다. 가장 바람직하게, 폴리(디알릴-N,N-디메틸암모늄 클로라이드) (폴리-DADMAC) 가 사용된다.
본 발명의 조성물 내 양이온성 개질된 응집제 (c3) 의 농도는 광범위하게 달라질 수 있고, 따라서 본 발명의 주어진 조성물 및 방법의 특정 요건에 따라 가장 유리하게 조정될 수 있다. 바람직하게, 응집제 (c3) 는 0.0001 내지 1 중량%, 바람직하게 0.0002 내지 1 중량% 및 가장 바람직하게 0.0003 내지 1 중량% 의 양으로 사용되며, 상기 중량% 는 본 발명의 조성물의 총 중량을 기준으로 한 것이다.
양이온성 개질된 응집제 (c3) 는 시판 중인 통상의 공지된 물질로, 예를 들어 BASF SE 의 상표명 Sedipur™ C 가 있다.
본 발명의 용도 중 하나에 따르면, 양이온성 개질된 응집제 (c3) 가 연마제 입자 (A) 의 수성 분산액을 안정화하는데 사용될 수 있다는 점이 가장 놀랍다.
본 발명의 조성물은 요소 (A), (B) 및 (C) 와 실질적으로 상이한 하나 이상의 기능 성분 (D) 를 포함할 수 있다.
바람직하게, 기능 성분 (D) 는 세리아-기재 CMP 슬러리에 통상 사용되는 성분들의 군으로부터 선택된다.
상기 화합물 (D) 의 예는 예를 들어 Y. N. Prasad et al. 의 Electrochemical and Solid-State Letters, 9 (12) G337-G339 (2006), Hyun-Goo Kang et al. 의 Journal of Material Research, volume 22, No. 3, 2007, pages 777 to 787, S. Kim et al. 의 Journal of Colloid and Interface Science, 319 (2008), pages 48 to 52, S. V. Babu et al. 의 Electrochemical and Solid-State Letters, 7 (12) G327-G330 (2004), Jae-Dong Lee et al. 의 Journal of the Electrochemical Society, 149 (8) G477-G481, 2002, 미국 특허 US 5,738,800, US 6, 042, 741, US 6,132,637, US 6,218,305 B, US 5,759,917, US 6,689,692 B1, US 6,984,588 B2, US 6,299,659 B1, US 6,626,968 B2, US 6,436,835, B1 US 6,491,843 B1, US 6,544,892 B2, US 6,627,107 B2, US 6,616,514 B1, 및 US 7,071,105 B2, 미국 특허 출원 US 2002/0034875 A1, US 2006/0144824 A1, US 2006/0207188 A1, US 2006/0216935 A1, US 2007/0077865 A1, US 2007/0175104 A1, US 2007/0191244 A1 및 US 2007/0218811 A1, 및 일본 특허 출원 JP 2005-336400 A 에 개시되어 있다.
나아가 상기 기능 성분 (D) 는 상기 입자 (D) 와 상이한, 유기, 무기 및 혼성 유기-무기 연마제 입자, 2 개 이상의 히드록시기를 갖는 다가 알코올, 하부 임계 용해 온도 LCST 또는 상부 임계 용해 온도 UCST 를 갖는 물질, 산화제, 부동태화제 (passivating agent), 전하 역전제 (charge reversal agent), 착화제 또는 킬레이트제, 마찰제, 안정화제, 레올로지제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군으로부터 선택된다.
적합한 유기 연마제 입자 (D) 및 이의 유효량은, 예를 들어, 미국 특허 출원 US 2008/0254628 A1, page 4, paragraph [0054] 또는 국제 특허 출원 WO 2005/014753 A1 에 공지되어 있고, 여기에는 멜라민 및 멜라민 유도체, 예컨대 아세토구아나민, 벤조구아나민 및 디시안디아미드로 이루어진 고체 입자가 개시되어 있다.
적합한 무기 연마제 입자 (D) 및 이의 유효량은, 예를 들어, 국제 특허 출원 WO 2005/014753 A1, page 12, lines 1 - 8 또는 미국 특허 US 6,068,787, column 6, line 41 - column 7, line 65 에 공지되어 있다.
적합한 혼성 유기-무기 연마제 입자 (D) 및 이의 유효량은, 예를 들어, 미국 특허 출원 US 2008/0254628 A1, page 4, paragraph [0054] 또는 US 2009/0013609 A1, page 3, paragraph [0047] - page 6, paragraph [0087] 에 공지되어 있다.
적합한 다가 알코올 (D) 는 디올, 예컨대 에틸렌 글리콜 및 프로필렌 글리콜, 트리올, 예컨대 글리세롤, 펜타에리트리톨, 알디톨, 시클리톨, 및 글리세롤, 트리메틸올프로판, 펜타에리트리톨, 알디톨 및 시클리톨의 다이머 및 올리고머이다.
적합한 산화제 (D) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, page 8, paragraphs [0074] - [0075] 또는 미국 특허 US 6,068,787, column 4, line 40 - column 7, line 45 또는 US 7,300,601 B2, column 4, lines 18 - 34 에 공지되어 있다. 바람직하게는, 유기 및 무기 과산화물, 더욱 바람직하게는 무기 과산화물이 사용된다. 특히, 과산화수소가 사용된다.
적합한 부동태화제 (C) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,300,601 B2, column 3, line 59 - column 4, line 9 또는 미국 특허 출원 US 2008/0254628 A1, paragraph [0058], pages 4 - 5 에 공지되어 있다.
또한 때때로 마찰제 (참조, 미국 특허 출원 US 2008/0254628 A1, page 5, paragraph [0061]) 또는 에칭제 또는 부식액 (참조, 미국 특허 출원 US 2008/0254628 A1, page 4, paragrap [0054]) 으로서 언급되는 적합한 착화제 또는 킬레이트제 (C) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,300,601 B2, column 4, lines 35 - 48 에 공지되어 있다. 상기 아미노산, 특히 글리신, 및 나아가, 하나 이상, 바람직하게는 2 개 및 더욱 바람직하게는 3 개의 1 차 아미노기를 함유하는, 디시안디아미드 및 트리아진, 예컨대 멜라민 및 수용성 구아나민, 특히 멜라민, 포르모구아나민, 아세토구아나민 및 2,4-디아미노-6-에틸-1,3,5-트리아진이 가장 특히 바람직하게 사용된다.
적합한 안정화제 (D) 및 이의 유효량은, 예를 들어, 미국 특허 US 6,068,787, column 8, lines 4 - 56 에 공지되어 있다.
적합한 레올로지제 (D) 및 이의 유효량은, 예를 들어, 미국 특허 출원 US 2008/0254628 A1, page 5, paragraph [0065] - page 6, paragraph [0069] 에 공지되어 있다.
적합한 계면활성제 (D) 및 이의 유효량은, 예를 들어, 국제 특허 출원 WO 2005/014753 A1, page 8, line 23 - page 10, line 17 또는 미국 특허 US 7,300,601 B2, column 5, line 4 - column 6, line 8 에 공지되어 있다
적합한 다가 금속 이온 (D) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, page 8, paragraph [0076] - page 9, paragraph [0078] 에 공지되어 있다.
적합한 유기 용매 (D) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,361,603 B2, column 7, lines 32 - 48 또는 미국 특허 출원 US 2008/0254628 A1, page 5, paragraph [0059] 에 공지되어 있다.
하부 임계 용해 온도 LCST 또는 상부 임계 용해 온도 UCST 를 나타내는 적합한 물질 (D) 는, 예를 들어, [H. Mori, H. Iwaya, A. Nagai and T. Endo, Controlled synthesis of thermoresponsive polymers derived from L-proline via RAFT polymerization, in Chemical Communication, 2005, 4872-4874]; 또는 [D. Schmaljohann, Thermo- and pH-responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655-1670] 논문 또는 미국 특허 출원 US 2002/0198328 A1, US 2004/0209095 A1, US 2004/0217009 A1, US 2006/0141254 A1, US 2007/0029198 A1, US 2007/0289875 A1, US 2008/0249210 A1, US 2008/0050435 A1 또는 US 2009/0013609 A1, 미국 특허 US 5,057,560, US 5,788,82 및 US6,682,642 B2, 국제 특허 출원 WO 01/60926 A1, WO2004/029160 A1, WO 2004/0521946 A1, WO 2006/093242 A2 또는 WO 2007/012763 A1, 유럽 특허 출원 EP 0 583 814 A1, EP 1 197 587 B1 및 EP 1 942 179 A1, 또는 독일 특허 출원 DE 26 10 705 에 기재되어 있다.
이론상으로는, CMP 의 분야에서 통상적으로 사용되는 임의의 공지된 전하 역전제 (D) 가 사용될 수 있다. 바람직하게는, 상기 전하 역전제 (D) 는 카르복실레이트, 술포네이트, 술페이트, 및 포스포네이트기로 이루어진 군으로부터 선택되는, 하나 이상의 음이온성기를 함유하는, 모노머, 올리고머 및 폴리머성 화합물로 이루어진 군으로부터 선택된다.
존재하는 경우, 기능 성분 (D) 는 다양한 양으로 함유될 수 있다. 바람직하게, (D) 의 총 양은 해당 CMP 조성물의 총 중량을 기준으로, 10 중량% 이하 ("중량%" 는 "중량에 의한 백분율" 을 의미함), 더욱 바람직하게 2 중량% 이하, 가장 바람직하게 0.5 중량% 이하, 특히 0.1 중량% 이하, 예를 들어 0.01 중량% 이하이다. 바람직하게, (D) 의 총량은 해당 조성물의 총 중량을 기준으로, 0.0001 중량% 이상, 더욱 바람직하게 0.001 중량% 이상, 가장 바람직하게 0.008 중량% 이상, 특히 0.05 중량% 이상, 예를 들어 0.3 중량% 이상이다.
본 발명의 조성물은 임의로 상기 요소 (A), (B) 및 (C) 와 실질적으로 상이한, 하나 이상의 pH-조절제 또는 완충제 (E) 를 함유할 수 있다.
적합한 pH-조절제 또는 완충제 (E) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, page 8, paragraphs [0080], [0085] - [0086], 국제 특허 출원 WO 2005/014753 A1, page 12, lines 19 - 24, 미국 특허 출원 US 2008/0254628 A1, page 6, paragraph [0073] 또는 미국 특허 US 7,300,601 B2, column 5, lines 33 - 63 에 공지되어 있다. pH-조절제 또는 완충제 (E) 의 예는 수산화칼륨, 수산화암모늄, 테트라메틸수산화암모늄 (TMAH), 질산 및 황산이다.
존재하는 경우, 상기 pH-조절제 또는 완충제 (E) 는 다양한 양으로 함유될 수 있다. 바람직하게는, 상기 (E) 의 총량은 해당 CMP 조성물의 총 중량을 기준으로, 20 중량% 이하, 더욱 바람직하게는 7 중량% 이하, 가장 바람직하게는 2 중량% 이하, 특히 0.5 중량% 이하, 예를 들어 0.1 중량% 이하이다. 바람직하게는, 상기 (E) 의 총량은 해당 조성물의 총 중량을 기준으로, 0.001 중량% 이상, 더욱 바람직하게는 0.01 중량% 이상, 가장 바람직하게는 0.05 중량% 이상, 특히 0.1 중량% 이상, 예를 들어 0.5 중량% 이상이다.
바람직하게, 본 발명의 조성물의 pH 는 바람직하게는 상기 언급된 pH-조절제 (E) 를 사용하여 2.5 내지 4 로 설정된다.
본 발명의 조성물의 제조는 임의의 특이성이 있는 것이 아니라, 상기 기재된 요소 (A), (B) 및 (C) 및 임의로 (D) 및/또는 (E) 를 수성 매질, 특히, 탈이온수 중에서 용해 또는 분산시킴에 의해 수행될 수 있다. 상기 목적을 위하여, 통상적이고, 표준화된 혼합 공정 및 혼합 장치, 예컨대 교반 반응기, 인-라인 용해기, 고 전단 압축기, 초음파 혼합기, 균질기 노즐 또는 역류 혼합기가 사용될 수 있다. 바람직하게는, 상기와 같이 수득된 본 발명의 조성물은 조립질의 입자, 예컨대 고체의 덩어리 또는 집합체 및 미세하게 분산된 연마제 입자 (A) 를 제거하기 위하여, 적당한 체 구멍 (mesh aperture) 을 갖는 여과기를 통해 여과될 수 있다.
본 발명의 조성물은 본 발명의 방법에 탁월하게 적합하다.
본 발명의 공정에서, 전기적, 기계적 및 광학적 장치, 특히, 전기적 장치, 가장 바람직하게는, 집적회로 장치를 위한 기판 재료는 본 발명의 조성물과 한 번 이상 접촉되고, 목적하는 평탄도가 달성될 때까지 폴리싱, 특히, 화학적으로 및 기계적으로 폴리싱된다.
본 발명의 공정은 저-k (low-k, 저 유전율) 또는 초저-k (ultra-low-k, 초저 유전율) 산화규소 물질로 이루어진 단리층 및 정지 또는 장벽층으로서 질화규소층을 갖는 규소 반도체 웨이퍼의 CMP 에서 특별한 이점을 나타낸다.
적합한 저-k 또는 초저-k 물질 및 적합한 절연 유전체 층의 제조 방법은, 예를 들어, 미국 특허 출원 US 2005/0176259 A1, page 2, paragraphs [0025] - [0027], US 2005/0014667 A1, page 1, paragraph [0003], US 2005/0266683 A1, page 1, paragraph [0003] & page 2, paragraph [0024] 또는 US 2008/0280452 A1, paragraphs [0024] - [0026] 또는 미국 특허 US 7,250,391 B2, column 1, lines 49 - 54 또는 유럽 특허 출원 EP 1 306 415 A2, page 4, paragraph [0031] 에 기재되어 있다.
본 발명의 방법은 패턴화된 웨이퍼 기판 상에서 질화규소에 대한 이산화규소의 선택적인 제거가 요구되는, 섈로우 트렌치 아이솔레이션 (STI) 을 위해 특히 적합하다. 상기 공정에서, 에칭된 트렌치는 유전체 물질, 예컨대, 이산화규소로 과도 충전되고, 이는 정지층으로서 질화규소 장벽 필름을 사용하여 폴리싱된다. 상기의 바람직한 구현예, 본 발명의 방법은 노출된 질화규소 및 트렌치 산화규소의 제거를 최소화하면서, 상기 장벽 필름으로부터 이산화규소의 제거함에 의해 마무리된다.
따라서, 본 발명의 방법은 50 초과, 바람직하게는 75 초과, 가장 바람직하게는 100 초과의 산화물 대 질화물 선택성을 보인다.
본 발명의 방법은 특별한 것이 아니라, IC 를 포함하는 반도체 웨이퍼의 제조에서, CMP 를 위해 통상적으로 사용되는 공정 및 장비를 이용하여 수행될 수 있다.
당업계에 공지된 바와 같이, CMP 를 위한 통상적인 장비는 폴리싱 패드로 커버되어 있는 회전하는 플래턴 (platen) 으로 이루어져 있다. 상기 웨이퍼는 상기 폴리싱 패드와 거꾸로 마주하도록 캐리어 또는 척 (chuck) 상에 놓여 있다. 상기 캐리어는 상기 웨이퍼를 수평 위치로 단단히 고정시킨다. 폴리싱 및 지탱 장치의 상기 특정한 배치는 또한 경질-플래턴 (hard-platen) 디자인으로서 공지되어 있다. 상기 캐리어는 캐리어의 보유 표면 및 폴리싱되지 않은 웨이퍼의 표면 사이에 있는 캐리어 패드를 보유할 수 있다. 상기 패드는 상기 웨이퍼를 위한 쿠션으로서 작동할 수 있다.
상기 캐리어 아래에, 더 큰 직경의 플래턴이 또한 일반적으로 수평으로 위치되어 있고, 폴리싱될 웨이퍼의 표면과 평행한 표면을 제공한다. 상기 폴리싱 패드는 평탄화 공정 중에 상기 웨이퍼 표면과 접촉한다. 본 발명의 CMP 공정 중에, 본 발명의 조성물은 연속 스트림으로서 또는 점적 방식으로 상기 폴리싱 패드에 적용된다.
상기 캐리어 및 상기 플래턴은 모두 상기 캐리어 및 상기 플래턴으로부터 수직으로 확장되는 이들 각각의 샤프트 (shaft) 주위를 회전하도록 야기된다. 상기 회전하는 캐리어 샤프트는 상기 회전하는 플래턴에 대한 위치에서 고정된 상태를 유지할 수 있거나, 상기 플래턴에 대하여 수평으로 왔다갔다 진동할 수 있다. 상기 캐리어의 회전 방향은 통상적으로, 반드시는 아니지만, 상기 플래턴과 같은 방향이다. 상기 캐리어 및 상기 플래턴의 회전 속도는 일반적으로, 반드시는 아니지만, 상이한 값으로 설정한다.
통상적으로, 상기 플래턴의 온도는 10 내지 70 ℃ 의 온도로 설정된다.
보다 상세한 설명을 위해서는, 국제 특허 출원 WO 2004/063301 A1, 특히 page 16, paragraph [0036] - page 18, paragraph [0040] 을, 도 1 과 함께 참조한다.
본 발명의 방법으로, 뛰어난 평탄도를 갖는, 패턴화된 저-k 및 초저-k 물질층, 특히 이산화규소 층을 포함하는, IC 를 포함한 반도체 웨이퍼가 수득될 수 있다. 따라서, 구리 다마신 (damascene) 패턴은 뛰어난 평탄도 및, 마무리된 IC 에서, 뛰어난 전기적 기능성을 갖는 것으로 수득될 수 있다.
실시예 및 비교 실험
비교 실험 C1 내지 C5
비교 수성 폴리싱 조성물 C1 내지 C5 로 산화규소 코팅 및 질화규소 코팅된 블랭킷 웨이퍼 (Blanket Wafer) 의 CMP, 및 산화물 대 질화물 선택성
비교 수성 폴리싱 조성물 C1 내지 C5 의 조성을 표 1 에 작성한다.
표 1: 비교 수성 폴리싱 조성물 C1 내지 C5 의 조성
Figure 112013030548772-pct00001
a) 동적 레이저 광 회절로써 측정된 평균 1 차 입자 크기 : 60 nm
CMP 에 있어서, 하기 공정 매개변수를 사용했다:
- 폴리싱 장치: AMAT Mirra (회전형):
- 플래턴 속도: 90 rpm;
- 캐리어 속도: 70 rpm;
- IC 1000/Suba 400 K-그루브 폴리싱 패드, Rohm & Haas 제작;
- S60 3M 다이아몬드 컨디셔너를 이용한 인 시투 (in situ) 컨디셔닝;
- 슬러리 유속: 200 ml/min;
- 기판: 2000 nm 열적 이산화규소 코팅된 블랭킷 웨이퍼 (SKW) 및 500 nm 질화규소 코팅된 블랭킷 웨이퍼 (Montco Silicon); 실시예 20 내지 22: TEOS 이산화규소 코팅된 블랭킷 웨이퍼;
- 하강 힘: 3.5 psi (240 mbar);
- 폴리싱 시간: 1 분
물질 제거 속도 MRR 를 반사계로 측정했다. 수득한 결과를 표 2 에 작성한다.
표 2: 이산화규소 코팅된 블랭킷 웨이퍼 및 질화규소 코팅된 블랭킷 웨이퍼의 CMP, 및 산화물 대 질화물 선택성
Figure 112013030548772-pct00002
a) 옹스트롬/분
표 2 에 작성한 결과는 고 산화물 대 질화물 선택성이 히드록시카르복실산 및 히드록시기 포함 락톤 단독으로 달성될 수 있음을 분명히 보여준다. 그러나, 오로지 이들 첨가제만을 포함하는 비교 수성 폴리싱 조성물은, 분산 안정성 (가용시간; potlife) 과 STI 공정에서의 트렌치 산화물의 디싱 및 오버폴리싱 측면에서 상당한 개선이 요구되었다.
실시예 1 내지 4 및 비교 실험 C6 및 C7
산화규소 및 질화규소 블랭킷 웨이퍼 및 패턴화된 웨이퍼의 CMP
실시예 1 내지 4 의 수성 폴리싱 조성물 및 비교 수성 폴리싱 조성물 C6 및 C7 의 조성을 표 3 에 작성한다.
표 3: 수성 폴리싱 조성물 1 내지 4 및 비교 수성 폴리싱 조성물 C6 및 C7 의 조성
Figure 112013030548772-pct00003
a) 레이저 광 회절에 의해 측정된 평균 입자 크기: 60 nm;
b) 10,000 달톤의 평균 분자량을 가진 폴리에틸렌 글리콜 PEG10K;
c) 17,000 달톤의 평균 분자량을 가진 폴리비닐피롤리돈 PVP17K
CMP 를 위해 하기 공정 매개변수를 이용했다:
- 실시예 1 및 2 및 비교 실험 C6 에서의 폴리싱 장치: AMAT Mirra (회전식);
- 실시예 3 및 4 및 비교 실험 C7 에서의 폴리싱 장치: Strasbaugh 6EG nHance;
- 플래턴 속도: 90 rpm;
- 캐리어 속도: 70 rpm;
- IC 1000/Suba 400 K-그루브 폴리싱 패드, Rohm & Haas 제작;
- Rohm & Haas 또는 3M 의 A160 다이아몬드 컨디셔너를 이용한 인 시투 컨디셔닝;
- 슬러리 유속: 200 ml/min;
- 기판: SKW 의 2000 nm 열적 이산화규소 코팅된 블랭킷 웨이퍼 및 Montco Silicon 의 500 nm 질화규소 코팅된 블랭킷 웨이퍼;
- 하강 힘: 3 psi (205 mbar).
물질 제거 속도 (MRR) 을 Therma Wave Optiprobe 2600 로, CMP 이전 및 이후에 측정했다.
시판 중인 CMP 슬러리 (Cabot SS25, 1:1 희석) 를 이용해, 산화규소-질화규소-필드 산화물 패턴화된 웨이퍼 STI 3-2 (SKW) 의 최초의 토포그래피 (topography) 또는 스텝 높이를 폴리싱해, 상기 토포그래피를 "녹 아웃 (knock out)" 하였다. 적용된 하강 힘은 5 psi (341.66 mbar) 였다. 상기 P1 공정의 목표는 질화규소 정지층 상단에 소량의 산화물 두께가 남게끔 거의 평탄한 것을 달성하는 것이었다. 패턴화된 웨이퍼 측정은 두 부위, 즉 (i) 남은 잔류 산화물을 측정하기 위한 활성 산화물 부위에서 및 (ii) 트렌치 산화물을 측정하기 위한 필드 산화물 부위에서 수행했다.
블랭킷 웨이퍼를 상기 언급된 조건 하에서 폴리싱하였다. 수득한 물질 제거 속도 MRR 을 이용해 P1 공정 후 질화규소 정지층 상단에 남아 있는 활성 산화물의 제거 시간을 산출했다. 상기 시간을 "종점 (EP)" 으로서 지칭하였고, 종점 이후 임의의 추가 폴리싱 시간을 "오버폴리싱 (OP)" 로서 지칭하였다.
수득한 결과를 표 4 에 작성한다.
표 4: 실시예 1 내지 4 및 비교 실험 C6 및 C7 의 수성 폴리싱 조성물의 오버폴리싱 거동
Figure 112013030548772-pct00004
a) 옹스트롬/분
b) 옹스트롬
표 4 는, 안티-디싱 시약 PEG10K 및 PVP17K 의 첨가가 비교 실험 C6 및 C7 과 비교시, 블랭킷 웨이퍼 또는 활성 산화물 MRR 에 있어서 현저한 차이를 야기하지 않았지만, 트렌치 산화물 소비 및 디싱은 현저히 감소시켰음을 분명히 보인다.
실시예 5
양이온성 개질된 응집제를 이용한 세리아-기반 수성 폴리싱 조성물의 안정화
양이온성 개질된 응집제 (Sedipur™ CL 520, BASF SE) 를, 0.5 중량% 세리아 및 0.025 중량% 의 글루쿠론산을 함유하는 pH 3 의 수성 폴리싱 조성물에, 다양한 양으로 첨가했다. 평균 입자 크기를 Horiba Instrument 입자 크기 분석기로 측정했다. 수득한 결과를 표 5 에 작성한다.
표 5: Sedipur™ CL 520 로의 세리아-기반 수성 폴리싱 조성물의 안정화
Figure 112013030548772-pct00005
표 5 는, 10 ppm 과 같은 저 함량의 첨가로도 세리아 입자의 응집을 조절하기가 이미 충분하므로, 이로써 수성 폴리싱 조성물의 안정성 및 이의 가용시간이 강화됨을 분명히 나타낸다.
실시예 6 및 비교 실험 C8
양이온성 개질된 응집제를 함유 (실시예 6) 및 함유 하지 않는 (비교 실험 C8) 수성 폴리싱 조성물의 CMP 및 산화물 대 질화물 선택성
0.5 중량% 세리아 및 0.025 중량% 의 글루쿠론산을 포함하는 pH 3 의 수성 폴리싱 조성물을 비교 실험 C8 을 위해 사용했다.
0.5 중량% 세리아, 0.025 중량% 의 글루쿠론산 및 70 ppm Sedipur™ CL 520 을 함유하는 pH 3 의 수성 폴리싱 조성물을 실시예 6 을 위해 사용했다.
이산화규소 코팅된 블랭킷 웨이퍼 및 질화규소 코팅된 블랭킷 웨이퍼를 이하 기재된 바와 같이 비교 실험 C1 내지 C5 에서 폴리싱하였다.
수득한 결과를 표 6 에 작성한다.
표 6: 이산화규소 코팅된 블랭킷 웨이퍼 및 질화규소 코팅된 블랭킷 웨이퍼의 CMP 및 산화물 대 질화물 선택성
Figure 112013030548772-pct00006
a) 옹스트롬/분
표 6 은, Sedipur™ CL 520 의 첨가가 폴리싱 결과에 영향을 미치지 않았음을 분명히 보인다. 그러나, 실시예 6 의 수성 폴리싱 조성물은 비교 실험 C8 의 수성 폴리싱 조성물보다 훨씬 더 긴 가용시간을 보였다.

Claims (18)

  1. 하기를 포함하는 수성 폴리싱 조성물로서:
    (A) 전기영동 이동에 의해 증명된 바 3 내지 9 범위의 pH 를 가진 수성 매질에서 분산시 양전하를 띠는, 세리아를 함유하거나 또는 이로 이루어진 한 종류 이상의 연마제 무기 입자;
    (B) 하기로 이루어진 군으로부터 선택되는 하나 이상의 수용성 및 수분산성 히드록시기 함유 성분:
    (b1) 분자 당 3 개 이상의 탄소 원자, 2 개 이상의 히드록시기 및 1 개 이상의 카르복실산기를 갖는 지방족 및 지환족 히드록시카르복실산으로서, 이때 히드록시기 대 카르복실산기의 몰비는 2 이상임;
    (b2) 락톤기, 에스테르화 히드록시기, 에스테르화 카르복실산기 및 그 혼합물로 이루어진 군으로부터 선택된 1 개 이상의 기를 가진 히드록시카르복실산 (b1) 의 에스테르로서, 단 2 개 이상의 히드록시기가 (b2) 에 존재함; 및
    (b3) 그 혼합물; 및
    (C) 하기로 이루어진 군으로부터 선택된 하나 이상의 수용성 및 수분산성 폴리머 성분:
    (c1) 에틸렌옥시드 및 프로필렌옥시드 호모폴리머 및 코폴리머 및 그 혼합물로 이루어진 군으로부터 선택된, 선형 및 분지형 알킬렌 옥시드 호모폴리머 및 코폴리머; 및
    (c3) 양이온 개질된 폴리아크릴아미드, 폴리아민, 폴리에틸렌이민, 폴리(디알릴-N,N-디알릴암모늄 할라이드) 및 그 혼합물로 이루어진 군으로부터 선택된, 100,000 달톤 미만의 중량 평균 분자량을 가진 양이온성 개질된 응집제,
    (B) 는 퀸산, 글루코노-델타-락톤, 글루쿠론산, 락토비온산 및 그 혼합물로 이루어진 군으로부터 선택되고, pH 가 2.5 내지 4 범위인 수성 폴리싱 조성물.
  2. 제 1 항에 있어서, 상기 성분 (A), (B) 및 (C) 와 상이한 하나 이상의 기능 성분 (D) 를 포함하고, 상기 기능 성분 (D) 는 상기 입자 (A) 와 상이한 유기, 무기 및 혼성 유기-무기 연마제 입자, 2 개 이상의 히드록시기를 갖는 다가 알코올, 하부 임계 용해 온도 LCST 또는 상부 임계 용해 온도 UCST 를 갖는 물질, 산화제, 부동태화제, 전하 역전제, 착화제 또는 킬레이트제, 마찰제, 안정화제, 레올로지제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군으로부터 선택되는 것을 특징으로 하는 수성 폴리싱 조성물.
  3. 제 1 항에 있어서, 상기 성분 (A), (B) 및 (C) 와 상이한 하나 이상의 pH-조절제 또는 완충제 (E) 를 함유하는 것을 특징으로 하는 수성 폴리싱 조성물.
  4. 기판 재료를 수성 폴리싱 조성물과 1 회 이상 접촉시키고, 목적하는 평탄도를 달성할 때까지 상기 기판 재료를 폴리싱함에 의한, 전기적, 기계적 및 광학적 장치용 기판 재료의 폴리싱 방법으로서, 상기 제 1 항 내지 제 3 항 중 어느 한 항에 따른 수성 폴리싱 조성물이 사용되는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 상기 기판 재료가 하나 이상의 유전체 산화규소 물질을 포함 또는 이로 이루어진 하나 이상의 층 및 질화규소를 포함 또는 이로 이루어진 하나 이상의 층을 포함하는 것을 특징으로 하는 방법.
  6. 제 4 항에 있어서, 상기 전기적 장치는 치수가 50 nm 미만인 구조를 구비한, 대규모 집적 또는 초대규모 집적을 갖는 집적회로를 포함하는 집적 회로 장치인 것을 특징으로 하는 방법.
  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 전기적, 기계적 및 광학적 장치의 제조를 위해 사용되는 것을 특징으로 하는 수성 폴리싱 조성물.
  8. 전기영동 이동에 의해 증명된 바 3 내지 9 범위의 pH 를 가진 수성 매질에서 분산시 양전하를 띠는 한 종류 이상의 연마제 입자 (A) 를 함유하는 분산물의 안정화를 위한, 양이온 개질된 폴리아크릴아미드, 폴리아민, 폴리에틸렌이민, 폴리(디알릴-N,N-디알릴암모늄 할라이드) 및 그 혼합물로 이루어진 군으로부터 선택된 중량 평균 분자량이 100,000 달톤 미만인 양이온성 개질된 응집제 (c3) 를 포함하는 수성 폴리싱 조성물로서, pH 가 2.5 내지 4 범위인 수성 폴리싱 조성물.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
KR1020137009004A 2010-09-08 2011-09-06 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법 KR101907863B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072310P 2010-09-08 2010-09-08
US61/380,723 2010-09-08
PCT/IB2011/053896 WO2012032469A1 (en) 2010-09-08 2011-09-06 Aqueous polishing composition and process for chemically mechanically polishing substrate materials for electrical, mechanical and optical devices

Publications (2)

Publication Number Publication Date
KR20130133177A KR20130133177A (ko) 2013-12-06
KR101907863B1 true KR101907863B1 (ko) 2018-10-15

Family

ID=45810176

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137009004A KR101907863B1 (ko) 2010-09-08 2011-09-06 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법

Country Status (11)

Country Link
US (1) US20130161285A1 (ko)
EP (1) EP2614123B1 (ko)
JP (1) JP6196155B2 (ko)
KR (1) KR101907863B1 (ko)
CN (1) CN103189457B (ko)
IL (1) IL225085A (ko)
MY (1) MY164859A (ko)
RU (1) RU2577281C2 (ko)
SG (2) SG188460A1 (ko)
TW (1) TWI538989B (ko)
WO (1) WO2012032469A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2625236B1 (en) 2010-10-07 2017-12-13 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
MY165631A (en) 2010-12-10 2018-04-18 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
JP6375623B2 (ja) * 2014-01-07 2018-08-22 日立化成株式会社 研磨剤、研磨剤セット及び基体の研磨方法
WO2015115653A1 (ja) * 2014-01-31 2015-08-06 Hoya株式会社 磁気ディスク用基板の製造方法及び磁気ディスクの製造方法
US9551075B2 (en) 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
JP6536176B2 (ja) * 2015-05-27 2019-07-03 日立化成株式会社 サファイア用研磨液、貯蔵液及び研磨方法
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
KR101715931B1 (ko) 2015-12-11 2017-03-14 주식회사 케이씨텍 연마입자-분산층 복합체 및 그를 포함하는 연마 슬러리 조성물
KR20170076191A (ko) * 2015-12-24 2017-07-04 주식회사 케이씨텍 연마입자-분산층 복합체 및 그를 포함하는 연마 슬러리 조성물
JP6708994B2 (ja) 2017-03-27 2020-06-10 日立化成株式会社 スラリ及び研磨方法
WO2018179061A1 (ja) 2017-03-27 2018-10-04 日立化成株式会社 研磨液、研磨液セット及び研磨方法
JP2019050307A (ja) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド 研磨方法、ならびに研磨用組成物およびその製造方法
JP6985904B2 (ja) * 2017-11-28 2021-12-22 花王株式会社 研磨液組成物
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
WO2020021680A1 (ja) 2018-07-26 2020-01-30 日立化成株式会社 スラリ及び研磨方法
KR102576637B1 (ko) 2018-03-22 2023-09-07 가부시끼가이샤 레조낙 연마액, 연마액 세트 및 연마 방법
JP7056728B2 (ja) * 2018-03-22 2022-04-19 昭和電工マテリアルズ株式会社 研磨液、研磨液セット及び研磨方法
US20200095502A1 (en) * 2018-09-26 2020-03-26 Versum Materials Us, Llc High Oxide VS Nitride Selectivity, Low And Uniform Oxide Trench Dishing In Shallow Trench Isolation(STI) Chemical Mechanical Planarization Polishing(CMP)
US10763119B2 (en) * 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
US11680186B2 (en) 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
TW202405102A (zh) * 2022-07-29 2024-02-01 美商聖高拜陶器塑膠公司 用於進行材料移除操作之組成物及方法
CN116063929A (zh) * 2023-01-03 2023-05-05 广东粤港澳大湾区黄埔材料研究院 一种a向蓝宝石衬底抛光液及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019740A (ja) * 2004-06-30 2006-01-19 Dongjin Semichem Co Ltd 化学的機械的研磨スラリー組成物

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610705C3 (de) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Saure galvanische Kupferbäder
US5478882A (en) 1987-09-21 1995-12-26 The Geon Company Articles from reinforced plasticized polyvinyl halide resin
US5057560A (en) 1987-10-05 1991-10-15 Ciba-Geigy Corporation Thermotropic copolymer hydrogels from N,N-dimethylacrylamide and methoxy-ethyl (meth) acrylate
FR2694939B1 (fr) 1992-08-20 1994-12-23 Schlumberger Cie Dowell Polymères thermoviscosifiants, leur synthèse et leurs applications notamment dans l'industrie pétrolière.
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
CN1282226C (zh) * 1996-09-30 2006-10-25 日立化成工业株式会社 氧化铈研磨剂以及基板的研磨方法
US6068787A (en) 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6110396A (en) 1996-11-27 2000-08-29 International Business Machines Corporation Dual-valent rare earth additives to polishing slurries
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US6436835B1 (en) 1998-02-24 2002-08-20 Showa Denko K.K. Composition for polishing a semiconductor device and process for manufacturing a semiconductor device using the same
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6299659B1 (en) 1998-08-05 2001-10-09 Showa Denko K.K. Polishing material composition and polishing method for polishing LSI devices
KR100447552B1 (ko) 1999-03-18 2004-09-08 가부시끼가이샤 도시바 수계 분산체 및 반도체 장치의 제조에 사용하는 화학 기계연마용 수계 분산체 및 반도체 장치의 제조 방법 및 매립배선의 형성 방법
US7425581B2 (en) 1999-07-30 2008-09-16 Universiteit Utrecht Temperature sensitive polymers
US6468910B1 (en) 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
US6491843B1 (en) 1999-12-08 2002-12-10 Eastman Kodak Company Slurry for chemical mechanical polishing silicon dioxide
DE10006538C2 (de) 2000-02-15 2002-11-28 Forsch Pigmente Und Lacke E V Verfahren zur Beschichtung von Partikeln mit LCST-Polymeren
JP2001240850A (ja) 2000-02-29 2001-09-04 Sanyo Chem Ind Ltd 研磨用砥粒分散剤および研磨用スラリー
KR100378180B1 (ko) 2000-05-22 2003-03-29 삼성전자주식회사 화학기계적 연마 공정용 슬러리 및 이를 이용한 반도체소자의 제조방법
US7381279B2 (en) * 2000-06-14 2008-06-03 The Procter & Gamble Company Article for deionization of water
EP1197587B1 (en) 2000-10-13 2006-09-20 Shipley Co. L.L.C. Seed layer repair and electroplating bath
JP3768401B2 (ja) * 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
CN1746255B (zh) * 2001-02-20 2010-11-10 日立化成工业株式会社 抛光剂及基片的抛光方法
FR2824832B1 (fr) 2001-05-16 2005-05-27 Oreal Polymeres hydrosolubles a squelette hydrosoluble et a unites laterales a lcst, leur procede de preparation, compositions aqueuses les contenant, et leur utilisation dans le domaine cosmetique
DE10152993A1 (de) 2001-10-26 2003-05-08 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen mit hoher Selektivität
US6776810B1 (en) * 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US7011930B2 (en) 2002-03-15 2006-03-14 The Penn State Research Foundation Method for control of temperature-sensitivity of polymers in solution
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6645265B1 (en) 2002-07-19 2003-11-11 Saint-Gobain Ceramics And Plastics, Inc. Polishing formulations for SiO2-based substrates
DE10243438A1 (de) 2002-09-18 2004-03-25 Merck Patent Gmbh Oberflächenmodifizierte Effektpigmente
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
DE10254432A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
DE10254430A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
KR100539983B1 (ko) 2003-05-15 2006-01-10 학교법인 한양학원 Cmp용 세리아 연마제 및 그 제조 방법
WO2005014753A1 (en) 2003-07-09 2005-02-17 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
JP2005048125A (ja) * 2003-07-31 2005-02-24 Hitachi Chem Co Ltd Cmp研磨剤、研磨方法及び半導体装置の製造方法
KR100574225B1 (ko) 2003-10-10 2006-04-26 요업기술원 실리카에 세리아/실리카가 코팅된 화학적 기계적 연마용연마재 및 그 제조방법
DE10358092A1 (de) 2003-12-10 2005-07-14 Merck Patent Gmbh Oberflächenmodifizierte Partikel
KR100640600B1 (ko) 2003-12-12 2006-11-01 삼성전자주식회사 슬러리 조성물 및 이를 이용한 화학기계적연마공정를포함하는 반도체 소자의 제조방법
EP1566420A1 (en) * 2004-01-23 2005-08-24 JSR Corporation Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method
JP4420391B2 (ja) 2004-05-28 2010-02-24 三井金属鉱業株式会社 セリウム系研摩材
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
US7026441B2 (en) 2004-08-12 2006-04-11 Intel Corporation Thermoresponsive sensor comprising a polymer solution
US20070218811A1 (en) 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
US7504044B2 (en) * 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
TWI400365B (zh) 2004-11-12 2013-07-01 Enthone 微電子裝置上的銅電沈積
KR100674927B1 (ko) 2004-12-09 2007-01-26 삼성전자주식회사 Cmp용 슬러리 조성물 및 그 제조 방법과 이들을 이용한기판 연마 방법
KR20080011044A (ko) * 2006-07-28 2008-01-31 주식회사 엘지화학 산화세륨 분말, 그 제조방법, 및 이를 포함하는cmp슬러리
JP4131270B2 (ja) 2005-03-01 2008-08-13 トヨタ自動車株式会社 車輌の制駆動力制御装置
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
JP2008536302A (ja) * 2005-03-25 2008-09-04 デュポン エアー プロダクツ ナノマテリアルズ リミテッド ライアビリティ カンパニー 金属イオン酸化剤を含む、化学的、機械的研磨組成物において使用するジヒドロキシエノール化合物
US20060216935A1 (en) 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
JP2006339594A (ja) * 2005-06-06 2006-12-14 Seimi Chem Co Ltd 半導体用研磨剤
FR2889194A1 (fr) 2005-07-27 2007-02-02 Rhodia Chimie Sa Copolymere a blocs comprenant un bloc lcst presentant une temperature inferieur critique de solubilite, formulations comprenant le copolymere et utilisation pour vectoriser un ingredient actif
CN101356628B (zh) 2005-08-05 2012-01-04 高级技术材料公司 用于对金属膜进行平坦化的高通量化学机械抛光组合物
JP4025916B2 (ja) 2005-09-30 2007-12-26 富山県 感熱応答性高分子を用いた穴パタン付き膜を有するチップ及びその製造方法
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR101029929B1 (ko) 2005-11-11 2011-04-18 히다치 가세고교 가부시끼가이샤 산화규소용 연마제, 첨가액 및 연마 방법
DE112006003221T5 (de) * 2005-12-22 2008-10-23 Asahi Glass Co., Ltd. Glassubstrat für eine Maskenvorform und Polierverfahren zur Herstellung desselben
EP1994112B1 (en) * 2006-01-25 2018-09-19 LG Chem, Ltd. Cmp slurry and method for polishing semiconductor wafer using the same
CN101584028A (zh) * 2006-04-26 2009-11-18 Nxp股份有限公司 制造半导体器件的方法、由此获得的半导体器件和适合该方法中使用的浆料
CN101495592A (zh) * 2006-07-28 2009-07-29 Lg化学株式会社 二氧化铈粉末、制备该粉末的方法以及包含该粉末的cmp浆料
KR100829594B1 (ko) 2006-10-10 2008-05-14 삼성전자주식회사 화학 기계적 연마용 슬러리 조성물 및 이를 이용한 반도체메모리 소자의 제조 방법
WO2008052216A2 (en) 2006-10-27 2008-05-02 University Of South Florida Polymeric microgels for chemical mechanical planarization (cmp) processing
JP2008192930A (ja) * 2007-02-06 2008-08-21 Fujifilm Corp 金属研磨用組成物及びそれを用いた化学的機械的研磨方法
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
JP5140469B2 (ja) * 2007-09-12 2013-02-06 富士フイルム株式会社 金属用研磨液、及び化学的機械的研磨方法
KR101562416B1 (ko) * 2008-02-06 2015-10-21 제이에스알 가부시끼가이샤 화학 기계 연마용 수계 분산체 및 화학 기계 연마 방법
JP5375025B2 (ja) * 2008-02-27 2013-12-25 日立化成株式会社 研磨液
KR101202720B1 (ko) * 2008-02-29 2012-11-19 주식회사 엘지화학 화학적 기계적 연마용 수계 슬러리 조성물 및 화학적 기계적 연마 방법
JP2010028086A (ja) * 2008-06-16 2010-02-04 Hitachi Chem Co Ltd Cmp研磨剤、このcmp研磨剤を用いた研磨方法
JP2010087457A (ja) * 2008-09-05 2010-04-15 Hitachi Chem Co Ltd Cmp研磨剤及びこれを用いた研磨方法
US8366959B2 (en) * 2008-09-26 2013-02-05 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
KR101084676B1 (ko) * 2008-12-03 2011-11-22 주식회사 엘지화학 1차 화학적 기계적 연마용 슬러리 조성물 및 화학적 기계적 연마 방법
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法
US20130171824A1 (en) * 2010-09-08 2013-07-04 Basf Se Process for chemically mechanically polishing substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019740A (ja) * 2004-06-30 2006-01-19 Dongjin Semichem Co Ltd 化学的機械的研磨スラリー組成物

Also Published As

Publication number Publication date
EP2614123A1 (en) 2013-07-17
RU2013115235A (ru) 2014-10-20
WO2012032469A1 (en) 2012-03-15
EP2614123B1 (en) 2017-06-28
CN103189457B (zh) 2015-12-09
KR20130133177A (ko) 2013-12-06
EP2614123A4 (en) 2014-07-30
MY164859A (en) 2018-01-30
US20130161285A1 (en) 2013-06-27
JP2013540851A (ja) 2013-11-07
TWI538989B (zh) 2016-06-21
JP6196155B2 (ja) 2017-09-13
RU2577281C2 (ru) 2016-03-10
SG188460A1 (en) 2013-04-30
CN103189457A (zh) 2013-07-03
SG10201506220PA (en) 2015-09-29
TW201211223A (en) 2012-03-16
IL225085A (en) 2017-09-28

Similar Documents

Publication Publication Date Title
KR101907863B1 (ko) 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법
KR101894712B1 (ko) 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법
TWI538971B (zh) 用於電子、機械及光學裝置之化學機械研磨基材之水性研磨組成物及方法
EP2428541B1 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
JP6096670B2 (ja) 酸化ケイ素誘電体膜およびポリシリコン膜を含有する基板を化学的機械的に研磨するための水性研磨組成物および方法
TWI565770B (zh) 水性研磨組成物及用來化學機械研磨具有經圖案化或未經圖案化低k介電層之基板之方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant