JP5763924B2 - ダイアセンブリを電気的に相互接続して取り付けられたサポート - Google Patents

ダイアセンブリを電気的に相互接続して取り付けられたサポート Download PDF

Info

Publication number
JP5763924B2
JP5763924B2 JP2010550853A JP2010550853A JP5763924B2 JP 5763924 B2 JP5763924 B2 JP 5763924B2 JP 2010550853 A JP2010550853 A JP 2010550853A JP 2010550853 A JP2010550853 A JP 2010550853A JP 5763924 B2 JP5763924 B2 JP 5763924B2
Authority
JP
Japan
Prior art keywords
support
die
die assembly
interconnect
electrically conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010550853A
Other languages
English (en)
Other versions
JP2011514012A (ja
Inventor
マッケルリー,サイモン・ジェイ・エス
ロビンソン,マーク・イー
アンドリューズ,ローレンス・ダグラス,ジュニア
Original Assignee
インヴェンサス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インヴェンサス・コーポレーション filed Critical インヴェンサス・コーポレーション
Publication of JP2011514012A publication Critical patent/JP2011514012A/ja
Application granted granted Critical
Publication of JP5763924B2 publication Critical patent/JP5763924B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/76Apparatus for connecting with build-up interconnects
    • H01L2224/7615Means for depositing
    • H01L2224/76151Means for direct writing
    • H01L2224/76155Jetting means, e.g. ink jet
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • H01L2224/82101Forming a build-up interconnect by additive methods, e.g. direct writing
    • H01L2224/82102Forming a build-up interconnect by additive methods, e.g. direct writing using jetting, e.g. ink jet
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06551Conductive connections on the side of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01038Strontium [Sr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01067Holmium [Ho]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Combinations Of Printed Boards (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Wire Bonding (AREA)
  • Structures For Mounting Electric Components On Printed Circuit Boards (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)

Description

(関連出願についてのクロス・リファレンス)
[0001] 本出願は、Simon J. S. McElrea等の2008年3月12日に出願された米国仮出願第61/035,989号「Support mounted electrically interconnected die assembly(ダイアセンブリを電気的に相互接続して取り付けられたサポート)」から優先権主張を伴い、リファレンスとしてここに組み入れられる。
[0002] 本出願は、Simon J. S. McElrea等の米国出願第12/124,077号「Electrically interconnected stacked die assemblies(電気的に相互接続されスタックされたダイアセンブリ)」に関連する出願であり、並びに、Terrence Caskey等の米国出願第12/124,097号「Electrical interconnect formed by pulsed dispense(パルスディスペンスによって形成された電気的相互接続)」に関連する出願であり、各々2008年5月20日に出願され、リファレンスとしてここに組み入れられる。
[0003] 本発明は、集積回路チップの電気相互接続に関し、特に相互接続されてスタックされたダイをサポートに載置することに関する。
[0004] 典型的半導体ダイは、集積回路が形成される正面(「アクティブ」)側と、背側および側壁を備える。側壁は、フロントエッジで前方側と、バックエッジで背側と接する。半導体ダイは、概して、ダイが配備される装置の他の回路を有するダイ上の回路の電気相互接続のための前方側面にある相互接続パッド(ダイパッド)を備えている。設けられたようないくつかのダイは、ダイマージンおよびこれらが周辺パッドダイとしてゆだねられることが可能であるダイの一つ以上に沿った前方側面上のダイパッドを有する。設けられたような他のダイは、ダイの中心の近くの前方側で1または2の列に配置されたダイパッドを有し、中心パッドダイと称される。ダイは、ダイのマージンの一つ以上で、又はその近くで、相互接続パッドの適切な配列を提供するために「再ルーティング」され得る。
[0005] 半導体ダイは、例えば、パッケージ基板上のまたは、いくつかの手段のいずれかによって、リードフレーム上に、パッケージの他の回路と電気的に接続されることができる。この種のz-相互接続は、例えば、ワイヤーボンディングによって、またはフリップチップ相互接続によって、または、タブ相互接続によってなされることができる。パッケージ基板またはリードフレームは、パッケージが使用のために取り付けられる装置のプリント回路基板の顔路のような、回路(第2のレベル相互接続)の下にパッケージの電気接続を提供する。
[0006] パッケージ・サイズ(パッケージフットプリント、パッケージ厚)を最小化しながら、多くの方法は、集積回路チップパッケージの作動中の半導体回路の密度を増やすために提案された。より小さいフットプリントを有する高密度パッケージを作るためのあるアプローチでは、同じであるか異なる機能の2つ以上の半導体ダイが、パッケージ基板の上に次々にスタックされ取付けられる。
[0007] 米国特許第7,245,021号は、「vertical conducting elements(垂直結合要素)」によって、電気的に相互接続された複数の集積回路ダイを含む垂直にスタックされたダイアセンブリを記載する。ダイは、電気的に絶縁の絶縁保護コーティングでおおわれている。垂直結合要素は、ダイのエッジに隣接して適用される伝導性のポリマー材料で形成される。ダイは、ダイ周辺に電気接続位置に取り付けられるエッジを備え、垂直結合ポリマー要素に埋められる他のエッジを各々備える金属的結合要素を有する。米国特許第7,215,018号は、ボール格子配列(「BGA」)またはランド格子配列(「LGA」)基板に載置する類似の垂直スタックされたダイアセンブリを記載する。スタックされたダイアセンブリは、基板の表面上の電気接続ランドへの垂直結合要素(「垂直相互接続」)の電気で物理的な接続によって、BGAまたはLGA基板に載置される。電気接続は、ダイおよび基板のスタックの垂直相互接続の間で、伝導ポリマー「ドット」または「パドル」を用いることによりなされると言われている。該特許は、基板が、基板の底と、はんだボールまたはバンプ、若しくは、基板の底の「LGA接触」のようなプリント回路基板との間に電気的接続を作るための手段を含むことができる。
[0008] さまざまな実施形態では、本発明は、いなかる挿入されたダイまたは基板またはリードフレームまたはパッケージへの電気的な接続なしで、サポート上の接続サイトにスタックされたダイアセンブリの電気的な接続を特徴とする。スタックされたダイアセンブリの各々のダイは、周辺相互接続ターミナルを有し、スタックのダイが電気伝導性材料のライン又はトレースによって電気的に相互接続され、該電気伝導材料は、電気伝導性ポリマーまたは電気伝導性インクであってよく、それらは各ダイの相互接続ターミナルと接触する。相互接続材料は、硬化可能なポリマーを含むことができる。スタックされたダイアセンブリは、ダイスタック・アセンブリが電気的に接続されるサポートの表面に直接載置でき、若しくは、スタックされたダイアセンブリは、(追加的なダイまたは基板またはリードフレーム、または、パッケージのような)追加的なサポートの表層に載置でき、そして、サポート上の接続サイトに電気的に接続される。いくつかの実施形態では、追加的なサポートは、スタックされたダイアセンブリが電気的に接続されるサポート上の追加的な接続サイトに電気的に接続する半導体構造物を含む。
[0009] ある一般的な態様では、
本発明は、表面に電気的接続サイトを備えたサポートを特徴とし、スタックされたダイアセンブリが、その表面上に取り付けられ、1つ以上の接続サイトに電気的に接続され、スタックされたダイアセンブリの各ダイは、周辺相互接続ターミナルを備え、スタックのダイは、電気伝導材料のライン又はトレースにより電気的に相互接続され、該電気伝導材料は、電気伝導性ポリマーまたは電気伝導性インクであってよく、それぞれダイの相互接続ターミナルと接続する。
[0010] ある実施形態では、スタックのダイを相互接続する電気伝導材料のトレースはまた、サポート上の接続サイトにつながることができる。かかる実施形態では、ダイ上の相互接続ターミナルが支持回路上の対応する接続サイトに、最適に合わせられるように、ダイスタックは、サポートに関して、またはその上に配置され、次いで、相互接続材料は、ダイ上の相互接続ターミナルおよびサポート上の接続サイトにわたって適切なパターンで適用される。そして、かかる実施形態では、相互接続材料が、硬化可能なポリマーを含み、材料はダイおよび接続サイトに対して硬化可能な材料のアプリケーションに従って硬化できる。
[0011] 他の実施形態では、電気伝導材料の追加的な量は、ダイスタック相互接続とサポート上の接続場所との間に接触を作るために提供されることができる。かかるいくつかの実施形態では、ダイスタックアセンブリ相互接続は、サポート関連してまたはその上にアセンブリを置く前に完了され、かかる実施形態では、相互接続材料が治療可能なポリマーを含み、材料は、サポートに関してまたはその上でアセンブリを配置する前に、ダイに対して硬化可能材料の適用に続いて、硬化でき、または、部分的に硬化される。硬化した又は部分的に硬化した相互接続は、サポートのアセンブリの取付中のような、引き続いてのハンドリング中に、スタックアセンブリの機械的安定性を改善する。サポートに関して又はその上にアセンブリを位置決めする前に、追加材料は、サポートの接続サイトまたは、相互接続のサイトに接触させるように適用されうる。追加の材料は、ダイスタック相互接続の材料と同じものであっても良く、又は、異なったものであっても良く、追加の材料は、硬化可能ポリマーであってよく、最終的な硬化は、アセンブリの位置またはサポートに関する位置に続いて実行される。
[0012] 別の一般的な態様では、本発明は、表面に電気的な接続サイトを備えた第1のサポートと、第1のサポートに取り付けられた第2のサポートと、第2のサポートの表面に取り付けられ、第1のサポートの1又はそれ以上の接続サイトのに電気的に接続されたスタックされたダイアセンブリとを特徴とし、スタックされたダイアセンブリの各ダイは、周辺相互接続ターミナルを有し、スタックのダイは、電気伝導材料のライン又はトレースによって電気的に相互接続され、該電気伝導材料は、電気伝導性ポリマーまたは電気伝導性インクであって良く、それらは各ダイの上で相互接続ターミナルと接触する。
[0013] いくつかの実施形態では、追加的なサポートは、第1のサポートに電気的に接続される。かかる実施形態では、スタックされたダイユニットまたはアセンブリのダイの少なくともいくつかの電気接続が、追加的なサポートに電気的に接続されておらず、いくつかのかかる実施形態では、ダイユニットまたはアセンブリと相互接続パッドまたは追加的なサポート上のサイトとの間に直接的な電気接続はない。
[0014] ある実施形態では、追加的なサポートは、ダイアセンブリまたはユニットの機械的または構造上のサポートとして役立ち、電気または電子部品を含まない。例えば、それはダミーダイ、1枚の誘電材料、若しくは、高熱容量又は高熱伝導性を備えた材料のブロック又は熱散逸シートを含んで良い。
[0015] いくつかの実施形態では、追加的なサポートは、受動的な電気的特徴だけを含むことができる。追加的なサポートの受動的な電気的特徴は、第1のサポートの一つ以上のサイトに電気的に接続され、または、それらはダイユニットまたはアセンブリの相互接続の選択された数(全てより少ない)に接続され、または、それらはサポートの一つ以上のサイトと同様にダイユニットまたはアセンブリの相互接続の選択された数(全てより少ない)に接続され得る。かかる実施形態では、例えば、追加的なサポートは、接地平面を含むことができる。
[0016] ある実施形態では、追加的なサポートは、電子回路を含むことができ、一つ以上の半導体装置を含むことができる。例えば、追加的なサポートは、半導体パッケージであってもよく、または、追加的なダイであってもよい。いくつかのかかる実施形態では、追加的なサポートの一つ以上の接続サイトが、第1のサポートのサイトに電気的に接続され、若しくは、ダイユニットまたはアセンブリの相互接続の選択された数(全てより少ない)に接続され、若しくは、サポートの一つ以上のサイトと同様にダイユニットまたはアセンブリの相互接続の選択された数(全てより少ない)に接続され得る。
[0017] 特定の実施形態では、追加的なサポートは、電子回路を含み、第2のサポートおよびダイアセンブリまたはユニットの電子回路は、第1のサポートに別に接続される。すなわち、ダイアセンブリまたはユニットと第1のサポートとの間の電気的接続は、第2のサポートをバイパスし、それぞれの接続は、第1のサポート上のサイトのセットを分離するように作られうる。かかるいくつかの実施形態では、追加的なサポートは、ダイであり、ダイ上のパッドは、第1のサポート上のボンディングサイトの第2のセットに接続され、ダイアセンブリまたはユニットの相互接続は、第1のサポート上のボンディングサイトの第1のセットに接続される。他のかかる実施形態では、追加的なサポートは、半導体パッケージであり、パッケージのランドが第1のサポート上のボンディングパッドの第2のセットに接続され、ダイアセンブリまたはユニットの相互接続が、第1のサポートのボンディングサイトの第1のセットに接続される。
[0018] 本発明によるサポート上の接続サイトに対するダイの電気接続およびダイスタックの取付けは、はんだまたはワイヤ、ソケット、ピンまたは他のコネクタを必要としない。
[0019] いくつかの実施形態では、相互接続材料は、例えば電気伝導性エポキシのような電気伝導性ポリマーであり、または、電気伝導性インクである。ある実施形態では、電気伝導性ポリマーは、硬化可能なポリマーであって、段階において硬化可能であってよい。例えば、相互接続材料は電気伝導充填材を包含するマトリックスを含み、マトリックスは、硬化可能または設定可能材料であり、電気伝導性充填材は、例えば、マトリックスが設定され、硬化されるとき、材料はそれ自身が電気伝導性となるように、特定の形態となる。ある実施形態では、材料がエポキシで満たされた銀のような伝導性エポキシであり、満たされたエポキシは60乃至90%(より通常では80乃至85%)を有する。エポキシは、硬化された後に処理され、その結果、ある実施形態では、連続相互接続ストランド内で一連のドットの融合が生じる。
[0020] ある実施形態では、サポートに対するスタックの接続が、スタックのダイの相互接続と同じ動作でなされ、相互接続材料が、例えば、注射器又はニードルまたはノズルのようなアプリケーション・ツールを使用して適用される。材料は、全体的にダイパッドまたは相互接続ターミナルの方への堆積方向のツールを出て、ツールは仕事方向に面した表されたスタック表面の上に移動する。材料は、連続的な流れのツールから押出加工され、または、材料はツールから敵状に出る。ある実施形態では、材料は、液滴の噴射としてのツールを出て、スタック表面と、接触して融合し、コンタクトとなる。ある実施形態では、堆積方向は、一般にダイの側壁面と直角となり、他の実施形態では、堆積方向は、ダイの側壁面に対して垂直でないある角度である。接続される対応するターミナルの種々のダイ上の場所に依存して、ツールは一般に線形方向に、またはジグザクの方向において、仕事をするように移動できる。
[0021] ある実施形態では、複数の相互接続トレースは、単一の動作において形成され、かかる実施形態では、所定のスタックされたアセンブリ上の全ての相互接続トレースは、単一の動作(またはトレースの数より少しの多くの動作)で形成される。かかる例では、アプリケーション・ツールは、ダイエッジと全体的に平行な列に一緒に連続した多数のニードルまたはノズルを含む。
[0022] ある実施形態では、相互接続は、例えば(ノズルの適切なアレイを備えた)プリンタヘッドを使用して、若しくは、スクリーン印刷又はマスクを使用することにより、印刷することにより適用される。ある実施形態では、相互接続は、材料をパターニングするために、感光材および光露光手順を使用して形成される。例えば、材料は、例えば光重合有機ポリマーで満たされた金属のような、光学的に硬化な電気伝導材料であってよく、材料は、相互接続ターミナルまたはパッドを含む領域の上に全体的に適用され、次いで、所望のパターニングで光に晒され、硬化していない材料は除去される。
[0023] 別の実施形態では、電気的に相互接続されたダイスタックが、ダイスタック相互接続とサポート上の接続サイトとの間の相互接続材料の追加の小さな量を使用してサポートに接続され、追加的な少量の材料は、例えば相互接続材料のリザーバ内に浅くディッピングすることにより、又は、例えば、スクリーン印刷またはステンシル印刷によって移動オペレーションでダイスタック相互接続に適用される。
[0024] 更に別の実施形態では、電気的に相互接続されたダイスタックが、ダイスタック相互接続とサポート上の相互接続サイトとの間に追加的な少量の相互接続材料を使用してサポートに接続され、追加の少量の材料は、分配オペレーションによってサポート上の接続サイトに適用される。材料は、アプリケーションツールを使用して分配され、材料は、(例えば、制御時間及び制御レジューム下で)圧力分配を使用して、(例えば、制御時間及びピストン運動レジューム下で)ピストン分配を使用して、若しくは、(例えば、制御時間及びオーガー回転レジューム下で)オーガー分配を使用して装置の制御下でツールから出る。または、材料は、例えばジェットまたはアパーチャのアレイを用いて、パターニングされたプリントオペレーションによって、サポート上の接続サイトに適用されることができる。材料は、液滴によって、または連続ストリームで、分配されることができる。
[0025] 本発明によれば、スタックされたダイアセンブリは、電気伝導性ポリマーまたは電気伝導性インクを使用して、いかなるサポート上のいかなる電気接続サイト上にも、直接電気的に接続され得る。ある一般的なカテゴリでは、適切なサポートは、パターン化された電気的導体を一つ以上の層に運び込むいかなる誘電基板も含む。誘電基板は、自然のまたは合成有機または無機材料であってよく若しくはそれらを含んで良い。例えば、ガラスまたはセラミックまたはシリコン若しくは他の誘電シート又はフィルムに又はその上に形成される回路は、適当なサポートを構成する。他の適切なサポートは、プリント回路基板のような在来の回路基板を含み、それらは例えば、マザーボードまたはモジュール・ボードまたはドーターボードであってよい。
[0026] 他の一般的な態様では、本発明は、使用のためのデバイスのサポート上の回路と電気的に相互接続されるスタックされたダイアセンブリまたはスタックされたダイユニットを特徴とする。ある実施形態では、スタックされたダイアセンブリは、ダイの活性化側が下に横たわる回路に向かって面しているように相互接続され、別の実施形態では、スタックされたダイアセンブリは、ダイの背側が下に横たわる回路に向かって面しているように相互接続される。別の実施形態では、スタックの1以上のダイは、下に横たわる回路から離れる方向に面しており、一方、スタックの1以上のダイは、下に横たわる回路の方に向かって面する。更に別の実施形態では、ダイ又はダイのスタックは、ダイの面がサポートのダイマウント表面と平行でないように配向され、かかるいくつかの実施形態では、ダイの面は、サポートのダイマウント表面の面と垂直に配向され、若しくは、平行と垂直との間の他の角度に配向される。
[0027] ある実施形態では、ダイは、少なくともフロント表面および相互接続パッドが配置されるダイマージンに隣接した側壁の上に絶縁保護コーティングが施され、いくつかのかかる実施形態では、ダイは、ダイのフロント表面、バック表面、及び側壁表面の上に絶縁保護コーティングが施される。
[0028] 絶縁保護コーティングは、アセンブリ中にダイを保護し、それが接触させることができる電気伝導パーツからダイを電気的に絶縁するのに役立つ。ある実施形態では、絶縁保護コーティングの材料は、例えばp-キシレンのポリマーまたはそれの誘導剤のような有機ポリマー、例えば、パリレンCまたはパリレンN、若しくは、パリレンAまたはパリレンSRのようなpolyxylyleneポリマーを含む。ある実施形態では、絶縁保護コーティングは、例えば、気相成長又は液相成長によって、若しくは、固相成長により堆積されることにより形成される。
[0029] ある実施形態では、アンダーフィル(underfill)材料は、ダイスタックとサポートとの間で適用される。ある実施形態では、それは、ダイおよび支持アセンブリに機械的安定性を提供するために、堅いアンダーフィル材料を選択するために好適である。
[0030] 本発明によるアセンブリは、コンピュータ構築、電気通信装置および消費者向けおよび産業エレクトロニクスデバイスのために使うことができ得る。
[0031] 図1は、ダイアセンブリが、本発明によって電気的に接続されることができる一般的なサポートを例示している線図である。 [0032] 図2は、BGA基板に載置する4つのダイのスタックを示している部分断面図のスケッチである。 [0033] 図3Aは、さまざまなダイエッジ構成の例を示している断面図のスケッチである。 図3Bは、さまざまなダイエッジ構成の例を示している断面図のスケッチである。 図3Cは、さまざまなダイエッジ構成の例を示している断面図のスケッチである。 図3Dは、さまざまなダイエッジ構成の例を示している断面図のスケッチである。 図3Eは、さまざまなダイエッジ構成の例を示している断面図のスケッチである。 図3Fは、さまざまなダイエッジ構成の例を示している断面図のスケッチである。 [0034] 図4Aは、図3A乃至3Fに記載のダイエッジ構成を有する多くのダイを示している断面図のスケッチである。 図4Bは、図3A乃至3Fに記載のダイエッジ構成を有する多くのダイを示している断面図のスケッチである。 図4Cは、図3A乃至3Fに記載のダイエッジ構成を有する多くのダイを示している断面図のスケッチである。 図4Dは、図3A乃至3Fに記載のダイエッジ構成を有する多くのダイを示している断面図のスケッチである。 図4Eは、図3A乃至3Fに記載のダイエッジ構成を有する多くのダイを示している断面図のスケッチである。 図4Fは、図3A乃至3Fに記載のダイエッジ構成を有する多くのダイを示している断面図のスケッチである。 [0035] 図5Aは、それぞれのダイが絶縁保護コーティングにより被覆される図3A乃至3Fに記載のダイエッジ構成を有するダイのスタックを示す断面図である。 図5Bは、それぞれのダイが絶縁保護コーティングにより被覆される図3A乃至3Fに記載のダイエッジ構成を有するダイのスタックを示す断面図である。 図5Cは、それぞれのダイが絶縁保護コーティングにより被覆される図3A乃至3Fに記載のダイエッジ構成を有するダイのスタックを示す断面図である。 図5Dは、それぞれのダイが絶縁保護コーティングにより被覆される図3A乃至3Fに記載のダイエッジ構成を有するダイのスタックを示す断面図である。 図5Eは、それぞれのダイが絶縁保護コーティングにより被覆される図3A乃至3Fに記載のダイエッジ構成を有するダイのスタックを示す断面図である。 図5Fは、それぞれのダイが絶縁保護コーティングにより被覆される図3A乃至3Fに記載のダイエッジ構成を有するダイのスタックを示す断面図である。 [0036] 図6Aは、オフセット構成にスタックされたダイを例示する平面図である。 図6Bは、オフセット構成にスタックされたダイを例示する断面図である。 [0037] 図7Aは、本発明の実施形態によるサポートに取り付けられた図6A、6Bに記載の一般に構成されるダイスタックを例示する断面図である。 図7Bは、本発明の実施形態によるサポートに取り付けられた図6A、6Bに記載の一般に構成されるダイスタックを例示する断面図である。 図7Cは、本発明の実施形態によるサポートに取り付けられた図6A、6Bに記載の一般に構成されるダイスタックを例示する断面図である。 [0038] 図8は、ダイアセンブリが載置されることができ、本発明の他の実施形態によって、電気的に接続されることができる一般的な第1および第2のサポートを各々例示する線図である。 図9は、ダイアセンブリが載置されることができ、本発明の他の実施形態によって、電気的に接続されることができる一般的な第1および第2のサポートを各々例示する線図である。 [0039] 図10Aは、(第2の基板として役立つ)ダイに取り付けられ、本発明の実施形態による第1の基板に電気的に接続される(第2の基板として役立つ)ダイに取り付けられ、本発明の実施形態による第1の基板に電気的に接続されるオフセット構成のダイアセンブリを例示する平面図である。 図10Bは、(第2の基板として役立つ)ダイに取り付けられ、本発明の実施形態による第1の基板に電気的に接続される(第2の基板として役立つ)ダイに取り付けられ、本発明の実施形態による第1の基板に電気的に接続されるオフセット構成のダイアセンブリを例示する断面図である。
[0040] 本発明は、本発明の別の実施形態を例示する図面を参照することで更に詳細に記載される。図面は、本発明の特徴および他の特徴および構造に対するそれらの関係を示し、一定の比率ではない。プレゼンテーションの改良された明確さのために、本発明の実施形態を例示する図面では、他の図面に示される要素に対応する要素は、特にリナンバーされず、それらは既に全ての図面において識別可能である。また、特定の特徴のプレゼンテーションの明確のため、本発明の理解のために必要でないものは図中で示されない。記載におけるいくつかの点で、「上」、「下」、「上部」、「頂部」、「底部」などの相対的な位置の用語は、図の方向を参照して用いられ、かかる用語はデバイスの使用における方向を限定するものではない。
[0041] 本発明によれば、スタックされたダイアセンブリは、介在する基板またはリードフレームなしで、いかなるサポート上でもサイトを相互接続するように電気的に接続され、スタックにおけるダイの、および、サポートに対するダイスタックの電気的相互接続は、はんだまたは導線、ソケット、ピンまたは他のコネクタなしで電気伝導性ポリマーまたは電気伝導性インクの方法によってなされる。図1は、接続側12および裏側14を備えた一般的なサポート10の断面図を象徴的に示す。相互接続サイト16は、少なくともサポートの接続側に存在する。サポートの特性など他の事象に依存して、サポートが非常に厚いものから非常に薄いものまで変動するので、サポートの厚さは図には示しておらず、例えば、プリント回路基板が単一の誘電層によって分離された2つの金属層を備えた基板よりもより厚い厚さを備える。破線の矢印11および13によって示されたように、サポートは、より大きいかより小さい長さおよび幅寸法を有することができる。ダイスタックは、例えば、論理チップを含む他のダイ、他のダイスタック、他のパッケージデバイス又はコンポーネント、システム回路ボード、マザーボード、ドーターボード、モジュール・ボードなどを含む回路基板、および、リードフレームなどを含む、サポートの多種多様なカテゴリのアタッチメント側で相互接続サイトに直接接続されることができる。
[0042] 背景において上述した様に、米国特許第7,215,018号は、ボール格子配列(「BGA」)またはランド格子配列(「LGA」)基板に載置する垂直スタックされたダイアセンブリを記載する。スタックのダイは、電気的に相互接続され、スタックは、硬化可能電気伝導ポリマーを使用して基板に電気的に接続される。図2は、部分断面図において、BGA基板22に取り付けられた4つのダイ12、14、16、18のかかるスタック21を20で全体的に示す。この例では、各々のダイ(例えばダイ12)は、絶縁保護コーティング34により電気的に被覆され;コーティングは、相互接続ターミナル(例えば、オフ-ダイ・ターミナル129)の接続のためのパッドの領域をさらして、ダイパッド(例えば、パッド36)の上のコーティングの開口部(例えば、開口部35)を備えた、ダイの後方120、側壁および前方側をカバーする。
[0043] スタックの隣接したダイは、接着剤を使用しているその他のものの上に任意に取り付けられうる。(スタック手段のダイに関して用語「隣接する」とは、ダイが垂直に隣接して、ダイはまた、例えば、ウェーハで、または、ダイアレイで、または、共通のサポート上である構成で水平に隣接することができる。)ここで示される実施形態では、フィルム接着剤部分が採用される(例えば、隣接したダイ14と16との間33)。
[0044] ボンディングパッド228は、基板22のダイマウント表面224に配置される。ここに示した例では、ダイは、垂直に整列配置される(すなわち、一般にダイの正面または後部側と直角をなす)それぞれの相互接続ターミナル129、149、169、189を備えたもう一方の上に配置される。そして、示される実施形態では、ダイスタック21は、それぞれのボンディングパッド228の上に少なくとも部分的に整列配置されるそれぞれの相互接続ターミナルを備えた基板に載置される。はんだボール227は、ダイマウント側224の反対側に、基板225の側でさらされるランド226の配列に、リフロープロセスで取り付けられる。はんだボールのアレイは、例えば、リードフレームまたは、プリント回路基板上に使用するためにデバイスの下にある回路上で、アセンブリ20の第2のレベル相互接続を提供する。
[0045] ダイスタックは、接着剤を使用して基板に載置できる。ここで示される実施形態では、基板22に隣接するダイ18は、フィルム接着剤37を使用して基板22のダイマウント側224に添付される。明らかなように、図2で示す構成は、ダイスタックを形成し、次いで、ダイスタックを基板22に取り付け、あるいは、別の実施形態では、基板に連続的にダイを積み重ねることによって、すなわち(任意に、接着剤37を使用して)基板22にダイ18を載置することによって、ビルドアップ手法で作られることが可能であり、次いで、(任意に、接着剤33を使用して)ダイ18上にダイ16を取り付け、次いで、ダイ18等の上にダイ14を取り付け、次いで、連続オペレーションで基板上のボンディングパッドに適用されるのと同様に、ダイの上のターミナルに相互接続材料を適用する。
[0046] 図2は、相互接続の硬化に続いて、基板上のスタックされたダイアセンブリを示す。この例におけるアセンブリは、基板に載置される4つのダイのスタックを備え、ダイはお互いに、および、相互接続410によって基板回路(z-相互接続)に電気的に相互接続され、それは相互接続410が、相互接続ターミナル129、149、169、189と、基板22上のボンディングパッド228との間で電気的連続を提供する。
[0047] 図3A乃至3Fは、本発明のさまざまな実施形態による相互接続されたダイのさまざまなダイエッジ構成の例を示す。
[0048] 図3Aは、「オフ-ダイ(off-die)」相互接続を備えたダイを示す。ダイは、ダイの集積回路311が形成されるアクティブ側315およびダイ側壁319を備え、部分断面図で示される。オフダイ構成では、相互接続ターミナル322は、相互接続パッド(ダイパッド)313に結合される。ダイパッドは、提供されるようなダイの周縁ダイパッドであってよく、または、それは、ダイ回路の別ルートを生じるダイ周辺で、又はその近くであることができる。相互接続ターミナルは、例えば、(例えば、ワイヤーボンディングオペレーションにおいて形成される)ワイヤ、または、タブ、または、(例えば、リボンボンディングオペレーションで形成された)リボンであってよい。相互接続ターミナル322は、ダイエッジ319(それゆえ、「オフダイ(off-die)」ターミナル)を越えて表面上延びる。
[0049] 図3Bは、ダイパッド313上に堆積された電気伝導ポリマー材料のバンプまたは塊323を備えたダイを示す。ダイエッジの方へ伸びて、ダイエッジにまたは(図3Bに示される例のように)僅かにダイエッジ19を越えて伸びることができるように、塊は形成され、例えば、親指の形をしていてもよい。別の実施形態では、塊は、例えば図5Bに示されるように、パッドより上に全体的に形成される。伝導性のポリマー材料は、例えば、導電性エポキシのような硬化可能な伝導性のポリマーであってよい。
[0050] 図3Cは、ダイのアクティブ側315がダイ側壁319を満たすダイのマージンで又はその近くで、ダイのアクティブ側でまたはアクティブ側に形成される相互接続ターミナル324を備えたダイを示す。かかるマージナル相互接続ターミナルは、例えば、ダイパッドの拡張であってもよく、その結果、ダイ回路のルートを変更するようなダイマージンで、又はその近くで、あることができる。
[0051] 図3Dは、ダイ側壁319に形成される相互接続ターミナル326を有するダイを示す。相互接続ターミナルは、例えば、ダイパッドの拡張又は回路のルートを変更するために導電材料のパッドの取り付けによって、ダイの集積回路に接続されうる。
[0052] 前方側ダイエッジ(ダイのアクティブ側315を備えたダイ側壁319の交差点)で形成される面取り部周辺で、それが巻きつくように、図3Eは、相互接続ターミナルを備えたダイを示す。かかる巻きつけターミナルは、面取り部上のターミナル部分327、および、ダイ側壁上のターミナル部分328を有する。類似した巻きつけターミナルは、(ダイの背側317を備えたダイ側壁の交差点で)背側ダイエッジを越えて形成されることができ、そこには面取り部はない。
[0053] 図3Fは、(ダイのアクティブ側315を備えるダイ側壁が交差する)前方側ダイエッジで形成される面取り部の周り、更には、(ダイの背側317を備えるダイ側壁が交差する)背側ダイエッジで形成される面取り部の周りを取り巻く形成される相互接続ターミナルを有するダイを示す。かかる巻きつけるターミナルは、正面のエッジ面取り部上のターミナル部分327と、ダイ側壁上のターミナル部分328、および、バックエッジ面取り部上のターミナル部分329を有する。
[0054] 図4A乃至4Fは、(これらの実施形態では、スタックの3つのダイを各々有する)互いの上に配向され、それぞれ、図3A乃至3Fに記載のダイの配置を示す。ダイは、「垂直に」アレンジしたことを示され、すなわち、それらはダイの正面または背側の任意に割り当てられた「水平」面に関して、一般に垂直に整列配置され、したがって、例えば電気伝導エポキシのトレースを使用して、それらは垂直スタック表面で垂直に相互接続できる。
[0055] 図4Aおよび4Bで示したように、オフダイ(off-die)ターミナル322、323は、様々な方法によって、それらの接続を可能にするスタック表面で突設する。図4Cで示したように、ダイのアクティブ側のマージンの相互接続ターミナル324は、(ダイのうちの1つのアクティブ側がさらされ、相互接続のために直ちにアクセスできることを除く)それらの上に積み重ねられたダイのマージンの下にある。図4D、4Eおよび4Fで示したように、対照的に、相互接続ターミナル326は、ダイエッジ(図4D)に形成され、巻きつける相互接続ターミナル327、328(図4E)または327、328、329(図4F)は、相互接続のためのスタック面で示される。
[0056] 図5A乃至5Fは、それぞれ図4A乃至4Fのように、全体的に配置されるスタックされたダイを示す。ここで、各々のダイ52は、ダイパッドの上の開口部55を有する絶縁保護コーティング54でおおわれている。
[0057] 図5Aは、オフダイ(off-die)ターミナル522を有するダイの3-ダイスタックを示す。この例ではオフダイ相互接続ターミナルがダイの前方側より上に立つので、スタックの隣接したダイは、スペース53で区切られる。スペーサは隣接したダイを支持するためにスペース53に任意に配置されることができ、任意に、スペーサはスペースを満たして、ダイをお互いに添付する適切な厚みのフィルム接着剤であってもよい。オフダイ相互接続ターミナル522は、垂直に整列配置され、それらが、例えばアメリカ特許第7,245,021号に全体的に記載されているように、垂直方向の相互接続を使用して、直ちに相互接続できるように、矢印50により示されるスタック表面で示される。
[0058] 図5Bは、ダイパッド上へ堆積される電気伝導ポリマー材料の塊523を有するダイの3-ダイスタックを示す。ここで示される実施形態では、塊は露出されたダイパッドより上に全体的に形成されて、スタック面の方へ伸びない(比較する図3B、4Bと比較して)。図5Aの実施形態のように、塊はダイの前方側より上に位置し、スタックの隣接したダイがスペース53で区切られ、そして、任意に、スペーサは、隣接したダイを支持するためにスペース53に配置され、任意に、スペーサは、スペースを満たし、ダイをお互いに添付する適切な厚みのフィルム接着剤であってもよい。この例での塊523が、スタック面の方へ伸びないにもかかわらず、矢印50により示されるように、ダイエッジでダイの間のスペース内に侵入する相互接続材料の一部(この図では図示せず)へのアクセスができる。
[0059] ダイのアクティブ側がダイ側壁と接合するダイのマージンで、又はその近くで、図5Cは、ダイのアクティブ側で又はそこに形成された相互接続ターミナル524を備えたダイの3つのダイスタックを示す。かかる構成では、スタック(すなわちスタックの一番上のダイ以外の全てのダイ)の下のダイの相互接続ターミナル524は、それらの上に積み重ねられたダイによって、上に横たわられる。相互接続が、相互接続ターミナル524上で隣接したダイの間に押し入ると仮定するならば、かかるスタックのダイの相互接続は、スタック面で垂直方向の相互接続によってなされることができる。例えば、(電気伝導エポキシのような)適用される相互接続材料は、ダイのアクティブ側でマージンの相互接続ターミナルを備えた電気的接続部を作るために、隣接したダイの間のマージンでスペース内に流入する容量を有する。これは、侵入ができるようにするのに十分な隣接したダイ間の分離53を提供することを必要とする。図5Aに示される構成のように、スペーサは、隣接したダイを支持するようにスペース53に任意に配置されることができ、任意に、スペーサは、スペースを満たし、ダイを互いに添付するのに適切な厚みのフィルム接着剤であってもよい。
[0060] 各々の図5D、5Eおよび5Fは、ダイ側壁でまたはそこに形成され、矢印50で示されるようにスタック面に現れる相互接続ターミナルを有するダイの3つのダイスタックを示す。これらの実施形態では、絶縁保護コーティングは、少なくともダイの前方側および背側をカバーする。かかる構成は、ダイの全ての表面の全部を被覆して、次いで、下にある相互接続ターミナルをさらすためにコーティングを介して開口部を形成することによってなされることができ、或いは、かかる構成は、コーティングしてない相互接続ターミナルを残して、ダイの前表面および後ろの表面だけを被覆することによってなされることができる。相互接続ターミナルがスタック面で表され、相互接続ターミナルがダイの前方側より上に配置されていないので、スタックの隣接したダイの間にスペースは必要でない。したがって、図に例示するように、上方のダイの裏側のコーティングの表面は、スタックの隣接した下方のダイの正面の(「アクティブ」)側へのコーティングの表面に直接載せることができる。
[0061] 例えば図5D、5Eおよび5Fに示されたような構成のスタックされたダイは、スタック面に適用される相互接続を使用して、すなわち、スタックのダイの側壁に適用される相互接続によって、直ちに相互接続できる。本発明によれば、このように電気的に相互接続するスタックのダイは、はんだを使わず、挿入された基板またはリードフレームなしで直接的な接続によって種々のサポートの接続サイトで電気的に接続される。
[0062] 上で例示された実施形態では、ダイ側壁がダイのx-y平面の面と直角をなす想像上の平面と実質的に面一となるように垂直に整列配置され、ある点では該面がスタック面と呼ばれるように、ダイはスタックされる。相互接続材料が一般に液体状態で適用されるので、適用される相互接続材料は、ある程度は流動可能であり、又は、変形可能である。したがって、ダイ側壁の不整列は、相互接続の完全性を危うくせずに許容されることができる。
[0063] ある実施形態では、スタックが、相互接続する相互接続ターミナルを有するダイエッジで、段階状の構成を有するように、ダイが設計的にオフセットされる。相互接続ターミナルが、例えば図5Cに示すように構成されるダイに関しては、ダイ側壁で直接アクセスできない所で、特に有用であろう。オフセットダイを備えた相互に連結したダイスタックは、図6A、6Bの実施形態として示される。
[0064] 図6Aは、スタックされたダイの配置を平面図で示し、各ダイは、フロントダイエッジに隣接する1つのマージンに配置された相互接続ターミナルを備え、対応する相互接続ターミナルの上に形成された相互接続材料を示し、図6Bは、図6Aの6B−6Bで示された断面図でスタックを示す。スタックの一番上のダイを参照すると、例えば、相互接続ターミナルは、この例では、フロントダイエッジのそばの列に配置される。ダイは、この例では、絶縁保護コーティングによって、全ての表面(背面、前表面、側壁)に被覆され、相互接続ターミナルを露出する開口部を備える。スタックの連続しておおわれているダイは、これらの実施形態に記載のように、別のものの上に直接載せられ、上のダイの後ろ側のコーティングは、下に横たわるダイの前側のコーティングと接触することができる。
[0065] 図6Aおよび6Bに示される実施形態では、ダイは、全て同じサイズであり、パッドは一つだけのダイエッジに沿って配置される。したがって、スタックの連続したダイは、パッドがあるダイエッジに沿って直角の方向にだけ位置がずれる。
[0066] 各々のダイは、少なくとも、相互接続材料がパッドを備えた信頼性の高い電気的接点を作ることができるように下にあるダイのパッドの十分な領域をさらすのに十分な範囲だけ、位置がずれる必要があり、したがって、例えば図のdは必要以上に大きく示され、置換の拡張がなされる。原則として、少なくとも、パッドの領域の一部がダイの上に横たわることによって、カバーされてないままにされる場合、置換は充分である。実際には、パッドの覆われていない領域があまりに小さい場合、堆積された相互接続材料は、材料が硬化するとき、信頼性の高い電気接続を確立するのに十分大きな領域の上のパッドを接触させることができない。スタックのフットプリントを最小化するために、置換の範囲を最小化するのが好ましい。
[0067] 相互接続プロセスに関して、例えば、スタックはスタックのダイで最も低いものの背側で支持されることができ、相互接続材料は、接続されるパッドの上の軌道に沿って、並びに、それら間のダイ表面に適用されることができる。相互接続材料は、例えば注射器またはノズルのようなアプリケーション・ツールを使用して適用されることができる。材料は、相互接続ターミナルの方へ全体的に堆積方向にツールを出て、ツールは仕事方向のダイスタック表面に亘って移動する。
[0068] 相互接続材料の結果として生じるトレースを有するダイスタックは、図6A、6Bの62で例示の方法によって示される。この手順は、電気的相互接続が望まれる全てのパッドを接続しているトレースを堆積させるために繰り返され、堆積が続き、材料が硬化する。
[0069] 電気的に相互接続されたスタックされたダイのアセンブリは、更なる処理の前に任意にテストされることができる。完全なアセンブリは、サポートに載置され、スタックの相互接続されたダイは、相互接続を備えた固定された電気的接点を経由して下にある回路と接続される。例えば、プリント回路基板またはパッケージ基板を提供し、ダイスタック相互接続の端61または63と対応するようにダイ取り付け側でアレンジされたボンディングパッドを備える。図6Bを参照すると、例えば、相互接続62は、アセンブリの頂部(または、アセンブリが逆の場合、一番下)で、ダイのアクティブ側のマージンでエッジ63と、アセンブリの一番下(または、アセンブリが逆の場合、頂部)で、ダイの背側エッジでエッジ61とを有する。例えば、回路基板のようなサポートは、相互接続端61または63の配列に対応する方法で配置されるz-相互接続サイトを有して提供されることができる。アセンブリは、サポートの方に向いたダイのアクティブ側を備え、z-相互接続サイト(例えば、図7A参照)と接触して整列配置される相互接続端63を備え、または、例えば、z-相互接続サイト(例えば、図7B参照)と接触して相互接続端61を備えたサポートの方を向いたダイの背側を備えたサポート上に載置されることができる。別の実施形態では、例えば、アセンブリは、z-相互接続サイトと接触して整列された相互接続端61を備えたサポート(例えば、図7C参照)に垂直(または、他の任意の角度)で角度がつけられたダイと載置されることができる。
[0070] スタックされたダイのダイは、例えば図6A 、6Bに示す様に、全て同じサイズであってよいが、本発明による異なるサイズのダイは、伝導のポリマートレースによって、スタックされ、相互接続される。ある実施形態では、例えば、より小さいダイが、より大きいダイの上に積み重ねられ、そのようになっているより小さいダイについては、より大きいダイの少なくとも一つのダイエッジの近くのマージンのその周辺のダイパッドが、相互接続に利用できる。かかる配列では、ダイのスタックは、段階状のピラミッドとして断面に現れ、または、ダイは、第1のダイエッジに関して一方向へ位置がずれることができるが、他のダイエッジに垂直に相互接続できる。上方のダイは、一次元(すなわち、ダイはその他のものより長いが同じ幅であってよい)において、または両方の方向(すなわちあるダイより幅が広く、その他のより長い)において、下方のダイより大きくてもよい。例えば、10×10mmのダイは、より短いエッジで周辺のパッドを有する10×12mmのダイの上にスタックされることができる。かかる実施形態では、より大きいダイの狭い端でダイパッドが、より小さいダイの露出して隣接した2つのエッジであるように、より小さいダイはより大きいダイの上にある。または、例えば、より大きいダイの1以上(または4つ全て)のいかなるエッジにも沿った周辺のパッドが相互接続に利用できるように、10×10mmのダイは12×12mmのダイの上にスタックされ、位置づけられる。
[0071] 本発明によるスタックされたダイアセンブリは、所望のものと同程度多くのダイを備えていることができ、上限が機械の設計の問題としてあってはならない。図示の例は、各々のスタックの3つまたは4つか7つのダイを示すが、スタックの2つ以上のダイを有するアセンブリが企図される。特に、例えば、4または6または8または16か17のダイを有するアセンブリが、作られることができ、はんだなしで、挿入された基板またはサポート上の直接のリードフレームなしで取り付けられる。
[0072] 更に別の実施形態では、より大きいスタックされたダイアセンブリは、モジュラー設計のスタックされたダイユニットを構築することによって、作られ、次いで、モジュラーユニットをスタックする。
[0073] スタック可能なモジュールユニットは、丈夫で調査で精錬できる。例えば、特に、2つのダイユニットおよび4つのダイユニットは、モジュールを構成できる、これらのモジュールから、6つのダイアセンブリは、2つのダイユニットおよび4つのダイユニットを積み重ねることによって、作られることが可能であり、または、8つのダイアセンブリは、例えば、2つの4ダイユニットを積み重ねることによって、作られることが可能である。
[0074] 上記で例示したように、本発明によって造られたアセンブリ、または、積み重ねられたダイユニットは、サポートに直接取り付けられ、回路と電気的に相互接続される。例えば、スタックされたダイユニットは、パッケージ基板の回路側に載置され、全ての接続によって、基板上のボンディングパッドを備えたユニットの相互接続端の選択されたもの又は全ての接続によって、電気的に相互接続できる。基板は、例えば、BT基板またはセラミック基板、そして、例えば、可撓性基板のような種々のパッケージ基板であってよく、それらは例えば、1つ以上のパターニングされた金属薄膜および1以上の誘電層を備え、ラミネートされまたはビルドアップされた基板を含む。また、例えば、スタックされたダイユニットは、他のダイのアクティブ側に載置されることができ、ダイ上のパッドを備えたユニットの相互接続端の全てか又は選択されたものの接続によって、電気的に相互接続できる。または、例えば、ダイスタック・アセンブリは、リードフレームに載置することができ、リード上のサイトを備えたユニットの相互接続端の選択されたもの又は全ての接続によって電気的に相互接続される。または、例えば、ダイスタック・アセンブリは、(例えば、マザーボードのような)プリント回路基板に載置でき、プリント配線上のサイトを備えたユニットの相互接続端のうち選択されたものまたは全ての接続によって、電気的に相互接続できる。
[0075] 他の実施形態では、スタックされたダイユニットまたはアセンブリは、サポート上にサイトを備えたユニットの相互接続端のうちの選択された1つまたは全ての接続によって第1のサポートに電気的に接続され、追加のサポートに取り付けられる。追加的なサポートは、それ自身で第1のサポートに電気的に接続され得る。かかる実施形態では、スタックされたダイユニットまたはアセンブリのダイの少なくともいくつかの電気的接続が、追加的なサポートに電気的に接続しておらず、かかるいくつかの実施形態では、ダイユニットまたはアセンブリと相互接続パッド又は追加的なサポート上のサイトとの間に直接的な電気的接続はない。
[0076] 追加的なサポートは、電気的ではなく、または電子部品を有することができず、その結果、ダイアセンブリまたはユニットの機械的または構造上のサポートとしてシンプルに役立つ。例えば、ダミーダイを含むことができ、または、1シートの誘電材料、または、熱損失シート若しくは、高い熱容量または高い熱伝導率を有する材料のブロックを含むことができる。
[0077] 別の実施形態では、追加的なサポートは、受動的な電気的特徴だけを含むことができる。追加的なサポートの受動的な電気的機能は、第1のサポートの一つ以上のサイトに電気的に接続され、または、それらは、ダイユニットまたはアセンブリの相互接続の選択された数(全てより少ない数)に接続され、若しくは、それらは、サポートの一つ以上のサイトに関しては同様にダイユニットまたはアセンブリの相互接続の選択された数(全てより少ない数)に接続され得る。例えば、それは接地平面を含み得る。
[0078] 追加的なサポートは、電気回路を含むことができる。例えば、それはプリント回路基板、または、パッケージ基板、または、リードフレームを含むことができる。
[0079] 追加的なサポートは、電子回路を含むことができて、一つ以上の半導体デバイスを含むことができる。例えば、追加的なサポートが、半導体パッケージ、または、追加的なダイであってよい。かかるいくつかの実施形態では、追加的なサポートの一つ以上の接続サイトは、第1のサポートのサイトに、または、ダイユニットまたはアセンブリの相互接続の選択された数(全てよりは少ない)に、または、サポートの一つ以上のサイトと同様にサポートの一つ以上のサイトと同様に、ダイ装置またはアセンブリの相互接続の選択された数(全てよりは少ない)に電気的に接続される。
[0080] 特に、追加的なサポートが、電子回路、第2のサポートおよびダイアセンブリ又はユニットの電子回路を含む実施形態は、第1のサポートに別々に接続される。すなわち、ダイアセンブリまたはユニットと第1のサポートとの間の電気接続は、第2のサポートをバイパスし、それぞれの接続は、第1のサポート上のサイトのセットを分離するように作られる。かかるいくつかの実施形態では、追加的なサポートはダイであり、ダイ上のパッドが、第1のサポート上のボンディングサイトの第2のセットに接続され、ダイアセンブリまたはユニットの相互接続が、第1のサポート上のボンディングサイトの第1のセットに接続している。かかる他の実施形態では、追加的なサポートは、半導体パッケージであり、パッケージ上のランドは、第1のサポート上のボンディングパッドの第2のセットに結合され、ダイアセンブリまたはユニットの相互接続が、第1のサポート上のボンディングサイトの第1のセットに接続される。
[0081] 図8は、図1にて説明したように構成される一般的な第1のサポート10と全体的に平行してアレンジされ、ダイマウント側82および裏側84を備え、全体的な第2のサポート80を断面図において、シンボリックに図示する。図9は、図1にて説明したように構成される全体的な第1のサポート10に、全体的に垂直を配置され、ダイマウント側92および裏側94.を備え、全体的な第2のサポート90を断面図においてシンボリックに図示する。ダイアセンブリまたはユニットは、第2のサポート80または90のダイマウント側82または92に取り付けられ、ダイアセンブリが、全体的に上述したように、第1のサポートの接続側で相互接続サイト16に電気的に接続する。
[0082] 第2のサポートの厚みを図に示していないが、特に第2のサポートの特徴に依存して、サポートは非常に厚いものから非常に薄いものまで範囲を有する。たとえば、プリント回路基板は、単一の誘電層によって分離される2つの金属層を備えたラミネート基板より、若しくは、可撓性基板より大きな厚みを有し、例えば、パッケージはダイより大きな厚みを有することができる。図8および9において、破線の矢印11および13および矢印81によって提案されたように、第1および第2のサポートは、より大きいかより小さい長さおよび幅寸法を有することができる。
[0083] 図10Aおよび10Bは、追加的なサポートが、フリップチップ相互接続によって、第1のサポートに電気的に接続され、第1のサポートに取り付けられるダイである実施形態の例を図示し、ダイアセンブリが、第1のダイに接続され、フィリップチップダイに電気的に接続されないダイのオフセットスタックである。図を参照すると、第1の支持HOは、第1のボンディングサイト116の列および第2のボンディングサイト118のアレイが電気接続のためにさらされる回路面112を有する。この例では、追加的なサポート180は、一方の表面でパッド184の配列を有し、ダイパッド184に取り付けられたはんだバンプ186を有するダイ(「支持ダイ」)である。支持ダイが第1のサポートの上にダイダウン(die-down)方位に置かれるとき、バンプが対応する第2のボンディングサイトと整列配置するように、ダイパッド184のアレイおよび第2のボンディングサイト118のアレイが配置される。第1のボンディングサイト116の列は、支持ダイ180がそのように整列配置されるときに、アレンジされ、支持ダイ180のエッジは、第1のボンディングサイト116の列に隣接して平行であり、若しくは、(図に例示的に示したように)第1のボンディングサイト116の列の上に部分的に横たわっている。バンプ186は、嵌合されて、はんだバンプのリフロー(reflow)によってパッド118に電気的に接続される。ダイ180の背側182は、第1のサポートから離れた向きで、ダイアセンブリ60のための取付面を提供し、図6A、6Bに関して全体的に記載したようにこの例で構成される。ダイアセンブリの相互接続エッジは、ボンディングサイト116の列と並行の方位であるダイ180のエッジの近く(および、この例では、それからわずかに後ろにセットされた位置)にある。ダイ-to-ダイ電気相互接続は、電気相互接続62を対応するそれぞれの第1のボンディングサイト116に接続するために、162で図示するように延長される。
[0084] 図10A、10Bを参照すると、種々の追加的な実施形態が、企図される。例えば、追加的なサポートがダイであり、図のように、ダイダウン(die-down)に取り付けられたところで、ダイは、タブ相互接続によって、第1のサポートに電気的に接続される。そして、例えば、第2のサポートは、第1のサポートに面しているランドまたは露出したパッケージ・リードに差し向けられた、リードフレームパッケージであってよく、パッケージは、従来の表面取り付け方法で載置されることができ、若しくは、例えば、第2のサポートは、第1のサポートに面しているランド側に差し向けられたLGAまたはBGAパッケージであってもよく、並びに、パッケージは、在来のはんだボール格子または表面取り付け方法で電気的に接続され、載置されることができる。
[0085] そして、例えば、追加的なサポートがダイであるところで、支持ダイは、様々な機能性を有することができる。例えば、ダイアセンブリは、メモリダイのスタックであってもよく、支持ダイは、例えばアナログまたはデジタル信号処理のようなプロセッサ機能性を含むことができる。支持ダイは、例えば、ベース・バンド・コントローラICであってもよく、ダイアセンブリは、メモリダイのスタックを含むことができる。そして、例えば、追加的なサポートが、パッケージであるところで、ダイアセンブリは、メモリダイのスタックであってよく、例えば、追加的なパッケージは、一つ以上の信号処理機能性を含む様々な機能性のいずれかを有する一つ以上のダイを含むことができる。
[0086] あるいは、例えば、追加的な支持体はダイアップ(die-up)に取り付けられたダイ、すなわち、第1のサポートから離れたアクティブ側を備えたダイであってよく、第1のサポート上の対応するボンディングサイトに、一つ以上のダイマージンに沿ってダイパッドの列またはアレイを接続するワイヤーボンディングによって、第1のサポートに電気的に接続したダイであってもよい。好ましくは、支持ダイの一つ以上のエッジはダイパッドを有さず、支持パッドは、支持ダイのかかるパッドフリーエッジが、第1のサポートの第1のボンディングサイトの列と並列で、隣接する(または部分的に上に横たわっている)ようになっている。ダイユニットまたはアセンブリは、次いで、支持ダイの上方へ向いた表面の上に載置され、その結果、ダイアセンブリの相互接続エッジが、支持ダイのパッドフリーエッジの近くに(そして、僅かにそれからバックをセットすることができるように)ある。ダイ-to-ダイ電気相互接続は、全体的に上述したように、電気相互接続を第1のサポートの対応する第1のボンディングサイトに接続するために延長される。そして、例えば、第2のサポートは、第1のサポートから向きがそれているランドまたは露出したパッケージ・リードに差し向けられたLGAパッケージまたはリードフレームパッケージであってもよく、パッケージは、第1の基板の適当に位置決めされ、例えば、第1のサポートのボンディングサイトに支持パッケージのランドまたはワイヤボンディング接続リードによって第1のサポートに電気的に接続される。
[0087] 他の実施形態は、本発明の範囲内である。

Claims (27)

  1. 表面に電気的接続サイトを備えたサポートと、該表面上に取り付けられた1以上の接続サイトに電気的に接続されたスタックされたダイアセンブリとを有するデバイスであって、
    前記スタックされたダイアセンブリの各ダイが、周辺相互接続ターミナルを有し、スタックされたダイアセンブリの複数のダイが、電気伝導性ポリマーまたは電気伝導性インクの1つのライン又は1つのトレースによって電気的に相互接続され、電気伝導性ポリマー又は電気伝導性インクのライン又はトレースが、それぞれの電気的に相互接続された複数のダイの各々の周辺相互接続ターミナルを接続させ、前記サポートが、リードフレームからなることを特徴とするデバイス。
  2. スタックされたダイアセンブリのダイを相互接続する前記電気伝導材料のラインまたはトレースがまた、サポートの相互接続サイトに接続させることを特徴とする請求項1に記載のデバイス。
  3. 前記電気伝導材料の追加の量により、前記スタックのダイおよび前記サポートの接続サイトを相互接続する電気伝導材料のラインまたはトレースの間に接触をつくることを特徴とする請求項1に記載のデバイス。
  4. 電気伝導材料の追加の量が、電気伝導材料のライン又はトレースの材料と同じ材料からなることを特徴とする請求項3に記載のデバイス。
  5. 前記電気伝導材料の追加の量が、前記電気伝導材料のライン又はトレースの材料とは異なる材料からなることを特徴とする請求項3に記載のデバイス。
  6. 前記サポートが、有機誘電材料からなることを特徴とする請求項1に記載のデバイス。
  7. 前記サポートが、無機誘電材料からなることを特徴とする請求項1に記載のデバイス。
  8. 表面に電気的接続サイトを備えた第1のサポートと、第1のサポートに取り付けられ、電気的に接続された第2のサポートと、前記第2のサポートの表面上に取り付けられ、前記第1のサポートに1以上の接続サイトに電気的に接続されたスタックされたダイアセンブリと、を有するデバイスであって、
    前記スタックされたダイアセンブリの各ダイが、周辺相互接続ターミナルを有し、スタックされたダイアセンブリの複数のダイが、電気伝導性ポリマーまたは電気伝導性インクの1つのライン又は1つのトレースによって電気的に相互接続され、電気伝導性ポリマー又は電気伝導性インクのライン又はトレースが、それぞれの電気的に相互接続された複数のダイの各々の周辺相互接続ターミナルを接続させることを特徴とするデバイス。
  9. 前記スタックされたダイアセンブリのダイの複数の電気的相互接続が、前記第2のサポートに電気的に接続されないことを特徴とする請求項に記載のデバイス。
  10. 前記スタックされたダイアセンブリと前記第2のサポートの表面の接続サイトの間に直接的な電気的接続がないことを特徴とする請求項に記載のデバイス。
  11. 前記第2のサポートが、ダミーダイからなることを特徴とする請求項に記載のデバイス。
  12. 前記第2のサポートが、1枚の誘電材料からなることを特徴とする請求項に記載のデバイス。
  13. 前記第2のサポートが、熱散逸シートからなることを特徴とする請求項に記載のデバイス。
  14. 前記第2のサポートが、熱伝導性材料からなることを特徴とする請求項に記載のデバイス。
  15. 前記第2のサポートが、接地面からなることを特徴とする請求項に記載のデバイス。
  16. 前記第2のサポートが、電子回路を有することを特徴とする請求項に記載のデバイス。
  17. 前記第2のサポートが、半導体デバイスから成ることを特徴とする請求項16に記載のデバイス。
  18. 前記第2のサポートが半導体パッケージから成なることを特徴とする請求項16に記載のデバイス。
  19. 前記第2のサポートが追加的なダイであることを特徴とする請求項16に記載のデバイス。
  20. 前記第2のサポートの少なくとも一つの電気的な接続サイトが、前記第1のサポートの電気的接続サイトに電気的に接続していることを特徴とする請求項16に記載のデバイス。
  21. 前記第2のサポートの少なくとも一つの接続サイトが、前記ダイアセンブリの相互接続ターミナルの全てより少ないが、少なくとも1つに電気的に接続することを特徴とする請求項16に記載のデバイス。
  22. 前記第2のサポートの少なくとも一つの接続サイトが、前記スタックされたダイアセンブリの相互接続ターミナルの少なくとも1つであって、その全てよりは少ない当該相互接続ターミナルに電気的に接続
    前記第2のサポートの少なくとも1つの接続サイトが、1又はそれ以上の前記第1のサポートに電気的に接続される
    ことを特徴とする請求項16に記載のデバイス。
  23. 前記第2のサポートが、電子回路を含み、
    前記第2のサポートおよびスタックされたダイアセンブリの電子回路が第1のサポートに別々に接続されることを特徴とする請求項16に記載のデバイス。
  24. 前記第2のサポートが、支持ダイを有し、前記支持ダイのパッドが、第1のサポートのボンディングサイトの第2のセットに接続され、スタックされたダイアセンブリの相互接続ターミナルが、前記第1のサポートのボンディングサイトの第1のセットに接続されることを特徴とする請求項23に記載のデバイス。
  25. 前記第2のサポートが、サポート半導体パッケージを有し、
    サポートパッケージのランドが、第1のサポートのボンディングパッドの第2のセットに接続され、
    前記ダイアセンブリの相互接続ターミナルが、第1のサポート上のボンディングサイトの第1のセットに接続されることを特徴とする請求項23に記載のデバイス。
  26. スタックされたダイアセンブリを提供するステップであって、スタックされたダイアセンブリの各々のダイが、周辺相互接続ターミナルを備え、スタックされたダイアセンブリの複数のダイが、電気伝導性ポリマー又は電気伝導性インクの1つのラインまたは1つのトレースによって電気的に相互接続され、電気伝導性ポリマー又は電気伝導性インクのライン又はトレースが、それぞれの電気的に相互接続された複数のダイの各々の周辺相互接続ターミナルを接続させることを特徴とするスタックされたダイアセンブリを提供するステップと、
    表面に電気的接続サイトを備えたサポートを提供するステップであって、前記サポートがリードフレームからなることを特徴とする、提供するステップと、
    前記表面上にダイアセンブリを取り付け、前記ダイアセンブリの1以上の周辺相互接続ターミナルを前記サポートの1以上の接続サイトに電気的に接触させるステップと、
    を有する、デバイスを製造するための方法。
  27. スタックされたダイアセンブリを提供するステップであって、電気伝導性ポリマー又は電気伝導性インクのライン又はトレースが、それぞれの電気的に相互接続された複数のダイの各々の周辺相互接続ターミナルを接続させることを特徴とする、ダイアセンブリを提供するステップと、
    表面に電気的接続サイトを備える第1のサポートを提供するステップと、
    マウント表面を備えた第2のサポートを提供するステップであって、前記第2のサポートが前記第1のサポートに電気的に接続されることを特徴とする、第2のサポートを提供するステップと、
    前記第2のサポートのマウント表面に前記ダイアセンブリを取り付けるステップと、
    前記ダイアセンブリの1以上の相互接続ターミナルを前記第1のサポートの1以上の接続サイトに電気的に接続するステップと、
    を有するデバイスを製造するための方法。
JP2010550853A 2008-03-12 2009-03-12 ダイアセンブリを電気的に相互接続して取り付けられたサポート Expired - Fee Related JP5763924B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3598908P 2008-03-12 2008-03-12
US61/035,989 2008-03-12
PCT/US2009/036921 WO2009114670A2 (en) 2008-03-12 2009-03-12 Support mounted electrically interconnected die assembly

Publications (2)

Publication Number Publication Date
JP2011514012A JP2011514012A (ja) 2011-04-28
JP5763924B2 true JP5763924B2 (ja) 2015-08-12

Family

ID=41062125

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010550853A Expired - Fee Related JP5763924B2 (ja) 2008-03-12 2009-03-12 ダイアセンブリを電気的に相互接続して取り付けられたサポート

Country Status (6)

Country Link
US (3) US8178978B2 (ja)
JP (1) JP5763924B2 (ja)
KR (1) KR101554761B1 (ja)
CN (2) CN103325764B (ja)
TW (1) TWI515863B (ja)
WO (1) WO2009114670A2 (ja)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7215018B2 (en) 2004-04-13 2007-05-08 Vertical Circuits, Inc. Stacked die BGA or LGA component assembly
US7999383B2 (en) 2006-07-21 2011-08-16 Bae Systems Information And Electronic Systems Integration Inc. High speed, high density, low power die interconnect system
US8723332B2 (en) 2007-06-11 2014-05-13 Invensas Corporation Electrically interconnected stacked die assemblies
JP5049684B2 (ja) * 2007-07-20 2012-10-17 新光電気工業株式会社 積層型半導体装置及びその製造方法
WO2009035849A2 (en) 2007-09-10 2009-03-19 Vertical Circuits, Inc. Semiconductor die mount by conformal die coating
US8004071B2 (en) * 2007-12-27 2011-08-23 Kabushiki Kaisha Toshiba Semiconductor memory device
JP5763924B2 (ja) 2008-03-12 2015-08-12 インヴェンサス・コーポレーション ダイアセンブリを電気的に相互接続して取り付けられたサポート
US8829677B2 (en) 2010-10-14 2014-09-09 Invensas Corporation Semiconductor die having fine pitch electrical interconnects
US7863159B2 (en) 2008-06-19 2011-01-04 Vertical Circuits, Inc. Semiconductor die separation method
US9153517B2 (en) 2008-05-20 2015-10-06 Invensas Corporation Electrical connector between die pad and z-interconnect for stacked die assemblies
WO2014011232A1 (en) 2012-07-12 2014-01-16 Hsio Technologies, Llc Semiconductor socket with direct selective metalization
WO2011153298A1 (en) 2010-06-03 2011-12-08 Hsio Technologies, Llc Electrical connector insulator housing
WO2011139619A1 (en) 2010-04-26 2011-11-10 Hsio Technologies, Llc Semiconductor device package adapter
US9276336B2 (en) 2009-05-28 2016-03-01 Hsio Technologies, Llc Metalized pad to electrical contact interface
US8955215B2 (en) 2009-05-28 2015-02-17 Hsio Technologies, Llc High performance surface mount electrical interconnect
WO2010147934A1 (en) * 2009-06-16 2010-12-23 Hsio Technologies, Llc Semiconductor die terminal
US8928344B2 (en) 2009-06-02 2015-01-06 Hsio Technologies, Llc Compliant printed circuit socket diagnostic tool
US9930775B2 (en) 2009-06-02 2018-03-27 Hsio Technologies, Llc Copper pillar full metal via electrical circuit structure
US8988093B2 (en) 2009-06-02 2015-03-24 Hsio Technologies, Llc Bumped semiconductor wafer or die level electrical interconnect
US9603249B2 (en) 2009-06-02 2017-03-21 Hsio Technologies, Llc Direct metalization of electrical circuit structures
US9613841B2 (en) 2009-06-02 2017-04-04 Hsio Technologies, Llc Area array semiconductor device package interconnect structure with optional package-to-package or flexible circuit to package connection
US9276339B2 (en) 2009-06-02 2016-03-01 Hsio Technologies, Llc Electrical interconnect IC device socket
US9093767B2 (en) 2009-06-02 2015-07-28 Hsio Technologies, Llc High performance surface mount electrical interconnect
US8955216B2 (en) 2009-06-02 2015-02-17 Hsio Technologies, Llc Method of making a compliant printed circuit peripheral lead semiconductor package
US9318862B2 (en) 2009-06-02 2016-04-19 Hsio Technologies, Llc Method of making an electronic interconnect
US9277654B2 (en) 2009-06-02 2016-03-01 Hsio Technologies, Llc Composite polymer-metal electrical contacts
WO2010141295A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed flexible circuit
WO2012078493A1 (en) 2010-12-06 2012-06-14 Hsio Technologies, Llc Electrical interconnect ic device socket
US9196980B2 (en) 2009-06-02 2015-11-24 Hsio Technologies, Llc High performance surface mount electrical interconnect with external biased normal force loading
US9699906B2 (en) 2009-06-02 2017-07-04 Hsio Technologies, Llc Hybrid printed circuit assembly with low density main core and embedded high density circuit regions
WO2010141297A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit wafer level semiconductor package
WO2011002709A1 (en) 2009-06-29 2011-01-06 Hsio Technologies, Llc Compliant printed circuit semiconductor tester interface
US9232654B2 (en) 2009-06-02 2016-01-05 Hsio Technologies, Llc High performance electrical circuit structure
US8912812B2 (en) 2009-06-02 2014-12-16 Hsio Technologies, Llc Compliant printed circuit wafer probe diagnostic tool
WO2010141311A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit area array semiconductor device package
WO2010141296A1 (en) 2009-06-02 2010-12-09 Hsio Technologies, Llc Compliant printed circuit semiconductor package
US9231328B2 (en) 2009-06-02 2016-01-05 Hsio Technologies, Llc Resilient conductive electrical interconnect
WO2010147782A1 (en) 2009-06-16 2010-12-23 Hsio Technologies, Llc Simulated wirebond semiconductor package
US9320144B2 (en) 2009-06-17 2016-04-19 Hsio Technologies, Llc Method of forming a semiconductor socket
KR101715426B1 (ko) 2009-06-26 2017-03-10 인벤사스 코포레이션 지그재그 구조로 적층된 다이용 전기 인터커넥트
US8984748B2 (en) 2009-06-29 2015-03-24 Hsio Technologies, Llc Singulated semiconductor device separable electrical interconnect
US8664748B2 (en) * 2009-08-17 2014-03-04 Mosaid Technologies Incorporated Package-level integrated circuit connection without top metal pads or bonding wire
KR101563630B1 (ko) * 2009-09-17 2015-10-28 에스케이하이닉스 주식회사 반도체 패키지
US9147583B2 (en) 2009-10-27 2015-09-29 Invensas Corporation Selective die electrical insulation by additive process
TWI544604B (zh) 2009-11-04 2016-08-01 英維瑟斯公司 具有降低應力電互連的堆疊晶粒總成
KR101096042B1 (ko) * 2010-03-18 2011-12-19 주식회사 하이닉스반도체 반도체 패키지 및 그 제조방법
US10159154B2 (en) 2010-06-03 2018-12-18 Hsio Technologies, Llc Fusion bonded liquid crystal polymer circuit structure
US9350093B2 (en) 2010-06-03 2016-05-24 Hsio Technologies, Llc Selective metalization of electrical connector or socket housing
US9689897B2 (en) 2010-06-03 2017-06-27 Hsio Technologies, Llc Performance enhanced semiconductor socket
US8283766B2 (en) * 2010-09-02 2012-10-09 Oracle America, Inc Ramp-stack chip package with static bends
US8541262B2 (en) 2010-09-02 2013-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Die edge contacts for semiconductor devices
TWI411090B (zh) * 2010-11-05 2013-10-01 矽品精密工業股份有限公司 多晶片堆疊封裝結構
CN102468278B (zh) * 2010-11-15 2014-02-12 矽品精密工业股份有限公司 多芯片堆栈封装结构
TWI426593B (zh) * 2010-11-18 2014-02-11 矽品精密工業股份有限公司 用於多晶片堆疊封裝之晶片及其堆疊封裝結構
US8587088B2 (en) 2011-02-17 2013-11-19 Apple Inc. Side-mounted controller and methods for making the same
US8966747B2 (en) 2011-05-11 2015-03-03 Vlt, Inc. Method of forming an electrical contact
KR20120135626A (ko) * 2011-06-07 2012-12-17 삼성전자주식회사 반도체 칩 패키지의 제조 방법
KR101917331B1 (ko) * 2012-02-08 2018-11-13 삼성전자주식회사 반도체 패키지 및 이를 제조하는 방법
US20130234330A1 (en) * 2012-03-08 2013-09-12 Infineon Technologies Ag Semiconductor Packages and Methods of Formation Thereof
TWI467731B (zh) * 2012-05-03 2015-01-01 矽品精密工業股份有限公司 半導體封裝件及其製法
US9082632B2 (en) 2012-05-10 2015-07-14 Oracle International Corporation Ramp-stack chip package with variable chip spacing
US9761520B2 (en) 2012-07-10 2017-09-12 Hsio Technologies, Llc Method of making an electrical connector having electrodeposited terminals
US9899339B2 (en) * 2012-11-05 2018-02-20 Texas Instruments Incorporated Discrete device mounted on substrate
KR20140109134A (ko) * 2013-03-05 2014-09-15 삼성전자주식회사 멀티-채널을 갖는 반도체 패키지 및 관련된 전자 장치
US10667410B2 (en) 2013-07-11 2020-05-26 Hsio Technologies, Llc Method of making a fusion bonded circuit structure
US10506722B2 (en) 2013-07-11 2019-12-10 Hsio Technologies, Llc Fusion bonded liquid crystal polymer electrical circuit structure
TWI569403B (zh) * 2014-05-30 2017-02-01 旺宏電子股份有限公司 包含多晶片疊層的三維多晶片封裝
CN104485291B (zh) * 2014-12-23 2018-06-05 通富微电子股份有限公司 一种半导体叠层封装方法
US9755335B2 (en) 2015-03-18 2017-09-05 Hsio Technologies, Llc Low profile electrical interconnect with fusion bonded contact retention and solder wick reduction
US9490195B1 (en) 2015-07-17 2016-11-08 Invensas Corporation Wafer-level flipped die stacks with leadframes or metal foil interconnects
US9825002B2 (en) 2015-07-17 2017-11-21 Invensas Corporation Flipped die stack
US9871019B2 (en) 2015-07-17 2018-01-16 Invensas Corporation Flipped die stack assemblies with leadframe interconnects
US9508691B1 (en) 2015-12-16 2016-11-29 Invensas Corporation Flipped die stacks with multiple rows of leadframe interconnects
TWI604591B (zh) * 2015-12-23 2017-11-01 力成科技股份有限公司 薄型扇出式多晶片堆疊封裝構造及其製造方法
US10566310B2 (en) 2016-04-11 2020-02-18 Invensas Corporation Microelectronic packages having stacked die and wire bond interconnects
US9595511B1 (en) 2016-05-12 2017-03-14 Invensas Corporation Microelectronic packages and assemblies with improved flyby signaling operation
US9728524B1 (en) 2016-06-30 2017-08-08 Invensas Corporation Enhanced density assembly having microelectronic packages mounted at substantial angle to board
KR20180004413A (ko) 2016-07-04 2018-01-12 삼성전자주식회사 반도체 패키지 및 이의 제조 방법
KR102579877B1 (ko) * 2016-11-22 2023-09-18 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US10199354B2 (en) 2016-12-20 2019-02-05 Intel Corporation Die sidewall interconnects for 3D chip assemblies
US11031341B2 (en) * 2017-03-29 2021-06-08 Intel Corporation Side mounted interconnect bridges
KR20180130043A (ko) * 2017-05-25 2018-12-06 에스케이하이닉스 주식회사 칩 스택들을 가지는 반도체 패키지
KR102507961B1 (ko) * 2018-06-05 2023-03-09 파크 테크-파카징 테크놀로지이스 게엠베하 반도체 칩 스택 배열체 및 이러한 반도체 칩 스택 배열체를 제조하기 위한 반도체 칩
US11145623B2 (en) * 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
CN110349933A (zh) * 2019-07-23 2019-10-18 上海先方半导体有限公司 一种晶圆键合堆叠芯片的封装结构及制备方法
US11024604B2 (en) * 2019-08-10 2021-06-01 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor devices and methods of manufacturing semiconductor devices
US11171109B2 (en) * 2019-09-23 2021-11-09 Micron Technology, Inc. Techniques for forming semiconductor device packages and related packages, intermediate products, and methods
US11309301B2 (en) 2020-05-28 2022-04-19 Sandisk Technologies Llc Stacked die assembly including double-sided inter-die bonding connections and methods of forming the same
US11335671B2 (en) * 2020-05-28 2022-05-17 Sandisk Technologies Llc Stacked die assembly including double-sided inter-die bonding connections and methods of forming the same
CN117650133A (zh) * 2022-08-10 2024-03-05 长鑫存储技术有限公司 半导体结构和半导体结构的制造方法
US11804413B1 (en) * 2022-08-29 2023-10-31 Intel Corporation Product design for test to enable electrical non-destructive test for measuring multi-chip interconnect defects

Family Cites Families (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53147968A (en) 1977-05-30 1978-12-23 Hitachi Ltd Thick film circuit board
US4323914A (en) 1979-02-01 1982-04-06 International Business Machines Corporation Heat transfer structure for integrated circuit package
US4363076A (en) 1980-12-29 1982-12-07 Honeywell Information Systems Inc. Integrated circuit package
US4500905A (en) 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
JPS6149432A (ja) 1984-08-18 1986-03-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5138438A (en) 1987-06-24 1992-08-11 Akita Electronics Co. Ltd. Lead connections means for stacked tab packaged IC chips
JPH063819B2 (ja) 1989-04-17 1994-01-12 セイコーエプソン株式会社 半導体装置の実装構造および実装方法
US5200362A (en) * 1989-09-06 1993-04-06 Motorola, Inc. Method of attaching conductive traces to an encapsulated semiconductor die using a removable transfer film
US5334872A (en) 1990-01-29 1994-08-02 Mitsubishi Denki Kabushiki Kaisha Encapsulated semiconductor device having a hanging heat spreading plate electrically insulated from the die pad
US5311401A (en) * 1991-07-09 1994-05-10 Hughes Aircraft Company Stacked chip assembly and manufacturing method therefor
US5218234A (en) 1991-12-23 1993-06-08 Motorola, Inc. Semiconductor device with controlled spread polymeric underfill
US5331591A (en) 1993-02-01 1994-07-19 At&T Bell Laboratories Electronic module including a programmable memory
FR2704690B1 (fr) 1993-04-27 1995-06-23 Thomson Csf Procédé d'encapsulation de pastilles semi-conductrices, dispositif obtenu par ce procédé et application à l'interconnexion de pastilles en trois dimensions.
IL106892A0 (en) 1993-09-02 1993-12-28 Pierre Badehi Methods and apparatus for producing integrated circuit devices
US7073254B2 (en) 1993-11-16 2006-07-11 Formfactor, Inc. Method for mounting a plurality of spring contact elements
US5502333A (en) 1994-03-30 1996-03-26 International Business Machines Corporation Semiconductor stack structures and fabrication/sparing methods utilizing programmable spare circuit
US6255726B1 (en) 1994-06-23 2001-07-03 Cubic Memory, Inc. Vertical interconnect process for silicon segments with dielectric isolation
US5698895A (en) * 1994-06-23 1997-12-16 Cubic Memory, Inc. Silicon segment programming method and apparatus
US5891761A (en) * 1994-06-23 1999-04-06 Cubic Memory, Inc. Method for forming vertical interconnect process for silicon segments with thermally conductive epoxy preform
US5675180A (en) 1994-06-23 1997-10-07 Cubic Memory, Inc. Vertical interconnect process for silicon segments
US6228686B1 (en) 1995-09-18 2001-05-08 Tessera, Inc. Method of fabricating a microelectronic assembly using sheets with gaps to define lead regions
US5434745A (en) * 1994-07-26 1995-07-18 White Microelectronics Div. Of Bowmar Instrument Corp. Stacked silicon die carrier assembly
JP3233535B2 (ja) 1994-08-15 2001-11-26 株式会社東芝 半導体装置及びその製造方法
US5616953A (en) * 1994-09-01 1997-04-01 Micron Technology, Inc. Lead frame surface finish enhancement
US5619476A (en) 1994-10-21 1997-04-08 The Board Of Trustees Of The Leland Stanford Jr. Univ. Electrostatic ultrasonic transducer
US5466634A (en) 1994-12-20 1995-11-14 International Business Machines Corporation Electronic modules with interconnected surface metallization layers and fabrication methods therefore
DE69621983T2 (de) 1995-04-07 2002-11-21 Shinko Electric Ind Co Struktur und Verfahren zur Montage eines Halbleiterchips
US5721151A (en) 1995-06-07 1998-02-24 Lsi Logic Corporation Method of fabricating a gate array integrated circuit including interconnectable macro-arrays
US5648684A (en) 1995-07-26 1997-07-15 International Business Machines Corporation Endcap chip with conductive, monolithic L-connect for multichip stack
US5691248A (en) 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
US5538758A (en) 1995-10-27 1996-07-23 Specialty Coating Systems, Inc. Method and apparatus for the deposition of parylene AF4 onto semiconductor wafers
US6861290B1 (en) * 1995-12-19 2005-03-01 Micron Technology, Inc. Flip-chip adaptor package for bare die
JP3527350B2 (ja) 1996-02-01 2004-05-17 株式会社ルネサステクノロジ 半導体装置
US7166495B2 (en) * 1996-02-20 2007-01-23 Micron Technology, Inc. Method of fabricating a multi-die semiconductor package assembly
US5880530A (en) 1996-03-29 1999-03-09 Intel Corporation Multiregion solder interconnection structure
US6784023B2 (en) 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
JP3685585B2 (ja) 1996-08-20 2005-08-17 三星電子株式会社 半導体のパッケージ構造
US6034438A (en) * 1996-10-18 2000-03-07 The Regents Of The University Of California L-connect routing of die surface pads to the die edge for stacking in a 3D array
US6962829B2 (en) 1996-10-31 2005-11-08 Amkor Technology, Inc. Method of making near chip size integrated circuit package
KR100447035B1 (ko) * 1996-11-21 2004-09-07 가부시키가이샤 히타치세이사쿠쇼 반도체 장치의 제조방법
US5910687A (en) * 1997-01-24 1999-06-08 Chipscale, Inc. Wafer fabrication of die-bottom contacts for electronic devices
JP3779789B2 (ja) 1997-01-31 2006-05-31 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2980046B2 (ja) 1997-02-03 1999-11-22 日本電気株式会社 半導体装置の実装構造および実装方法
US5879965A (en) * 1997-06-19 1999-03-09 Micron Technology, Inc. Plastic lead frames for semiconductor devices, packages including same, and methods of fabrication
US6271598B1 (en) 1997-07-29 2001-08-07 Cubic Memory, Inc. Conductive epoxy flip-chip on chip
KR100593567B1 (ko) 1997-08-21 2006-06-28 큐빅 메모리, 인코포레이티드 유전체 절연부를 갖는 실리콘 세그먼트용 수직 상호접속 프로세스
US5888850A (en) 1997-09-29 1999-03-30 International Business Machines Corporation Method for providing a protective coating and electronic package utilizing same
US6441487B2 (en) 1997-10-20 2002-08-27 Flip Chip Technologies, L.L.C. Chip scale package using large ductile solder balls
US6138349A (en) 1997-12-18 2000-10-31 Vlt Corporation Protective coating for an electronic device
US6624505B2 (en) 1998-02-06 2003-09-23 Shellcase, Ltd. Packaged integrated circuits and methods of producing thereof
JP3891678B2 (ja) * 1998-03-11 2007-03-14 松下電器産業株式会社 半導体装置
US6315856B1 (en) 1998-03-19 2001-11-13 Kabushiki Kaisha Toshiba Method of mounting electronic component
DE19833713C1 (de) 1998-07-27 2000-05-04 Siemens Ag Verfahren zur Herstellung eines Verbundkörpers aus wenigstens zwei integrierten Schaltungen
JP3516592B2 (ja) 1998-08-18 2004-04-05 沖電気工業株式会社 半導体装置およびその製造方法
US6153929A (en) * 1998-08-21 2000-11-28 Micron Technology, Inc. Low profile multi-IC package connector
US6084297A (en) * 1998-09-03 2000-07-04 Micron Technology, Inc. Cavity ball grid array apparatus
US6175158B1 (en) 1998-09-08 2001-01-16 Lucent Technologies Inc. Interposer for recessed flip-chip package
US6303977B1 (en) 1998-12-03 2001-10-16 Texas Instruments Incorporated Fully hermetic semiconductor chip, including sealed edge sides
US6297657B1 (en) 1999-01-11 2001-10-02 Wentworth Laboratories, Inc. Temperature compensated vertical pin probing device
JP2000269411A (ja) * 1999-03-17 2000-09-29 Shinko Electric Ind Co Ltd 半導体装置及びその製造方法
EP1041624A1 (en) 1999-04-02 2000-10-04 Interuniversitair Microelektronica Centrum Vzw Method of transferring ultra-thin substrates and application of the method to the manufacture of a multilayer thin film device
US6326689B1 (en) * 1999-07-26 2001-12-04 Stmicroelectronics, Inc. Backside contact for touchchip
US6338980B1 (en) 1999-08-13 2002-01-15 Citizen Watch Co., Ltd. Method for manufacturing chip-scale package and manufacturing IC chip
KR100533673B1 (ko) 1999-09-03 2005-12-05 세이코 엡슨 가부시키가이샤 반도체 장치 및 그 제조 방법, 회로 기판 및 전자 기기
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6376904B1 (en) 1999-12-23 2002-04-23 Rambus Inc. Redistributed bond pads in stacked integrated circuit die package
US6621155B1 (en) * 1999-12-23 2003-09-16 Rambus Inc. Integrated circuit device having stacked dies and impedance balanced transmission lines
JP2001183415A (ja) 1999-12-28 2001-07-06 Molex Inc ベアチップ用icソケット
JP3879351B2 (ja) 2000-01-27 2007-02-14 セイコーエプソン株式会社 半導体チップの製造方法
DE10004941A1 (de) * 2000-02-06 2001-08-09 Reimer Offen Temperierter Probennehmer für Flüssigkeiten
JP2001223323A (ja) * 2000-02-10 2001-08-17 Mitsubishi Electric Corp 半導体装置
CA2399842C (en) 2000-03-02 2006-11-14 Microchips, Inc. Microfabricated devices for the storage and selective exposure of chemicals and devices
US6335224B1 (en) 2000-05-16 2002-01-01 Sandia Corporation Protection of microelectronic devices during packaging
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
US6956283B1 (en) 2000-05-16 2005-10-18 Peterson Kenneth A Encapsulants for protecting MEMS devices during post-packaging release etch
US6717245B1 (en) * 2000-06-02 2004-04-06 Micron Technology, Inc. Chip scale packages performed by wafer level processing
WO2001097277A1 (fr) 2000-06-16 2001-12-20 Matsushita Electric Industrial Co., Ltd. Procede d'encapsulation de pieces electroniques et une telle encapsulation
US6525413B1 (en) * 2000-07-12 2003-02-25 Micron Technology, Inc. Die to die connection method and assemblies and packages including dice so connected
JP4361670B2 (ja) 2000-08-02 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体素子積層体、半導体素子積層体の製造方法、及び半導体装置
US6593648B2 (en) 2000-08-31 2003-07-15 Seiko Epson Corporation Semiconductor device and method of making the same, circuit board and electronic equipment
JP3405456B2 (ja) 2000-09-11 2003-05-12 沖電気工業株式会社 半導体装置,半導体装置の製造方法,スタック型半導体装置及びスタック型半導体装置の製造方法
SG97938A1 (en) * 2000-09-21 2003-08-20 Micron Technology Inc Method to prevent die attach adhesive contamination in stacked chips
TW475244B (en) 2000-09-29 2002-02-01 Ind Tech Res Inst Stacked type module packaging structure and the generation method thereof
US6580165B1 (en) 2000-11-16 2003-06-17 Fairchild Semiconductor Corporation Flip chip with solder pre-plated leadframe including locating holes
DE10103186B4 (de) 2001-01-24 2007-01-18 Infineon Technologies Ag Verfahren zur Herstellung eines elektronischen Bauteils mit einem Halbleiter-Chip
US20020100600A1 (en) * 2001-01-26 2002-08-01 Albert Douglas M. Stackable microcircuit layer formed from a plastic encapsulated microcircuit and method of making the same
JP2002305286A (ja) 2001-02-01 2002-10-18 Mitsubishi Electric Corp 半導体モジュールおよび電子部品
US6910268B2 (en) * 2001-03-27 2005-06-28 Formfactor, Inc. Method for fabricating an IC interconnect system including an in-street integrated circuit wafer via
US7115986B2 (en) 2001-05-02 2006-10-03 Micron Technology, Inc. Flexible ball grid array chip scale packages
US6973718B2 (en) 2001-05-30 2005-12-13 Microchips, Inc. Methods for conformal coating and sealing microchip reservoir devices
JP2003007962A (ja) 2001-06-19 2003-01-10 Toshiba Corp 半導体積層モジュール
US6900528B2 (en) * 2001-06-21 2005-05-31 Micron Technology, Inc. Stacked mass storage flash memory package
US20030006493A1 (en) 2001-07-04 2003-01-09 Matsushita Electric Industrial Co., Ltd. Semiconductor device and manufacturing method thereof
JP2003023138A (ja) 2001-07-10 2003-01-24 Toshiba Corp メモリチップ及びこれを用いたcocデバイス、並びに、これらの製造方法
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US20030038353A1 (en) 2001-08-23 2003-02-27 Derderian James M. Assemblies including stacked semiconductor devices separated by discrete conductive elements therebetween, packages including the assemblies, and methods
US20030038356A1 (en) 2001-08-24 2003-02-27 Derderian James M Semiconductor devices including stacking spacers thereon, assemblies including the semiconductor devices, and methods
US7518223B2 (en) 2001-08-24 2009-04-14 Micron Technology, Inc. Semiconductor devices and semiconductor device assemblies including a nonconfluent spacer layer
US6569709B2 (en) 2001-10-15 2003-05-27 Micron Technology, Inc. Assemblies including stacked semiconductor devices separated a distance defined by adhesive material interposed therebetween, packages including the assemblies, and methods
US6747348B2 (en) 2001-10-16 2004-06-08 Micron Technology, Inc. Apparatus and method for leadless packaging of semiconductor devices
CN2512114Y (zh) 2001-10-31 2002-09-18 威盛电子股份有限公司 可重复堆叠的倒装片焊球阵列封装体
JP2003142518A (ja) 2001-11-02 2003-05-16 Nec Electronics Corp 半導体製造装置、半導体製造方法、半導体装置及び電子装置
US6611052B2 (en) 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
US6627509B2 (en) 2001-11-26 2003-09-30 Delaware Capital Formation, Inc. Surface flashover resistant capacitors and method for producing same
JP2003163324A (ja) 2001-11-27 2003-06-06 Nec Corp ユニット半導体装置及びその製造方法並びに3次元積層型半導体装置
US7332819B2 (en) * 2002-01-09 2008-02-19 Micron Technology, Inc. Stacked die in die BGA package
US6750547B2 (en) 2001-12-26 2004-06-15 Micron Technology, Inc. Multi-substrate microelectronic packages and methods for manufacture
TW544882B (en) * 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
US7190060B1 (en) 2002-01-09 2007-03-13 Bridge Semiconductor Corporation Three-dimensional stacked semiconductor package device with bent and flat leads and method of making same
US6607941B2 (en) 2002-01-11 2003-08-19 National Semiconductor Corporation Process and structure improvements to shellcase style packaging technology
DE10202881B4 (de) 2002-01-25 2007-09-20 Infineon Technologies Ag Verfahren zur Herstellung von Halbleiterchips mit einer Chipkantenschutzschicht, insondere für Wafer Level Packaging Chips
US6802446B2 (en) 2002-02-01 2004-10-12 Delphi Technologies, Inc. Conductive adhesive material with metallurgically-bonded conductive particles
KR100486832B1 (ko) 2002-02-06 2005-05-03 삼성전자주식회사 반도체 칩과 적층 칩 패키지 및 그 제조 방법
JP2003249465A (ja) 2002-02-26 2003-09-05 Seiko Epson Corp 半導体装置及びその製造方法
US6908784B1 (en) 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
USD475981S1 (en) 2002-03-29 2003-06-17 Mitsubishi Denki Kabushiki Kaisha Integrated circuits substrate
US7340181B1 (en) 2002-05-13 2008-03-04 National Semiconductor Corporation Electrical die contact structure and fabrication method
US6756252B2 (en) 2002-07-17 2004-06-29 Texas Instrument Incorporated Multilayer laser trim interconnect method
US20040036170A1 (en) * 2002-08-20 2004-02-26 Lee Teck Kheng Double bumping of flexible substrate for first and second level interconnects
JP4081666B2 (ja) 2002-09-24 2008-04-30 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US7034387B2 (en) * 2003-04-04 2006-04-25 Chippac, Inc. Semiconductor multipackage module including processor and memory package assemblies
US6656827B1 (en) 2002-10-17 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Electrical performance enhanced wafer level chip scale package with ground
US6667543B1 (en) 2002-10-29 2003-12-23 Motorola, Inc. Optical sensor package
US7268005B2 (en) * 2002-10-30 2007-09-11 Finisar Corporation Apparatus and method for stacking laser bars for uniform facet coating
TWI227550B (en) 2002-10-30 2005-02-01 Sanyo Electric Co Semiconductor device manufacturing method
JP2004153130A (ja) 2002-10-31 2004-05-27 Olympus Corp 半導体装置及びその製造方法
JP2004158536A (ja) 2002-11-05 2004-06-03 Fujitsu Ltd 半導体装置及び半導体装置の製造方法
JP4381675B2 (ja) 2002-11-21 2009-12-09 富士通株式会社 半導体装置及びその製造方法、該半導体装置に係る測定用治具
CN100563024C (zh) 2002-12-09 2009-11-25 宇芯(毛里求斯)控股有限公司 具有外露的集成电路设备的封装
US6881610B2 (en) 2003-01-02 2005-04-19 Intel Corporation Method and apparatus for preparing a plurality of dice in wafers
JP2004214548A (ja) 2003-01-08 2004-07-29 Mitsubishi Electric Corp 部品内蔵基板型モジュール、それを搭載した基板、部品内蔵基板型モジュールの製造方法、および部品内蔵基板型モジュールを搭載した基板の製造方法
US7035113B2 (en) * 2003-01-30 2006-04-25 Endicott Interconnect Technologies, Inc. Multi-chip electronic package having laminate carrier and method of making same
JPWO2004070809A1 (ja) 2003-02-06 2006-05-25 株式会社半導体エネルギー研究所 表示装置の作製方法
KR100499289B1 (ko) 2003-02-07 2005-07-04 삼성전자주식회사 패턴 리드를 갖는 반도체 패키지 및 그 제조 방법
JP2004281538A (ja) 2003-03-13 2004-10-07 Seiko Epson Corp 電子装置及びその製造方法、回路基板並びに電子機器
JP3772984B2 (ja) 2003-03-13 2006-05-10 セイコーエプソン株式会社 電子装置及びその製造方法、回路基板並びに電子機器
TWI231023B (en) * 2003-05-27 2005-04-11 Ind Tech Res Inst Electronic packaging with three-dimensional stack and assembling method thereof
CN100468719C (zh) 2003-06-03 2009-03-11 卡西欧计算机株式会社 可叠置的半导体器件及其制造方法
JP2005005380A (ja) 2003-06-10 2005-01-06 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3842759B2 (ja) * 2003-06-12 2006-11-08 株式会社東芝 三次元実装半導体モジュール及び三次元実装半導体システム
US6972480B2 (en) 2003-06-16 2005-12-06 Shellcase Ltd. Methods and apparatus for packaging integrated circuit devices
TWM243783U (en) 2003-06-30 2004-09-11 Innolux Display Corp Structure of chip on glass
JP2007528120A (ja) 2003-07-03 2007-10-04 テッセラ テクノロジーズ ハンガリー コルラートルト フェレロェセーギュー タールシャシャーグ 集積回路装置をパッケージングする方法及び装置
JP3718205B2 (ja) 2003-07-04 2005-11-24 松下電器産業株式会社 チップ積層型半導体装置およびその製造方法
KR20050009036A (ko) 2003-07-15 2005-01-24 삼성전자주식회사 적층 패키지 및 그 제조 방법
US20050067694A1 (en) 2003-09-30 2005-03-31 Pon Florence R. Spacerless die stacking
SG120123A1 (en) 2003-09-30 2006-03-28 Micron Technology Inc Castellated chip-scale packages and methods for fabricating the same
US7064010B2 (en) 2003-10-20 2006-06-20 Micron Technology, Inc. Methods of coating and singulating wafers
US7064069B2 (en) 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
JP2007066922A (ja) 2003-11-28 2007-03-15 Renesas Technology Corp 半導体集積回路装置
JP2005197491A (ja) 2004-01-08 2005-07-21 Matsushita Electric Ind Co Ltd 半導体装置
JP4198072B2 (ja) * 2004-01-23 2008-12-17 シャープ株式会社 半導体装置、光学装置用モジュール及び半導体装置の製造方法
TWI233170B (en) 2004-02-05 2005-05-21 United Microelectronics Corp Ultra-thin wafer level stack packaging method and structure using thereof
DE102004008135A1 (de) 2004-02-18 2005-09-22 Infineon Technologies Ag Halbleiterbauteil mit einem Stapel aus Halbleiterchips und Verfahren zur Herstellung desselben
JP3811160B2 (ja) * 2004-03-09 2006-08-16 株式会社東芝 半導体装置
KR100890073B1 (ko) 2004-03-23 2009-03-24 텍사스 인스트루먼츠 인코포레이티드 수직으로 적층된 반도체 장치 및 그 제조 방법
US7095105B2 (en) 2004-03-23 2006-08-22 Texas Instruments Incorporated Vertically stacked semiconductor device
US7215018B2 (en) 2004-04-13 2007-05-08 Vertical Circuits, Inc. Stacked die BGA or LGA component assembly
US7245021B2 (en) * 2004-04-13 2007-07-17 Vertical Circuits, Inc. Micropede stacked die component assembly
US7239020B2 (en) 2004-05-06 2007-07-03 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Multi-mode integrated circuit structure
US20050251031A1 (en) * 2004-05-06 2005-11-10 Scimed Life Systems, Inc. Apparatus and construction for intravascular device
US7125747B2 (en) 2004-06-23 2006-10-24 Advanced Semiconductor Engineering, Inc. Process for manufacturing leadless semiconductor packages including an electrical test in a matrix of a leadless leadframe
TWI236110B (en) * 2004-06-25 2005-07-11 Advanced Semiconductor Eng Flip chip on leadframe package and method for manufacturing the same
JP2006019493A (ja) 2004-07-01 2006-01-19 Disco Abrasive Syst Ltd ウェーハの分割方法
DE102004039906A1 (de) 2004-08-18 2005-08-18 Infineon Technologies Ag Verfahren zur Herstellung eines elektronischen Bauelements sowie ein elektronisches Bauelement mit mindestens zwei integrierten Bausteinen
WO2006027981A1 (ja) 2004-09-08 2006-03-16 Matsushita Electric Industrial Co., Ltd. 立体的電子回路装置とそれを用いた電子機器およびその製造方法
TWI288448B (en) 2004-09-10 2007-10-11 Toshiba Corp Semiconductor device and method of manufacturing the same
US7566634B2 (en) 2004-09-24 2009-07-28 Interuniversitair Microelektronica Centrum (Imec) Method for chip singulation
US8324725B2 (en) * 2004-09-27 2012-12-04 Formfactor, Inc. Stacked die module
DE102004052921A1 (de) 2004-10-29 2006-05-11 Infineon Technologies Ag Verfahren zur Herstellung von Halbleiterbauelementen mit externen Kontaktierungen
JP2006140294A (ja) 2004-11-11 2006-06-01 Fujitsu Ltd 半導体基板、半導体装置の製造方法及び半導体装置の試験方法
JP4613590B2 (ja) 2004-11-16 2011-01-19 セイコーエプソン株式会社 実装基板及び電子機器
KR100626618B1 (ko) * 2004-12-10 2006-09-25 삼성전자주식회사 반도체 칩 적층 패키지 및 제조 방법
US20060138626A1 (en) 2004-12-29 2006-06-29 Tessera, Inc. Microelectronic packages using a ceramic substrate having a window and a conductive surface region
US7326592B2 (en) 2005-04-04 2008-02-05 Infineon Technologies Ag Stacked die package
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7208345B2 (en) 2005-05-11 2007-04-24 Infineon Technologies Ag Method of manufacturing a semiconductor device comprising stacked chips and a corresponding semiconductor device
US20060267173A1 (en) 2005-05-26 2006-11-30 Sandisk Corporation Integrated circuit package having stacked integrated circuits and method therefor
US7351657B2 (en) 2005-06-10 2008-04-01 Honeywell International Inc. Method and apparatus for applying external coating to grid array packages for increased reliability and performance
JP2006351793A (ja) 2005-06-15 2006-12-28 Fujitsu Ltd 半導体装置
US7196262B2 (en) * 2005-06-20 2007-03-27 Solyndra, Inc. Bifacial elongated solar cell devices
KR100629498B1 (ko) 2005-07-15 2006-09-28 삼성전자주식회사 마이크로 패키지, 멀티―스택 마이크로 패키지 및 이들의제조방법
JP2007035911A (ja) 2005-07-27 2007-02-08 Seiko Epson Corp ボンディングパッドの製造方法、ボンディングパッド、及び電子デバイスの製造方法、電子デバイス
JP4731241B2 (ja) 2005-08-02 2011-07-20 株式会社ディスコ ウエーハの分割方法
US7452743B2 (en) * 2005-09-01 2008-11-18 Aptina Imaging Corporation Microelectronic imaging units and methods of manufacturing microelectronic imaging units at the wafer level
JP2007073803A (ja) 2005-09-08 2007-03-22 Toshiba Corp 半導体装置及びその製造方法
JP4750523B2 (ja) 2005-09-27 2011-08-17 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP2007134486A (ja) 2005-11-10 2007-05-31 Toshiba Corp 積層型半導体装置及びその製造方法
US7981726B2 (en) 2005-12-12 2011-07-19 Intel Corporation Copper plating connection for multi-die stack in substrate package
US7408243B2 (en) 2005-12-14 2008-08-05 Honeywell International Inc. High temperature package flip-chip bonding to ceramic
US20070158799A1 (en) 2005-12-29 2007-07-12 Chin-Tien Chiu Interconnected IC packages with vertical SMT pads
US20070158807A1 (en) * 2005-12-29 2007-07-12 Daoqiang Lu Edge interconnects for die stacking
JP4672556B2 (ja) 2006-01-11 2011-04-20 ダイニチ工業株式会社 プリント配線基板
TWI284971B (en) 2006-01-26 2007-08-01 Siliconware Precision Industries Co Ltd Multichip stack structure
SG135074A1 (en) 2006-02-28 2007-09-28 Micron Technology Inc Microelectronic devices, stacked microelectronic devices, and methods for manufacturing such devices
US8710675B2 (en) 2006-02-21 2014-04-29 Stats Chippac Ltd. Integrated circuit package system with bonding lands
US7429521B2 (en) 2006-03-30 2008-09-30 Intel Corporation Capillary underfill of stacked wafers
US7732912B2 (en) 2006-08-11 2010-06-08 Tessera, Inc. Semiconductor chip packages and assemblies with chip carrier units
US7888185B2 (en) * 2006-08-17 2011-02-15 Micron Technology, Inc. Semiconductor device assemblies and systems including at least one conductive pathway extending around a side of at least one semiconductor device
JP2008071953A (ja) 2006-09-14 2008-03-27 Nec Electronics Corp 半導体装置
US8513789B2 (en) 2006-10-10 2013-08-20 Tessera, Inc. Edge connect wafer level stacking with leads extending along edges
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US7829438B2 (en) 2006-10-10 2010-11-09 Tessera, Inc. Edge connect wafer level stacking
KR100813624B1 (ko) 2006-10-25 2008-03-17 삼성전자주식회사 반도체 패키지 및 그 제조방법
US8154881B2 (en) 2006-11-13 2012-04-10 Telecommunication Systems, Inc. Radiation-shielded semiconductor assembly
US8242607B2 (en) 2006-12-20 2012-08-14 Stats Chippac Ltd. Integrated circuit package system with offset stacked die and method of manufacture thereof
US7952195B2 (en) 2006-12-28 2011-05-31 Tessera, Inc. Stacked packages with bridging traces
US20080173792A1 (en) * 2007-01-23 2008-07-24 Advanced Chip Engineering Technology Inc. Image sensor module and the method of the same
JP5080295B2 (ja) 2007-01-26 2012-11-21 帝人株式会社 放熱性実装基板およびその製造方法
WO2008094749A1 (en) 2007-01-29 2008-08-07 Digital Angel Corporation Micron-scale implatable transponder
US20080203566A1 (en) 2007-02-27 2008-08-28 Chao-Yuan Su Stress buffer layer for packaging process
JP2008236688A (ja) 2007-03-23 2008-10-02 Hitachi Ltd テレビ放送受信装置
US7638869B2 (en) 2007-03-28 2009-12-29 Qimonda Ag Semiconductor device
KR100871709B1 (ko) 2007-04-10 2008-12-08 삼성전자주식회사 칩 스택 패키지 및 그 제조방법
US8723332B2 (en) * 2007-06-11 2014-05-13 Invensas Corporation Electrically interconnected stacked die assemblies
KR100914977B1 (ko) 2007-06-18 2009-09-02 주식회사 하이닉스반도체 스택 패키지의 제조 방법
TWI473183B (zh) 2007-06-19 2015-02-11 Invensas Corp 可堆疊的積體電路晶片的晶圓水平表面鈍化
TW200917391A (en) 2007-06-20 2009-04-16 Vertical Circuits Inc Three-dimensional circuitry formed on integrated circuit device using two-dimensional fabrication
JP5049684B2 (ja) * 2007-07-20 2012-10-17 新光電気工業株式会社 積層型半導体装置及びその製造方法
JP5110995B2 (ja) 2007-07-20 2012-12-26 新光電気工業株式会社 積層型半導体装置及びその製造方法
US8461672B2 (en) 2007-07-27 2013-06-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
CN101861646B (zh) 2007-08-03 2015-03-18 泰塞拉公司 利用再生晶圆的堆叠封装
US7906853B2 (en) 2007-09-06 2011-03-15 Micron Technology, Inc. Package structure for multiple die stack
US20090068790A1 (en) * 2007-09-07 2009-03-12 Vertical Circuits, Inc. Electrical Interconnect Formed by Pulsed Dispense
US20090102038A1 (en) 2007-10-18 2009-04-23 Vertical Circuits, Inc. Chip scale stacked die package
JP5090210B2 (ja) 2008-02-27 2012-12-05 株式会社ダイセル 活性エネルギー線硬化性樹脂及びその製造方法
JP5763924B2 (ja) 2008-03-12 2015-08-12 インヴェンサス・コーポレーション ダイアセンブリを電気的に相互接続して取り付けられたサポート
US7863159B2 (en) 2008-06-19 2011-01-04 Vertical Circuits, Inc. Semiconductor die separation method
EP2308087B1 (en) 2008-06-16 2020-08-12 Tessera, Inc. Stacking of wafer-level chip scale packages having edge contacts
WO2010068699A2 (en) * 2008-12-09 2010-06-17 Vertical Circuits, Inc. Semiconductor die interconnect formed by aerosol application of electrically conductive material
KR101715426B1 (ko) * 2009-06-26 2017-03-10 인벤사스 코포레이션 지그재그 구조로 적층된 다이용 전기 인터커넥트
US9147583B2 (en) * 2009-10-27 2015-09-29 Invensas Corporation Selective die electrical insulation by additive process
US8390109B2 (en) * 2011-02-17 2013-03-05 Oracle America, Inc. Chip package with plank stack of semiconductor dies
US20130119542A1 (en) * 2011-11-14 2013-05-16 Mosaid Technologies Incorporated Package having stacked memory dies with serially connected buffer dies

Also Published As

Publication number Publication date
JP2011514012A (ja) 2011-04-28
KR20100123858A (ko) 2010-11-25
TW201005916A (en) 2010-02-01
KR101554761B1 (ko) 2015-09-21
WO2009114670A3 (en) 2009-11-26
US8178978B2 (en) 2012-05-15
US20130099392A1 (en) 2013-04-25
CN101999167A (zh) 2011-03-30
CN101999167B (zh) 2013-07-17
TWI515863B (zh) 2016-01-01
US9305862B2 (en) 2016-04-05
CN103325764B (zh) 2016-09-07
WO2009114670A2 (en) 2009-09-17
CN103325764A (zh) 2013-09-25
US20160218088A1 (en) 2016-07-28
US20090230528A1 (en) 2009-09-17

Similar Documents

Publication Publication Date Title
JP5763924B2 (ja) ダイアセンブリを電気的に相互接続して取り付けられたサポート
US7915718B2 (en) Apparatus for flip-chip packaging providing testing capability
US7531906B2 (en) Flip chip packaging using recessed interposer terminals
US7348215B2 (en) Methods for assembly and packaging of flip chip configured dice with interposer
US6566746B2 (en) Panel stacking of BGA devices to form three-dimensional modules
US7122907B2 (en) Interposer substrate and wafer scale interposer substrate member for use with flip-chip configured semiconductor dice
KR101522745B1 (ko) 전기적으로 인터커넥트되는 스택형 다이 조립체
JP6027966B2 (ja) エリアアレイユニットコネクタを備えるスタック可能モールド超小型電子パッケージ
US8394672B2 (en) Method of manufacturing and assembling semiconductor chips with offset pads
EP1929540B1 (en) Land grid array semiconductor device package and fabrication method thereof
US7763983B2 (en) Stackable microelectronic device carriers, stacked device carriers and methods of making the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120312

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20121226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130520

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130918

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131218

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140318

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141020

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141127

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150421

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150612

R150 Certificate of patent or registration of utility model

Ref document number: 5763924

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees