JP5705990B2 - 3次元構造のメモリ素子を製造する方法 - Google Patents

3次元構造のメモリ素子を製造する方法 Download PDF

Info

Publication number
JP5705990B2
JP5705990B2 JP2013531511A JP2013531511A JP5705990B2 JP 5705990 B2 JP5705990 B2 JP 5705990B2 JP 2013531511 A JP2013531511 A JP 2013531511A JP 2013531511 A JP2013531511 A JP 2013531511A JP 5705990 B2 JP5705990 B2 JP 5705990B2
Authority
JP
Japan
Prior art keywords
substrate
silicon oxide
oxide film
sacrificial layer
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013531511A
Other languages
English (en)
Other versions
JP2014500608A (ja
Inventor
キル チョ,ソン
キル チョ,ソン
ウォン キム,ハイ
ウォン キム,ハイ
ホ ウ,サン
ホ ウ,サン
ウ シン,スン
ウ シン,スン
ソン チャン,キル
ソン チャン,キル
スク オ,ワン
スク オ,ワン
Original Assignee
ユ−ジーン テクノロジー カンパニー.リミテッド
ユ−ジーン テクノロジー カンパニー.リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユ−ジーン テクノロジー カンパニー.リミテッド, ユ−ジーン テクノロジー カンパニー.リミテッド filed Critical ユ−ジーン テクノロジー カンパニー.リミテッド
Publication of JP2014500608A publication Critical patent/JP2014500608A/ja
Application granted granted Critical
Publication of JP5705990B2 publication Critical patent/JP5705990B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は,メモリ素子を製造する方法及び装置に関し,さらに詳しくは3次元構造のメモリ素子を製造する方法及び装置に関する。
電子製品は,その体積がますます小さくなる一方,高容量のデータ処理を必要としている。そのため,このような電子製品に使用されるメモリ素子の体積を減らすとともに,その集積度を高める必要があり,このような点から,従来の平面型構造の代わりに3次元構造を有するメモリ素子が検討されている。
本発明の目的は,メモリ素子の体積を減らすことができるメモリ素子を製造する方法及び装置を提供することにある。
本発明の他の目的は,3次元構造のメモリ素子を効率的に製造できる方法及び装置を提供することにある。
本発明のさらに他の目的は,複数の薄膜を蒸着する工程で薄膜の応力差によって生じる基板の変形を防止できるメモリ素子を製造する方法及び装置を提供することにある。
本発明のさらに他の目的は,次の詳細な説明と添付図面によって明確になる。
本発明の一実施形態による3次元構造のメモリ素子を製造する方法は,基板上に1つ以上の絶縁層及び1つ以上の犠牲層を交互に積層するステップ;前記絶縁層及び前記犠牲層を貫通する貫通孔を形成するステップ;前記貫通孔を埋めるパターンを形成するステップ;前記絶縁層及び前記犠牲層を貫通する開口を形成するステップ;及び前記開口を介してエッチャントを供給して前記犠牲層を除去するステップを含み,前記絶縁層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410を含む群から選択された1つ以上のガスとエチル系及びメチル系のガスを含む群から選択された1以上のガスを供給して第1シリコン酸化膜を蒸着するステップを含み,前記犠牲層を積層するステップは,前記基板にジクロロシラン(SiCl22)を供給して第2シリコン酸化膜を蒸着するステップを含み,前記第1シリコン酸化膜は,SiCO(Silicon Carbon Oxide)であり,前記絶縁層の前記第1シリコン酸化膜及び前記犠牲層の前記第2シリコン酸化膜は,前記エッチャントに対してエッチング選択比(etch selectivity)を有し,前記犠牲層のエッチング率は,前記絶縁層のエッチング率に比べて大きい
記犠牲層のエッチング率は,前記絶縁層のエッチング率に比べて5倍乃至300倍以上であることができる。
前記エッチャントは,HF又はBOEのうちいずれか1つを含むことができる。
前記基板の温度は,300乃至790℃を維持し,前記基板の工程圧力は,10mTorr乃至250Torrを維持できる。
前記第1シリコン酸化膜と前記第2シリコン酸化膜は,互いに異なる厚さを有しても良い。
前記絶縁層及び犠牲層を交互に積層するステップは,エッジリングを用いて前記基板のエッジ部を加圧するステップをさらに含むことができる。
前記基板のエッジ部は,前記基板のエッジから約0.5mm乃至3mmの範囲で前記基板の内側に一致させることができる。
前記エッジリングは,セラミック材料から形成しても良い。
本発明の他の実施形態による3次元構造のメモリ素子を製造する方法は,基板上に1つ以上の絶縁層及び1つ以上の犠牲層を交互に積層するステップ;前記絶縁層及び前記犠牲層を貫通する貫通孔を形成するステップ;前記貫通孔を埋めるパターンを形成するステップ;前記絶縁層及び前記犠牲層を貫通する開口を形成するステップ;及び前記開口を介してエッチャントを供給して前記犠牲層を除去するステップを含み,前記絶縁層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410を含む群から選択された1つ以上のガスとエチル系及びメチル系のガスを含む群から選択された1以上のガスを供給して第1シリコン酸化膜を蒸着するステップを含み,前記犠牲層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410,ジクロロシラン(SiCl22)を含む群から選択された1つ以上のガスとB26,PH3を含む群から選択された1つ以上のガスを供給してホウ素(boron)又は燐(phosphorus)が注入された第2シリコン酸化膜を蒸着するステップを含み,前記絶縁層の前記第1シリコン酸化膜及び前記犠牲層の前記第2シリコン酸化膜は,前記エッチャントに対してエッチング選択比(etch selectivity)を有し,前記犠牲層のエッチング率は,前記絶縁層のエッチング率に比べて大きい
本発明の実施形態によれば,メモリ素子を3次元構造に形成することによってメモリ素子の体積を減らすことができる。また,基板上に形成された絶縁層と犠牲層を交互に積層形成した後,半導体トランジスタのチャネルに用いられるポリシリコン薄膜のようなパターンによって絶縁層を支持した状態で犠牲層を効果的に除去できる。また,複数の薄膜を蒸着する工程で薄膜の応力差によって生じる基板の変形を防止できる。
本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。 本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。 本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。 本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。 本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。 本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。 エチル系のガスの供給量と蒸着された薄膜のエッチング率との関係を示すグラフである。 本発明の一実施形態による半導体製造装置を概略的に示す図である。 本発明の他の実施形態によるメモリ素子製造装置を概略的に示す図である。 図9に示すエッジリングを概略的に示す斜視図である。 図9に示すエッジリングの動作を示す図である。 図9に示すエッジリングの動作を示す図である。
図1乃至図6は,本発明の一実施形態によるメモリ素子の製造方法を示す概略的な断面図である。以下,図1乃至図6を参照してメモリ素子の製造方法を説明する。
まず,図1に示すように,基板105が提供され得る。基板105は,半導体物質,例えばIV族半導体,III−V族化合物半導体,又はII−VI族酸化物半導体を含むことができる。例えば,IV族半導体は,シリコン,ゲルマニウム又はシリコン−ゲルマニウムを含むことができる。基板105は,バルクウエハ又はエピタキシャル層に提供され得る。
次に,基板105の上部に不純物を注入して不純物領域110を限定できる。次に,基板105上に絶縁層115及び犠牲層120を交互に積層できる。絶縁層115と犠牲層120は,8×8や18×18,又はn×nの多重層をなすことができる。本実施形態では絶縁層115が先に積層され,犠牲層120が後で積層されるものとして説明しているが,必要に応じて絶縁層115と犠牲層120の積層順序は,変えることができる。
絶縁層115は,シリコン酸化膜(Silicon Dioxide,SiO2)であっても良く,基板105上に供給されたシラン(SiH4)と酸化窒素(N2O)を反応させて形成され得る。シラン(SiH4)は,Si26,Si38,Si410などに代替され得る。同様に,犠牲層120は,シリコン酸化膜であっても良く,基板105上に供給されたジクロロシラン(SiCl22:DCS)と酸化窒素(N2O)を反応させて形成され得る。また,本実施形態とは異なり,犠牲層120は,基板105上にSiH4,Si26,Si38,Si410,ジクロロシラン(SiCl22)を含む群から選択された1つ以上のガスとB26,PH3を含む群から選択された1つ以上のガスを供給して形成されたシリコン酸化膜であっても良く,この場合,シリコン酸化膜上にホウ素(boron)又は燐(phosphorus)(又はホウ素及び燐を同時に注入可能)が注入し得る。
次に,図2に示すように,絶縁層115及び犠牲層120をエッチングして複数の貫通孔125を形成することができ,貫通孔125は,絶縁層115及び犠牲層120を貫通する。貫通孔125は,公知のフォトリソグラフィ及びエッチング技術を利用して形成できる。次に,既に公知の半導体トランジスタを形成するためのチャネル形成工程(又はポリシリコン薄膜を形成する工程)を介して貫通孔125を埋めるようにパターン130を形成できる。この時,パターン130は,中空の円筒状であっても良く,同様に,パターン130は,絶縁層115及び犠牲層120を貫通する。例えば,パターン130は,多結晶構造に形成しても良く,又は,単結晶構造のエピタキシャル層のような薄膜形状であっても良い。
次に,図3に示すように,パターン130の間の絶縁層115及び犠牲層120をエッチングして開口135を形成できる。開口135は,フォトリソグラフィ及びエッチング技術を利用して形成できる。
次に,図4に示すように,犠牲層120を除去できる。上述のように,絶縁層115は,シランによって形成されたシリコン酸化膜で,犠牲層120は,ジクロロシランによって形成されたシリコン酸化膜であるか,又は,SiH4,Si26,Si38,Si410,ジクロロシラン(SiCl22)を含む群から選択された1つ以上のガスとB26,PH3を含む群から選択された1つ以上のガスを供給して形成されたホウ素(boron)又は燐(phosphorus)(又はホウ素及び燐を同時に注入可能)が注入されたシリコン酸化膜であっても良い。以下,シランによって形成されたシリコン酸化膜とジクロロシランによって形成されたシリコン酸化膜が有する特性を表す。
Figure 0005705990
上記表1に示すように,犠牲層120は,絶縁層115に対してエッチング選択比(etch selectivity)を有し,犠牲層120のエッチング率は,絶縁層115のエッチング率に比べて20倍程の大きさを有する。したがって,絶縁層115と犠牲層120が同じ時間の間エッチャントに露出された時,エッチングされた犠牲層120の大きさは,エッチングされた絶縁層115の大きさの20倍以上で,絶縁層115のエッチング程度は,非常に小さい。ジクロロシランによって形成したシリコン酸化膜のようにCl基を有するシリコン酸化膜は,蒸着薄膜の密度が相対的に低く高いエッチング率を表す。
上述の原理を利用して犠牲層120を除去できる。等方性エッチングを用いてエッチャントを開口135から絶縁層115の間に侵入させることができ,等方性エッチングは,ウェットエッチング又は化学的ドライエッチング(chemical dry etch)を含むことができる。エッチャントは,HF又はBOE(buffered oxide etch)のうちいずれか1つを含むことができる。これにより,絶縁層115の間の犠牲層120が除去されて開口135と連結されたトンネル140が形成され得る。トンネル140によってパターン130の側壁が露出され得る。
次に,図5に示すように,開口(図4の135)及びトンネル(図4の140)によって露出された絶縁層115及びパターン130の側壁上にストレージ媒体150を形成できる。ストレージ媒体150は,トンネル絶縁層142,電荷貯蔵層144及びブロック絶縁層146を順に形成できる。次に,ストレージ媒体150上に導電層155を形成できる。例えば,ストレージ媒体150及び導電層155は,ステップカバレッジの高い化学気相蒸着又はめっき法を用いて形成できる。
次に,図6に示すように,開口(図4の135)によって露出された導電層(図5の155)を選択的にエッチングして接地選択ゲート電極162,制御ゲート電極164及びストリング選択ゲート電極166を形成できる。
一方,本実施形態とは異なり,エチル系のガス(例えば,C24)又はメチル系のガス(例えば,CH3)がシラン(SiH4)と共に供給されることができ,それにより,絶縁層115は,SiCO(Silicon Carbon Oxide)薄膜であっても良い。
SiCO薄膜からなる絶縁層115は,上述のジクロロシランによって形成された犠牲層120に比べてさらに大きいエッチング選択比を有するため,犠牲層120の除去時に,共に損傷される絶縁層115の量を最小化できる。図7は,エチル系のガスの供給量と蒸着された薄膜のエッチング率との関係を示すグラフである。図7に示すように,エチル系のガスが供給されることによって,蒸着された薄膜のエッチング率は減少することがわかり,これにより犠牲層120とのエッチング選択比を要求に応じて調節できる。
図8は,本発明の一実施形態による半導体製造装置を概略的に示す図である。図8に示すように,半導体製造装置10は,ソースガス又は反応ガスが導入されるための導入部12を有し,ソースガス又は反応ガスは,導入部12を介して導入され,シャワーヘッド13を介してチャンバ11の内部に噴射される。工程進行時,シラン又はジクロロシランは,1〜1000sccm供給されることができ,反応ガス(例えば,N2O)は,100〜50000sccm供給されることができる。一方,上述のように,エチル系のガス(例えば,C24)又はメチル系のガス(例えば,CH3)が供給される場合,50乃至10000sccm供給されることができる。
工程の対象となる基板100は,ヒータ14の上部に載置され,ヒータ14は,ヒータ支持台16によって支持される。ヒータ14は,工程進行中に基板の温度を300乃至790℃に維持でき,この時,チャンバ11内部の圧力は,10mTorr乃至250Torrを維持できる。工程が完了した基板100は,排出部17を介して外部に排出される。
図9は,本発明の他の実施形態によるメモリ素子製造装置を概略的に示す図で,図10は,図9に示すエッジリングを概略的に示す斜視図である。以下,図8と区別される部分のみについて説明を行い,省略された説明は,図8の説明に代替され得る。
図9に示すように,メモリ素子製造装置210は,チャンバ211の内部に設けられた基板支持台214を備え,基板支持台214は,支持台216によって支持される。後述のように,基板支持台214は,別途の駆動部(図示せず)によって支持台216とともに昇降し,これによって,基板215がチャンバ211の内部を出入できる解除位置(図9に図示)と基板215に対する工程が行われる工程位置(図11に図示)に切り替えられる。
基板215は,チャンバ211の側壁に形成された排出部217を介してチャンバ211の内部を出入し,排出部217を介してチャンバ211の内部に移動した基板215は,基板支持台214の上部に位置する。基板支持台214は,基板215に比べて大きな直径を有し,基板215は,基板支持台214の中央に位置する。この時,基板215は,基板支持台214を貫通するリフトピン220によって支持され,基板支持台214から上昇離隔された状態を維持する。また,シャワーヘッド213は,基板支持台214の上部に設けられ,ソースガス又は反応ガスは,シャワーヘッド213を介してチャンバ211の内部に噴射される。
一方,チャンバ211は,バキュームガイド(vacuum guide)212及びエッジリング230をさらに含む。バキュームガイド212は,円筒状で,チャンバ211の内部に設けられる。図10に示すように,エッジリング230は,チャンバ211の内部形状に対応するリング形状で,エッジリング230は,支持部232,水平支持部234,垂直支持部236及び加圧面238aを有する加圧部238を備える。エッジリング230は,基板支持台214とシャワーヘッド213の間に位置してバキュームガイド212の内側壁から突出した固定突起212a上に置かれる。図9に示すように,基板支持台214が解除位置に位置する時,エッジリング230は,固定突起212a上に位置し,後述のように,基板支持台214が工程位置に切り替えられる時,エッジリング230は,固定突起212aから離脱して基板支持台214の上部に置かれる。
図11及び図12は,図9に示すエッジリングの動作を示す図である。上述のように,基板支持台214は,駆動部(図示せず)によって支持台216とともに昇降し,これによって,解除位置及び工程位置に切り替えられることができる。
図12に示すように,水平支持部234は,支持部232からチャンバ211の側壁に向かって延長され,垂直支持部236は,支持部232から下部に向かって延長される。加圧部238は,支持部232からチャンバ211の内側に向かって下向きに傾斜して延長される。
図9に示すように,基板支持台214が解除位置にある時,エッジリング230は,水平支持部234及び垂直支持部236によって固定突起212a上に位置することができ,水平支持部234は,固定突起212aの上面と接して垂直支持部236は,固定突起212aの側面と接する。この時,支持部232及び加圧部238は,チャンバ211の内側に向かって突出した状態を維持する。
図11に示すように,基板支持台214が工程位置に切り替えられる時,基板支持台214は,基板215の外側に位置するリング形状のエッジ部を用いてエッジリング230を持ち上げ,これにより,エッジリング230は,固定突起212aから離脱して上昇する。この時,図12に示すように,支持部232は,基板支持台214のエッジ部と隣接し,加圧部238は,基板支持台214に載置された基板215のエッジ部と接触して基板215のエッジ部を加圧する。すなわち,エッジリング230は,基板支持台214に置かれた状態で自重によって基板215のエッジ部を加圧し,加圧部238は,基板215のエッジ部と接触する加圧面238aを有する。
以上,図1を参照して説明したように,基板上に互いに異なるシリコン酸化膜を交互に積層する場合,工程によって2つのシリコン酸化膜の間に応力の差が発生し,これにより,基板の変形(warpage,反り又は歪み)が発生する。このような基板の変形によって基板のエッジ部は,基板支持台から離隔され,基板は基板のセンタ部が凹状の「U」字形状に変形される。これは基板内の温度分布(基板のセンタとエッジの間に)などに影響を及ぼすため,工程均一度(例えば,蒸着率)に大きな影響を及ぼす。実際,上述の工程を終えた後,基板のエッジ部で測定された蒸着率が基板のセンタ部で測定された蒸着率に比べて顕著に低いことが認められた。したがって,基板のエッジ部が基板支持台から離隔して基板が変形される現象を防止するためにエッジリング230の加圧部238を用いて基板215のエッジ部を加圧できる。
一方,図12に示すように,エッジリング230の加圧部238によって加圧される基板215のエッジ部の幅wは,基板215のエッジから0.5mm乃至3mm程度内側であることができ,この部分は実際の半導体工程において半導体素子に使用しない部分であるため,半導体素子の収率に影響を及ぼさない。また,上述の加圧面238aは,エッジ部に対応する幅wを有しても良い。
図12に示すように,エッジリング230は,加圧部238だけで基板支持台214上に支持された状態を維持することができ,支持部232は,基板支持台214のエッジ部から離隔された状態dを維持できる。この場合,エッジリング230の全体重さが加圧部238の加圧面238aを介して基板215のエッジ部に伝達されるため,エッジリング230の重さを最小化しても高い圧力を基板215のエッジ部に伝達できる。このような原理は,圧力の大きさが接触面積の大きさに反比例するという事実から理解され得る。
本発明は,様々な形態のメモリ素子を製造する方法及び装置に応用され得る。

Claims (8)

  1. 3次元構造のメモリ素子を製造する方法において,
    基板上に1つ以上の絶縁層及び1つ以上の犠牲層を交互に積層するステップ;
    前記絶縁層及び前記犠牲層を貫通する貫通孔を形成するステップ;
    前記貫通孔を埋めるパターンを形成するステップ;
    前記絶縁層及び前記犠牲層を貫通する開口を形成するステップ;及び
    前記開口を介してエッチャントを供給して前記犠牲層を除去するステップを含み,
    前記絶縁層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410を含む群から選択された1つ以上のガスとエチル系のガスを供給して第1シリコン酸化膜を蒸着するステップを含み,
    前記犠牲層を積層するステップは,前記基板にジクロロシラン(SiCl22)を供給して第2シリコン酸化膜を蒸着するステップを含み,
    前記第1シリコン酸化膜は,SiCO(Silicon Carbon Oxide)であり,
    前記エッチャントは,HF又はBOEのうちいずれか1つを含み,
    前記絶縁層の前記第1シリコン酸化膜及び前記犠牲層の前記第2シリコン酸化膜は,前記エッチャントに対してエッチング選択比(etch selectivity)を有し,
    前記犠牲層のエッチング率は,前記絶縁層のエッチング率に比べて大きいことを特徴とする3次元構造のメモリ素子を製造する方法。
  2. 3次元構造のメモリ素子を製造する方法において,
    基板上に1つ以上の絶縁層及び1つ以上の犠牲層を交互に積層するステップ;
    前記絶縁層及び前記犠牲層を貫通する貫通孔を形成するステップ;
    前記貫通孔を埋めるパターンを形成するステップ;
    前記絶縁層及び前記犠牲層を貫通する開口を形成するステップ;及び
    前記開口を介してエッチャントを供給して前記犠牲層を除去するステップを含み,
    前記絶縁層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410を含む群から選択された1つ以上のガスとエチル系及びメチル系のガスを含む群から選択された1以上のガスを供給して第1シリコン酸化膜を蒸着するステップを含み,
    前記犠牲層を積層するステップは,前記基板にジクロロシラン(SiCl22)を供給して第2シリコン酸化膜を蒸着するステップを含み,
    前記第1シリコン酸化膜は,SiCO(Silicon Carbon Oxide)であり,
    前記絶縁層の前記第1シリコン酸化膜及び前記犠牲層の前記第2シリコン酸化膜は,前記エッチャントに対してエッチング選択比(etch selectivity)を有し,
    前記犠牲層のエッチング率は,前記絶縁層のエッチング率に比べて大きいことを特徴とする3次元構造のメモリ素子を製造する方法。
  3. 前記基板の温度は,300乃至790℃を維持し,
    前記基板の工程圧力は,10mTorr乃至250Torrを維持することを特徴とする請求項1又は2記載の3次元構造のメモリ素子を製造する方法。
  4. 前記第1シリコン酸化膜と前記第2シリコン酸化膜は,互いに異なる厚さを有することを特徴とする請求項1又は2記載の3次元構造のメモリ素子を製造する方法。
  5. 前記絶縁層及び犠牲層を交互に積層するステップは,エッジリングを用いて前記基板のエッジ部を加圧するステップをさらに含むことを特徴とする請求項1又は2記載の3次元構造のメモリ素子を製造する方法。
  6. 前記基板のエッジ部は,前記基板のエッジから約0.5mm乃至3mmの範囲で前記基板の内側に対して一致させることを特徴とする請求項5記載の3次元構造のメモリ素子を製造する方法。
  7. 前記エッジリングは,セラミック材料であることを特徴とする請求項5又は6記載の3次元構造のメモリ素子を製造する方法。
  8. 3次元構造のメモリ素子を製造する方法において,
    基板上に1つ以上の絶縁層及び1つ以上の犠牲層を交互に積層するステップ;
    前記絶縁層及び前記犠牲層を貫通する貫通孔を形成するステップ;
    前記貫通孔を埋めるパターンを形成するステップ;
    前記絶縁層及び前記犠牲層を貫通する開口を形成するステップ;及び
    前記開口を介してエッチャントを供給して前記犠牲層を除去するステップを含み,
    前記絶縁層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410を含む群から選択された1つ以上のガスとエチル系及びメチル系のガスを含む群から選択された1以上のガスを供給して第1シリコン酸化膜を蒸着するステップを含み,
    前記犠牲層を積層するステップは,前記基板にSiH4,Si26,Si38,Si410,ジクロロシラン(SiCl22)を含む群から選択された1つ以上のガスとB26,PH3を含む群から選択された1つ以上のガスを供給してホウ素(boron)又は燐(phosphorus)が注入された第2シリコン酸化膜を蒸着するステップを含み,
    前記第1シリコン酸化膜は,SiCO(Silicon Carbon Oxide)であり,
    前記絶縁層の前記第1シリコン酸化膜及び前記犠牲層の前記第2シリコン酸化膜は,前記エッチャントに対してエッチング選択比(etch selectivity)を有し,
    前記犠牲層のエッチング率は,前記絶縁層のエッチング率に比べて大きいことを特徴とする3次元構造のメモリ素子を製造する方法。
JP2013531511A 2010-10-14 2011-10-06 3次元構造のメモリ素子を製造する方法 Active JP5705990B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020100100092A KR101209003B1 (ko) 2010-10-14 2010-10-14 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
KR10-2010-0100092 2010-10-14
PCT/KR2011/007402 WO2012050321A2 (ko) 2010-10-14 2011-10-06 3차원 구조의 메모리 소자를 제조하는 방법 및 장치

Publications (2)

Publication Number Publication Date
JP2014500608A JP2014500608A (ja) 2014-01-09
JP5705990B2 true JP5705990B2 (ja) 2015-04-22

Family

ID=45938774

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013531511A Active JP5705990B2 (ja) 2010-10-14 2011-10-06 3次元構造のメモリ素子を製造する方法

Country Status (5)

Country Link
US (1) US9425057B2 (ja)
JP (1) JP5705990B2 (ja)
KR (1) KR101209003B1 (ja)
CN (1) CN103155139B (ja)
WO (1) WO2012050321A2 (ja)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) * 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TW201413780A (zh) * 2012-09-24 2014-04-01 Eugene Technology Co Ltd 煙氣移除設備及基板處理設備
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR101603971B1 (ko) * 2014-07-30 2016-03-17 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
CN105448924B (zh) * 2014-08-28 2018-08-10 旺宏电子股份有限公司 具低介电常数绝缘材料的三维存储器装置及其制造方法
JP6347548B2 (ja) 2014-09-08 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
DE102015208852A1 (de) * 2015-05-13 2016-11-17 Nanoscribe Gmbh Verfahren zum Herstellen einer dreidimensionalen Struktur
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6479698B2 (ja) * 2016-02-18 2019-03-06 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6419762B2 (ja) * 2016-09-06 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107799531B (zh) * 2017-11-16 2018-12-14 长江存储科技有限责任公司 一种3d nand存储器等级层堆栈制造方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112599416B (zh) * 2020-12-15 2021-10-15 长江存储科技有限责任公司 一种3d nand存储器件的制造方法
CN115884600A (zh) * 2021-09-28 2023-03-31 长鑫存储技术有限公司 半导体结构及其制备方法
WO2023153203A1 (ja) * 2022-02-08 2023-08-17 東京エレクトロン株式会社 基板処理方法および基板処理装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5653479A (en) * 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5766994A (en) * 1997-04-11 1998-06-16 Vanguard International Semiconductor Corporation Dynamic random access memory fabrication method having stacked capacitors with increased capacitance
US6015735A (en) * 1998-01-13 2000-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-anchor DRAM capacitor and capacitor formed
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP2003069010A (ja) * 2001-08-24 2003-03-07 Sharp Corp 半導体装置およびその製造方法
US7125812B2 (en) * 2002-01-15 2006-10-24 Tokyo Electron Limited CVD method and device for forming silicon-containing insulation film
KR20040103648A (ko) * 2003-05-30 2004-12-09 삼성전자주식회사 반도체 기판지지 척 및 박막 증착 장치
KR100546395B1 (ko) * 2003-11-17 2006-01-26 삼성전자주식회사 반도체소자의 커패시터 및 그 제조방법
JP4715207B2 (ja) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
JP4664688B2 (ja) * 2005-01-14 2011-04-06 東芝メモリシステムズ株式会社 工業製品の製造方法
JP2007035775A (ja) * 2005-07-25 2007-02-08 Hitachi Kokusai Electric Inc 基板処理装置
JP2006203252A (ja) * 2006-04-10 2006-08-03 Fujitsu Ltd 半導体装置
JP2008166374A (ja) * 2006-12-27 2008-07-17 Sharp Corp 絶縁膜形成方法
KR20080105525A (ko) * 2007-05-31 2008-12-04 주성엔지니어링(주) 실리콘을 포함한 박막 형성방법
JP4643617B2 (ja) * 2007-06-26 2011-03-02 株式会社東芝 不揮発性半導体記憶装置
JP2009054972A (ja) * 2007-08-29 2009-03-12 Elpida Memory Inc 半導体装置の製造方法
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
CN102820222A (zh) * 2008-02-19 2012-12-12 东京毅力科创株式会社 成膜方法
JP2009229502A (ja) * 2008-03-19 2009-10-08 Sony Corp 表示装置、および、その製造方法
US8148763B2 (en) * 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
KR20100059655A (ko) 2008-11-25 2010-06-04 삼성전자주식회사 3차원 반도체 장치 및 그 동작 방법
US20100155818A1 (en) * 2008-12-24 2010-06-24 Heung-Jae Cho Vertical channel type nonvolatile memory device and method for fabricating the same
KR101200488B1 (ko) * 2008-12-24 2012-11-12 에스케이하이닉스 주식회사 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
KR101573697B1 (ko) * 2009-02-11 2015-12-02 삼성전자주식회사 수직 폴딩 구조의 비휘발성 메모리 소자 및 그 제조 방법
JP2010205904A (ja) * 2009-03-03 2010-09-16 Toshiba Corp 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置

Also Published As

Publication number Publication date
US9425057B2 (en) 2016-08-23
KR20120038577A (ko) 2012-04-24
KR101209003B1 (ko) 2012-12-06
WO2012050321A3 (ko) 2012-07-12
CN103155139B (zh) 2015-08-26
CN103155139A (zh) 2013-06-12
JP2014500608A (ja) 2014-01-09
US20130171827A1 (en) 2013-07-04
WO2012050321A2 (ko) 2012-04-19

Similar Documents

Publication Publication Date Title
JP5705990B2 (ja) 3次元構造のメモリ素子を製造する方法
JP5690406B2 (ja) 3次元構造のメモリ素子を製造する方法
TWI719015B (zh) 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
US11515170B2 (en) 3D NAND etch
KR102072270B1 (ko) 오목부 내의 결정 성장 방법 및 처리 장치
US8823131B2 (en) Semiconductor devices having a trench isolation layer and methods of fabricating the same
US9797067B2 (en) Selective epitaxial growth method and film forming apparatus
CN103400794A (zh) 自对准沟槽的形成方法
US10006121B2 (en) Method and apparatus for manufacturing three-dimensional-structure memory device
US20200161131A1 (en) Forming method of epitaxial layer, forming method of 3d nand memory and annealing apparatus
US11018049B2 (en) Manufacturing method of isolation structure
CN111883417B (zh) 一种三维存储器的制造方法
JP5891597B2 (ja) 半導体基板または半導体装置の製造方法
CN110600475A (zh) 通孔填充方法以及三维存储器的制备方法
KR101281085B1 (ko) 3차원 구조의 메모리 소자를 제조하는 장치
KR100940337B1 (ko) 반도체 소자의 제조 방법
JP2012243898A (ja) 半導体基板または半導体装置の製造方法
KR20090028125A (ko) 갭 필용 박막 형성 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140523

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150225

R150 Certificate of patent or registration of utility model

Ref document number: 5705990

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250