JP5063344B2 - ハフニウム含有高誘電率誘電材料の原子層堆積方法 - Google Patents

ハフニウム含有高誘電率誘電材料の原子層堆積方法 Download PDF

Info

Publication number
JP5063344B2
JP5063344B2 JP2007513353A JP2007513353A JP5063344B2 JP 5063344 B2 JP5063344 B2 JP 5063344B2 JP 2007513353 A JP2007513353 A JP 2007513353A JP 2007513353 A JP2007513353 A JP 2007513353A JP 5063344 B2 JP5063344 B2 JP 5063344B2
Authority
JP
Japan
Prior art keywords
gas
hafnium
substrate
precursor
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007513353A
Other languages
English (en)
Other versions
JP2007537605A (ja
Inventor
シュレイアス ケール,
プラヴィン ナーワンカー,
ラフール シャランガパニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007537605A publication Critical patent/JP2007537605A/ja
Application granted granted Critical
Publication of JP5063344B2 publication Critical patent/JP5063344B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0357For producing uniform flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/206Flow affected by fluid contact, energy field or coanda effect [e.g., pure fluid device or system]
    • Y10T137/2087Means to cause rotational flow of fluid [e.g., vortex generator]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、概して基板上に材料を堆積するための方法および装置に関し、より具体的には気相堆積プロセスによって高誘電率誘電材料を堆積するための方法および装置に関する。
関連技術の説明
[0002]半導体処理、フラットパネルディスプレイ処理あるいは他の電子デバイス処理の分野において、気相堆積プロセスは、基板上に材料を堆積する際に重要な役割を果たしてきた。電子デバイスの表面形状が縮小し続け、かつデバイスの密度が増加し続けると、特徴部のサイズおよびアスペクト比がより積極的になりつつあり、例えば、0.07μmの特徴部サイズおよび10以上のアスペクト比が検討されている。従って、これらのデバイスを形成するための材料のコンフォーマル堆積がますます重要になりつつある。
[0003]従来の化学気相堆積法(CVD)は0.15μmに至るデバイスの表面形状およびアスペクト比についての成功を証明しているのに対して、より積極的なデバイスの表面形状は代替的な堆積技術を必要としている。かなり注目されている一技術は原子層堆積法(ALD)である。ALDプロセス中、反応ガスが、基板を含有するプロセスチャンバに順次導入される。概して、第1の反応剤はプロセスチャンバにパルスされて、基板表面上に吸着される。第2の反応剤はプロセスチャンバにパルスされて、第1の反応剤と反応して堆積材料を形成する。パージステップは典型的に各反応ガスの送出間に実施される。パージステップはキャリアガスによる連続パージであっても、反応ガスの送出間のパルスパージであってもよい。
[0004]ALDプロセス中に金属およびシリコン前駆体を酸化することによる高誘電率誘電材料の形成は当分野で既知である。オゾンや酸素原子はALDプロセスに対して共通の酸化剤または酸化源である。オゾンおよび酸素原子のラジカル状態によって誘電材料を形成する間、低いプロセス温度が堆積プロセス中に好都合に維持されてもよい。低温での高反応性はラジカル酸化剤の属性であるのに対して、望ましくないサイド反応は、基板上に汚染物質を形成するプロセスチャンバ全体に広まっている。代替的に、水または酸素が、ALDプロセス中に誘電材料を形成するための酸化源として使用されてもよい。しかしながら、水または酸素の緩やかな反応性により、ALDプロセスは概して、ラジカル酸素源よりも少ない流量と、長い暴露期間と高い温度とを必要とする。また、水または酸素を使用するALDプロセスは各酸化パルス後に延長されたパージ期間を必要とし、従って製造スループットを増大させる。更に、少ない流量および高温は、通常、基板表面上の汚染物質を増加させる。
[0005]スチーム酸化プロセスは、従来のCVDプロセス中に金属やシリコン材料をパッシベーションまたは酸化するのに使用されてきた。一例では、水蒸気は、二次コンテナ内に含有された水を沸騰させることによって生成された後にプロセスチャンバに流される(plumbed)。別の例では、水素ガスおよび酸素ガスが(例えば、1,000℃より高い)高温で予熱されたプロセスチャンバに供給される。両方の例において、生成された水蒸気は金属表面またはシリコン表面と反応して、金属酸化物やシリコン酸化物などの誘電材料を形成する。上記スチーム酸化プロセスは、CVDプロセス中に使用する有効水蒸気を発生させることがあるのに対して、生成された水蒸気はALDプロセス中の使用には容認できない。これらのスチーム酸化プロセスから生じた水蒸気は、基板表面上の汚染物質と、プロセス温度や酸化水蒸気の含有率に対する緩やかな制御をもたらすことがある。また、ALDプロセスは、プロセスチャンバに定量的に送出されてもよい一貫した組成の試薬への直接のアクセスを必要とする。
[0006]従って、低温で酸化ガスを生成し、酸化ガスおよび堆積された誘電材料の組成を制御し、プロセス期間を短縮し、かつ汚染物質を最小化する、誘電材料を堆積するための装置およびプロセスの必要性がある。
発明の概要
[0007]一実施形態では、プロセスチャンバ内に位置決めされた基板上にハフニウム含有材料を形成するための方法であって、基板をハフニウム前駆体に暴露して、その上にハフニウム含有層を形成するステップと、プロセスチャンバをパージするステップと、該ハフニウム含有層を酸化ガスに暴露して、その上に酸化ハフニウム材料を形成するステップと、該プロセスチャンバを再度パージするステップとを含む、方法が提供される。一例では、該基板をシリコン前駆体に暴露して、その上にシリコン含有層を形成し、該プロセスチャンバをパージし、該基板を該酸化ガスに暴露して、その上に酸化シリコン材料を形成し、該プロセスチャンバを再度パージすることによって、酸化シリコン材料が該酸化ハフニウム材料上に堆積される。該方法は更に、該酸化ガスが、水素源ガスおよび酸素源ガスを、水蒸気発生器を介して流すことによって形成された水蒸気を含有することを含んでいる。該水蒸気発生器は、パラジウム、プラチナ、ニッケル、鉄、クロム、ルテニウム、ロジウム、これらの組み合わせまたはこれらの合金を含有してもよい触媒を有している。該水素源ガスおよび/または該酸素源ガスは追加ガスによって希釈されてもよい。例えば、窒素に約5vol%の水素を含有するフォーミングガスが該水素源ガスとして使用されてもよい。過剰な酸素源ガスが水蒸気発生器に提供されて、該酸化ガスに酸素が豊富な水蒸気を提供する例もある。ハフニウム含有材料や他の誘電材料を堆積するステップに続く予浸プロセス中に該基板が該酸化ガスに暴露される例もある。
[0008]別の実施形態では、原子層堆積プロセス中に基板上にハフニウム含有材料を堆積するための方法であって、基板をプロセスチャンバ内に位置決めするステップと、水素源ガスおよび酸素源ガスを水蒸気発生器に流して、水蒸気を含有する酸化ガスを生成するステップと、該基板を該酸化ガスとハフニウム前駆体を含有するプロセスガスとに順次暴露して、該基板上にハフニウム含有材料を形成するステップとを含む、方法が提供される。該プロセスガスが、シリコン前駆体やアルミニウム前駆体などの二次前駆体を含有する例もある。該プロセスガスは、該前駆体を含有する各ガスのパルスを提供するなど、該ハフニウム前駆体を含有するガスと、該二次前駆体を含有する少なくとも1つの別のガスとを該プロセスチャンバ内で結合させることによって形成されてもよい。代替的に、該プロセスガスは、少なくとも該ハフニウム前駆体および該二次前駆体を含有する試薬混合物を気化することによって形成されてもよい。該堆積されたハフニウム含有材料は、酸化ハフニウム、ケイ酸ハフニウム、酸窒化ハフニウムシリコン、酸窒化ハフニウム、アルミン酸塩ハフニウム、これらの誘導体あるいはこれらの組み合わせを含有してもよい。
[0009]別の実施形態では、原子層堆積プロセス中に基板上に誘電材料を形成するための方法であって、基板をプロセスチャンバ内に位置決めするステップと、該基板を該酸化ガスと、ハフニウム前駆体、ジルコニウム前駆体、シリコン前駆体、アルミニウム前駆体、タンタル前駆体、チタン前駆体、ランタン前駆体あるいはこれらの組み合わせなどの少なくとも1つの前駆体とに順次暴露するステップとを含む、方法が提供される。該水蒸気は、水素源ガスおよび酸素源ガスを水蒸気発生器を介して流すことによって形成されてもよい。該堆積プロセス中に形成されることがある誘電材料の例は、酸化ハフニウム、ケイ酸ハフニウム、酸化ジルコニウム、ケイ酸ジルコニウム、酸化ランタン、ケイ酸ランタン、酸化タンタル、ケイ酸タンタル、酸化チタン、ケイ酸チタン、酸化アルミニウム、ケイ酸アルミニウム、酸化シリコン、これらの誘導体またはこれらの組み合わせを含む。ケイ酸ハフニウム材料を形成する一例では、基板は、該酸化ガスと、ハフニウム前駆体およびシリコン前駆体を含有するプロセスガスとに順次暴露される。別の例では、基板は、ハフニウム前駆体、該酸化ガス、シリコン前駆体、そして再度該酸化ガスに順次暴露される。
[0010]別の実施形態では、基板上にハフニウム含有誘電スタックを形成するための方法であって、少なくとも1つの酸化ハフニウム層および少なくとも1つのケイ酸ハフニウム層を形成するステップを含む、方法が提供される。該方法は、該基板を該酸化ガスとハフニウム前駆体を含有する第1のプロセスガスとに順次暴露して、この上に第1のハフニウム含有材料を形成するステップと、該基板を該酸化ガスと該ハフニウム前駆体を含有する第2のプロセスガスとに順次暴露して、該第1のハフニウム含有材料上に第2のハフニウム含有材料を形成するステップとを含む。一例では、該第1のプロセスガスはまたシリコン前駆体を含有する。該方法は更に、水素源ガスおよび酸素源ガスを水蒸気発生器に流すことによって水蒸気を含有する酸化ガスを形成するステップを含む。
[0011]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより特定的な説明が実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の典型的な実施形態のみを図示しており、従って、本発明は他の等しく効果的な実施形態を認めてもよいため、その範囲を制限するものとみなされるべきではない点に注目すべきである。
詳細な説明
[0023]本発明は、原子層堆積(ALD)プロセスによって基板表面上にハフニウム含有材料および他の高誘電率誘電材料を堆積するための方法を提供する。一態様では、ALDプロセスは、ハフニウム前駆体および酸化ガスをALDプロセスチャンバに順次パルスしてハフニウム含有材料を形成することによって行われる。酸化ガスは、ALDプロセスチャンバに結合された水蒸気発生器(WVG)システムから生じた水蒸気を含有する。WVGシステムは、水素源ガスおよび酸素源ガスを触媒に暴露することによって(例えば、500℃より低い)低温で酸化ガスを生成する。酸化ガスの組成は、種々の比の酸素や水素の豊富な水蒸気を提供するように正確に制御されてもよい。水蒸気を発生させるためにWVGシステムを利用するALDプロセスは、堆積された誘電材料の組成の元素制御と、基板上の汚染物質の最小化と、製造スループットを増加させる迅速なプロセス時間とを有している。
プロセス
[0024]図1は、本発明の一実施形態に従った、酸化ハフニウムなどのハフニウム含有材料を形成するための例示的なプロセスシーケンス100を図示している。基板は、循環堆積を実行可能なプロセスチャンバにロードされて、プロセス条件が調整される(ステップ110)。プロセス条件は、基板やプロセスチャンバの温度、チャンバ圧力およびガス流量を含んでもよい。基板は、ALDサイクルを開始する前に任意の予浸プロセスおよびパージに暴露されてもよい(ステップ115)。基板は、約0.1秒〜約5秒の範囲の期間、プロセスチャンバのみに導入されるか、またはキャリアガスと組み合わさったハフニウム前駆体のパルスに暴露される(ステップ120)。そしてパージガスのパルスは処理チャンバに導入されて(ステップ130)、残渣ハフニウム前駆体や副生成物をパージ、あるいは他の方法で除去する。次に、酸化ガスのパルスが処理チャンバに導入される(ステップ140)。酸化ガスは、水蒸気および酸素などの数種の酸化剤の混合物を含んでもよい。パージガスのパルスはプロセスチャンバに再度導入されて(ステップ150)、残渣酸化ガスや副生成物をパージ、あるいは他の方法で除去する。適切なキャリアガスやパージガスは、ヘリウム、アルゴン、窒素、水素、フォーミングガス、酸素あるいはこれらの組み合わせを含んでもよい。
[0025]本明細書で使用されている「パルス」は、プロセスチャンバの反応ゾーンに断続的または非連続的に導入される特定の化合物の量のことである。各パルス内の特定の化合物量は、パルスの期間に応じて経時的に変化してもよい。各パルスの期間は、例えば用いられているプロセスチャンバのボリューム容量、これに結合されている真空システムおよび特定の化合物の揮発性/反応性などの多数の要因に応じて可変的である。本明細書で使用されている「半反応」は、除去ステップが続く暴露ステップのことである。暴露ステップは、試薬をプロセスチャンバに導入するステップと、この試薬を含有するプロセスガスのパルスなどの、ここに含有されている基板上に試薬を吸着または化学反応させるステップとを提供する。パージステップは、ガス(例えば、パージガスやキャリアガス)を導入するチャンバから過剰な試薬や反応副生成物を除去するステップ、真空システムによって真空にするステップ、あるいはこれらの組み合わせを提供する。
[0026]ステップ160を参照すると、各堆積サイクル(ステップ120〜150)の後、酸化ハフニウムなどのハフニウム含有材料の層が基板上に堆積される。通常、各堆積サイクルは、約1Å〜約10Åの範囲の厚さの層を形成する。具体的なデバイス要件に応じて、後続の堆積サイクルが、所望の厚さを有するハフニウム含有材料を堆積するのに必要とされる場合がある。このように、堆積サイクル(ステップ120〜150)は、ハフニウム含有材料の所定の厚さを達成するために反復されてもよい。その後、プロセスシーケンス100はステップ170に指し示されるように停止されてもよい。堆積プロセスによって形成された酸化ハフニウム材料は実験化学式HfOを有している。酸化ハフニウムは分子化学式HfOを有することもあるが、プロセス条件(例えば、タイミング、温度あるいは前駆体)を変更することによって、HfO1.8など、酸化ハフニウムはそれ程酸化されない場合もある。好ましくは、酸化ハフニウムはプロセスによって堆積され、分子化学式がHfOであるか、酸素:ハフニウム濃度が2未満となる。
[0027]ステップ115に描かれるように、多様な官能基によって基板表面を終端するために、基板は前処理プロセスや予浸プロセスに暴露されてもよい。本明細書で説明されるような堆積プロセスを開始する前に有用な官能基はヒドロキシル(OH)、アルコキシ(OR、ここでR=Me、Et、PrまたはBu)、ハロキシル(OX、ここでX=F、Cl、BrまたはI)、ハロゲン化物(F、Cl、BrまたはI)、酸素ラジカルおよびアミノ(NRまたはNR、ここでR=H、Me、Et、PrまたはBu)を含む。前処理プロセスは、NH、B、SiH、SiH、HO、HF、HCl、O、O、HO、H、H、H原子、N原子、O原子、アルコール、アミン、これらの誘導体またはこれらの組み合わせなどの試薬に基板を暴露してもよい。官能基は、基板表面に付着する次の化学前駆体にベースを提供してもよい。前処理プロセスは、約1秒〜約2分、好ましくは約5秒〜約60秒の範囲の期間試薬に基板表面を暴露してもよい。
[0028]一実施形態では、予浸プロセスは、WVGシステムから生成された水蒸気を含有する酸化ガスに基板を場合によって暴露するステップを含んでもよい。予浸プロセスは基板表面に、後続の暴露中にアミノ型リガンド(例えば、TDEAH、TDMAH、TDMASつまりTris−DMAS)を含有する前駆体と反応するヒドロキシル終端官能基を提供する。予浸プロセス中、基板表面は、約3秒〜約90秒、好ましくは約5秒〜約60秒、より好ましくは約10秒〜約30秒の範囲の期間、水蒸気を含有する酸化ガスに暴露されてもよい。含浸プロセス後、プロセスチャンバは、通常、キャリアガスかパージガスをパージされて、過剰な酸化ガスおよび揮発性副生成物を除去する。ハフニウム含有材料を形成する例では、基板表面は、WVGシステムから生成された水蒸気を含有する酸化ガスに約9秒間暴露されてもよい。その後、プロセスチャンバは約6秒間パージされて、ALDプロセスサイクルは、TDEAHやTDMAHを含有するプロセスガスのパルスを提供することによって開始される。例えばシリコン含有材料を形成する例では、基板表面は、WVGシステムから生成された水蒸気を含有する酸化ガスに約15秒間暴露されてもよい。その後、プロセスチャンバは約10秒間パージされて、ALDプロセスサイクルは、TDMASつまりTris−DMASを含有するプロセスガスのパルスを提供することによって開始される。
[0029]ALDプロセスは典型的に、約1トール〜約100トール、好ましくは約1トール〜約20トール、より好ましくは約1トール〜約10トールの範囲の圧力でプロセスチャンバにおいて行われる。基板の温度は、通常、約70℃〜約1,000℃、好ましくは約100℃〜約650℃、より好ましくは約250℃〜約500℃の範囲に維持される。
[0030]ステップ120では、ハフニウム前駆体が、約5標準立法センチメートル毎分(sccm)〜約200sccmの範囲のレートでプロセスチャンバに導入される。ハフニウム前駆体は、通常、約50sccm〜約1,000sccmの範囲の全流量で、窒素などのキャリアガスを導入される。ハフニウム前駆体は、特定のプロセス条件、ハフニウム前駆体あるいは堆積されたハフニウム含有材料の所望の組成に応じて、約0.1秒〜約10秒の範囲のレートでプロセスチャンバにパルスされてもよい。一実施形態では、ハフニウム前駆体は、約1秒〜約5秒の範囲、例えば約3秒のレートでプロセスチャンバにパルスされる。別の実施形態では、ハフニウム前駆体は、約0.1秒〜約1秒の範囲、例えば約0.5秒のレートでプロセスチャンバにパルスされる。一例では、ハフニウム前駆体は、好ましくは四塩化ハフニウム(HfCl)である。別の例では、ハフニウム前駆体は、好ましくは、テトラキス(ジエチルアミノ)ハフニウム((EtN)HfまたはTDEAH)などのテトラキス(ジアルキルアミノ)ハフニウム化合物である。
[0031]ハフニウム前駆体は概して、図2Aに描かれているように、ハフニウム前駆体を含有するアンプル282を介してキャリアガスを導入することによってプロセスチャンバ280に分配されてもよい。アンプル282は、化学前駆体を含有または分配するために使用されるアンプル、バブル、カートリッジまたは他のコンテナを含んでもよい。PROE−VAP(商標)などの適切なアンプルは、コネチカット州ダンバリーにあるAdvanced Technology Materials,Inc.,から入手可能である。アンプル282は導管283によってプロセスチャンバ280と流体連通している。導管283はチューブ、パイプ、ライン、ホース、または当分野で既知の他の導管であってもよい。また、アンプル282はプロセスチャンバ280から距離284にある。距離284は、通常、約2メートル未満、好ましくは約1.25メートル未満、より好ましくは約0.7メートル以下である。距離284は、一貫したハフニウム前駆体流を維持するために最小化されてもよい。また、導管283は直線であってもよく、または屈曲を有してもよいのに対して、導管283は、好ましくは直線であり、あるいは可能な限り小数の屈曲を有している。導管283は所定の温度を維持するために加熱テープで巻かれてもよい。アンプル282の温度は、ハフニウム前駆体に応じて例えば約20℃〜約300℃の範囲の温度に維持される。一例では、アンプル282は、約150℃〜約200℃の範囲の温度でHfClを含有する。
[0032]一実施形態では、アンプル282は、注入バルブシステム281を含有する液体送出システムの一部であってもよい。注入バルブシステム281は導管283によってアンプル282およびプロセスチャンバ280に接続される。キャリアガス源は、通常、注入バルブシステム281(図示せず)に接続される。液体前駆体(例えば、TDEAH、TDMAH、TDMASつまりTris−DMAS)を含有するアンプル282は、液体前駆体を注入バルブシステム281に移送するように加圧されてもよい。概して、液体前駆体を含有するアンプル282は約138kPa(約20psi)〜約414kPa(約60psi)の範囲の圧力に加圧されてもよく、また約100℃以下、好ましくは約20℃〜約60℃の範囲の温度に加熱されてもよい。注入バルブシステム281は液体前駆体をキャリアガスと結合させて、プロセスチャンバ280に注入される前駆体蒸気を形成する。キャリアガスは窒素、アルゴン、ヘリウム、水素またはこれらの組み合わせを含んでもよく、またキャリアは約85℃〜約150℃の範囲の温度に予熱されてもよい。適切な注入バルブは、日本の京都にあるHoribe−Stecから入手可能である。
[0033]ステップ140で、酸化ガスは、約0.05sccm〜約1,000sccmの範囲、好ましくは約0.5sccm〜約100sccmの範囲の流量でプロセスチャンバ280に導入される。酸化ガスは、約0.05秒〜約10秒、好ましくは約0.08秒〜約3秒、より好ましくは約0.1秒〜約2秒の範囲のレートでプロセスチャンバ280にパルスされる。一実施形態では、酸化ガスは、約1秒〜約5秒の範囲、例えば約1.7秒のレートでパルスされる。別の実施形態では、酸化ガスは約0.1秒〜約3秒の範囲、例えば約0.5秒のレートでパルスされる。
[0034]酸化ガスは、導管287によってプロセスチャンバ280と流体連通している水蒸気発生器(WVG)システム286から発生されてもよい。接続金具212および214は、WVGシステム286やプロセスチャンバ280に導管287をリンクさせるために使用されてもよい。適切な接続金具は、Fujikin of America,Inc.から入手可能なUPG接続金具を含む。概して、導管287はALDバルブアセンブリを介してプロセスチャンバ280と流体連通している。導管287は、金属(例えば、ステンレス鋼やアルミニウム)、ゴムまたはプラスチック(例えば、PTFE)から構成されるチューブ、パイプ、ラインまたはホースであってもよい。一例では、ステンレス鋼316Lから形成されたパイプが導管287として使用される。WVGシステム286は、(例えば、500℃より低い)低温での酸素源ガス(例えば、O)および水素源ガス(例えば、H)の触媒反応によって高純度水蒸気を生成する。水素および酸素源ガスは各々、約5sccm〜約200sccm、好ましくは約10sccm〜約100sccmの範囲の流量でWVGシステム286に流れる。概して、酸素および水素源ガスの流量は、酸化ガスの流出中に、酸素または酸素源ガスを有し、水素または水素源ガスは有さないように独立して調整される。
[0035]水蒸気を含有する酸化ガスを生成するのに有用な酸素源ガスは酸素(O)、酸素原子(O)、オゾン(O)、亜酸化窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)、五酸化二窒素(N)、過酸化水素(H)、これらの誘導体またはこれらの組み合わせを含んでもよい。水蒸気を含有する酸化ガスを生成するのに有用な水素源ガスは、水素(H)、水素原子(H)、フォーミングガス(H/N)、アンモニア(NH)、炭化水素(例えば、CH)、アルコール(例えば、CHOH)、これらの誘導体またはこれらの組み合わせを含んでもよい。キャリアガスは酸素源ガスまたは水素源ガスのいずれかと共に流されてもよく、またN、He、Arまたはこれらの組み合わせを含んでもよい。好ましくは、酸素源ガスは酸素または亜酸化窒素であり、水素源ガスは、水素や、例えば窒素中に水素5vol%のフォーミングガスである。
[0036]水素源ガスおよび酸素源ガスは、堆積プロセス中に酸化ガス内の水蒸気の微妙な制御を提供するためにキャリアガスによって希釈されてもよい。一実施形態では、(約10sccmの水蒸気より小さな)より小さな水蒸気流量が、ALDプロセス中に化学反応を完了させてハフニウム含有材料や他の誘電材料を形成するのに望ましい場合がある。より小さな水蒸気流量は酸化ガス内の水蒸気濃度を希釈する。希釈された水蒸気は、基板表面に吸着された前駆体を酸化する濃度である。従って、より小さな水蒸気流量が水蒸気暴露後のパージ時間を最小化して、製造スループットを増加させる。また、より小さな水蒸気流量は、望ましくない共反応を回避することによって微粒子汚染物質の形成を低減する。マスフローコントローラ(MFC)は、約0.5sccmの流量の水蒸気のストリームを発生させる間、約0.5sccmの流量の水素源ガスを制御するのに使用されてもよい。しかしながら、多くのMFCシステムはこのような低いレートでは一貫した流量を提供することは不可能である。従って、希釈された水素源ガス(例えば、フォーミングガス)が、より小さな水蒸気流量を達成するためにWVGシステムで使用されてもよい。一例では、約10sccmの流量かつ5%水素のフォーミングガスを含有する水素源ガスは、約0.5sccmの流量でWVGシステムから水蒸気を送出する。代替実施形態では、(約10sccm水蒸気より大きな)より大きな水蒸気流量が、ハフニウム含有材料や他の誘電材料を形成する間、ALDプロセス中に化学反応を完了させるには望ましい場合がある。例えば、約100sccmの水素ガスは約100sccmの水蒸気を送出する。
[0037]フォーミングガスは、アルゴンや窒素などのキャリアガスのボリュームで約1%〜約95%の範囲の水素濃度で選択されてもよい。一態様では、フォーミングガスの水素濃度は、キャリアガスのボリュームで約1%〜約30%、好ましくは約2%〜約20%、より好ましくは約3%〜約10%の範囲であり、例えばフォーミングガスは約5%の水素および約95%の窒素を含有してもよい。別の態様では、フォーミングガスの水素濃度はキャリアガスのボリュームで約30%〜約95%、好ましくは約40%〜約90%、より好ましくは約50%〜約85%の範囲であり、例えばフォーミングガスは約80%の水素および約20%の窒素を含有してもよい。
[0038]一例では、WVGシステムは5%水素(95%窒素)を含有する水素源ガスを約10sccmの流量で、かつ酸素源ガス(例えばO)を約10sccmの流量で受け取り、約0.5sccmの流量の水蒸気および約9.8sccmの流量の酸素を含有する酸化ガスを形成する。別の例では、WVGシステムは5%水素フォーミングガスを含有する水素源ガスを約20sccmの流量で、かつ酸素源ガスを約10sccmの流量で受け取り、約1sccmの流量の水蒸気および約9sccmの流量の酸素を含有する酸化ガスを形成する。別の例では、WVGシステムは、約20sccmの流量の水素ガスを含有する水素源ガスと、約10sccmの流量の酸素源ガスとを受け取り、約10sccmのレートの水蒸気および約9.8sccmのレートの酸素を含有する酸化ガスを形成する。他の例では、酸素源ガスである亜酸化窒素が水素源ガスと併用されてALDプロセス中に水蒸気を形成する。概して、2モル当量の亜酸化窒素が各モル当量の酸素ガスと置換される。
[0039]WVGシステムは、水蒸気を含有する酸化ガスが水素源と酸素源間の触媒化学反応によって生成される触媒ライン反応器や触媒カートリッジなどの触媒を含有する。WVGシステムは、通常、1,000℃以上の温度で着火反応の結果として水蒸気を発生させる発熱性生成器とは異なる。触媒を含有するWVGシステムは、通常、約100℃〜約500℃の範囲、好ましくは約350℃以下の低温で水蒸気を発生させる。触媒反応器内に含有された触媒は、パラジウム、プラチナ、ニッケル、鉄、クロム、ルテニウム、ロジウム、これらの合金またはこれらの組み合わせなどの金属や合金を含んでもよい。超高純水は本発明のALDプロセスに理想的である。一実施形態では、未反応水素が下流に流れるのを防止するために、酸素源ガスが、約5秒間WVGシステムを流される。次に、水素源ガスが約5秒間反応器に入れられる。酸素および水素源ガス(例えば、HおよびO)間の触媒反応は水蒸気を生成する。酸素および水素源ガスの流れを調節することによって、形成された水蒸気含有酸化ガス内の酸素および水素の濃度の正確な制御が可能になる。水蒸気は、水素源ガス、酸素源ガスまたはこれらの組み合わせの残余物を含有する場合がある。カリフォルニア州サンタクララにあるFujikin of America,Inc.,による水蒸気発生器(WVG)システムやカリフォルニア州メンロパークにあるUltra Clean Technologyによる触媒スチーム生成器システム(CSGS)などの適切なWVGシステムが市販されている。
[0040]図2Bは、WVGシステム286の一構成を図示している。水素源262と、酸素源264とキャリアガス源266とは導管システム261によってWVGシステム286に接続される。導管システム261は、水素源262、酸素源264および/またはキャリアガス源266からのガスをガス入力267およびフィルタ268を介して触媒反応器270と独立して流体連通させる導管およびバルブを含有する。水蒸気は触媒反応器270内で形成され、かつここから放出される。また、導管システム261は、水素源262および酸素源264からのガスを接合部271で触媒反応器270を独立して迂回させる導管およびバルブを含有する。従って、追加の水素源ガスおよび/または酸素源ガスが触媒反応器270を迂回して、水蒸気と結合して、酸素または水素が豊富な酸化ガスを形成してもよい。ガスセンサー272およびガスフィルタ274は、触媒反応器270から下流の導管システム261に接続される。ガスセンサー272は、酸素、水素および水の濃度を含む酸化ガスの組成を判断するために使用されてもよい。酸化ガスは、WVGシステム286を出る前にガスフィルタ274を通過してもよい。
[0041]ステップ130および150において、パージガス、好ましくはアルゴンまたは窒素のパルスは典型的に、約2標準リットル毎分(slm)〜約22slmの範囲、好ましくは約10slmの流量で導入される。各処理サイクル(ステップ120〜150)は、約0.01秒〜約20秒の範囲の期間生じる。一例では、プロセスサイクルは約10秒続く。別の例では、プロセスサイクルは約2秒続く。約10秒続くより長い処理ステップは良好なハフニウム含有膜を堆積するが、スループットを低減させる。具体的なパージガス流量およびプロセスサイクル期間は実験によって得られる。一例では、類似のスループットを維持するために直径300mmのウェーハは、直径200mmのウェーハと同じ期間に対して約2倍の流量を必要とする。
[0042]一実施形態では、水素ガスがキャリアガス、パージガスおよび/または反応ガスとして印加されて、堆積材料からハロゲン汚染を低減させる。ハロゲン原子(例えば、HfCl、SiClおよびSiCl)を含有する前駆体は堆積された誘電材料を汚染しやすい。水素は還元剤であり、揮発性かつ除去可能な副生成物としてハロゲン化水素(例えば、HCl)を発生させる。従って、水素は前駆体化合物(例えば、ハフニウム、シリコン、酸素前駆体)と結合される場合にキャリアガスまたは反応ガスとして使用されてもよく、別のキャリアガス(例えば、ArまたはN)を含んでもよい。一例では、約100℃〜約500℃の範囲の温度での水/水素混合物が、ハロゲン濃度を低減させ、かつ堆積材料の酸素濃度を増加させるのに使用される。一例では、水/水素混合物は、過剰な水素源ガスをWVGシステムに供給して水素が豊富な水蒸気を形成することによって導かれてもよい。
[0043]別の実施形態では、図3は、ケイ酸ハフニウムなどのハフニウム含有材料を形成するための例示的なプロセスシーケンス200を図示している。基板が循環堆積を実行可能なプロセスチャンバにロードされ、プロセス条件が調整される(ステップ250)。基板は、ALDサイクルを開始する前に任意の予浸プロセスおよびパージに暴露されてもよい(ステップ207)。基板は、約0.1秒〜約5秒の範囲の期間プロセスチャンバに導入されるハフニウム前駆体のパルスに暴露される(ステップ210)。パージガスのパルスはプロセスチャンバに導入されて(ステップ215)、残渣ハフニウム前駆体や副生成物をパージ、または他の方法で除去する。次に、酸化ガスのパルスが、約0.1秒〜約10秒の範囲の期間プロセスチャンバに導入される(ステップ220)。酸化ガスは、WVGシステムから生じた水蒸気および酸素などの数種の酸化剤を含んでもよい。パージガスのパルスは再度プロセスチャンバに導入され(ステップ225)、残渣酸化化合物や副生成物をパージ、あるいは他の方法で除去する。そして基板は、約0.1秒〜約10秒の範囲の期間プロセスチャンバに導入されるシリコン前駆体のパルスに暴露される(ステップ230)。パージガスのパルスが再度プロセスチャンバにパルスされて(ステップ235)、残渣シリコン前駆体や副生成物をパージ、あるいは他の方法で除去する。次に、酸化ガスの別のパルスが、約0.1秒〜約10秒の範囲の期間プロセスチャンバに導入される(ステップ240)。パージガスのパルスが再度処理チャンバに導入されて(ステップ245)、残渣酸化化合物や副生成物をパージ、あるいは他の方法で除去する。適切なキャリアガスやパージガスは、ヘリウム、アルゴン、窒素、水素、フォーミングガス、酸素またはこれらの組み合わせを含んでもよい。
[0044]ステップ250を参照すると、各堆積サイクル(ステップ210〜245)の後、第1の厚さを有するケイ酸ハフニウムなどのハフニウム含有材料が基板表面上に堆積される。通常、各堆積サイクルは、約0.5Å〜約10Åの範囲の厚さの層を形成する。具体的なデバイス要件に応じて、後続の堆積サイクルが、所定の厚さのハフニウム含有材料を堆積するのに必要とされる場合がある。堆積サイクル(ステップ210〜245)は、ハフニウム含有材料の所望または所定の厚さがステップ250で達成され、かつプロセスシーケンス200がステップ260で停止されるまで反復されてもよい。
[0045]本明細書に説明された堆積プロセスによって形成されたケイ酸ハフニウム材料は実験化学式HfSiを有する。ケイ酸ハフニウムは、酸化ハフニウム(HfOまたはHfO)と酸化シリコン(SiOまたはSiO)の均等な混合物か単相HfSiO材料であってもよい。ケイ酸ハフニウムは分子化学式HfSiOを有してもよいが、プロセス条件(例えば、タイミング、温度、前駆体)を変更することによって、ケイ酸ハフニウムは元素濃度によって、例えばHfSiO3.8やHfSi0.83.8に変更してもよい。
[0046]図3に描かれているALDプロセスは典型的に、約1トール〜約100トール、好ましくは約1トール〜約20トール、より好ましくは約1トール〜約10トールの範囲の圧力で、プロセスチャンバ内で生じる。基板の温度は、通常、約70℃〜約1,000℃、好ましくは約100℃〜約650℃、より好ましくは約250℃〜約500℃の範囲である。ステップ207の任意の予浸プロセスはALDサイクルを開始するステップに続き、ステップ115に説明されるように、WVGシステムから生成された水蒸気を含有する酸化ガスに基板を暴露するステップを含んでもよい。
[0047]ステップ210の際、ハフニウム前駆体は約5sccm〜約200sccmの範囲の流量でプロセスチャンバに導入される。ハフニウム前駆体は、通常、約50sccm〜約1,000sccmの範囲の全流量で、窒素などのキャリアガスを導入される。ハフニウム前駆体は約0.1秒〜約10秒の範囲のレートでプロセスチャンバにパルスされる。一実施形態では、ハフニウム前駆体は約1秒〜約5秒の範囲、例えば3秒のレートでパルスされる。別の実施形態では、ハフニウム前駆体は約0.1秒〜約1秒の範囲、例えば約0.5秒のレートでパルスされる。ハフニウム前駆体が好ましくは四塩化ハフニウムである例もあるのに対して、ハフニウム前駆体が好ましくはTDEAHや他のテトラキス(ジアルキルアミノ)ハフニウム化合物である例もある。
[0048]一実施形態では、ハフニウム前駆体は概して、図2Aに描かれているように、ハフニウム前駆体を含有するアンプル282を介してキャリアガスを導入することによってプロセスチャンバ280に分配される。アンプル282の温度は、ハフニウム前駆体に応じた、約20℃〜約300℃の範囲の温度に維持される。一例では、アンプル282は約150℃〜約200℃の範囲の温度でHfClを含有する。別の例では、液体前駆体(例えば、TDEAH、TDMAH、TDMASつまりTris−DMAS)を含有するアンプル282は、液体前駆体を注入バルブシステム281に移送するために加圧されてもよい。概して、液体前駆体を含有するアンプル282は約138kPa(約20psi)〜約414kPa(約60psi)の範囲の圧力に加圧されてもよく、また約100℃以下の、好ましくは約20℃〜約60℃の範囲の温度に加熱されてもよい。注入バルブシステム281は液体前駆体をキャリアガスに結合させて、プロセスチャンバ280に注入される前駆体蒸気を形成する。キャリアガスは窒素、アルゴン、ヘリウム、水素またはこれらの組み合わせを含んでもよく、またキャリアガスは約85℃〜約150℃の範囲の温度に予熱されてもよい。
[0049]ステップ220および240では、水蒸気を含有する酸化ガスが、約20sccm〜約1,000sccm、好ましくは約50sccm〜約200sccmの範囲のレートでプロセスチャンバ280に導入される。酸化ガスは、特定のプロセス条件および堆積ハフニウム含有材料の所望の組成に応じて、約0.1秒〜約10秒の範囲のレートでプロセスチャンバ280にパルスされる。一実施形態では、酸化ガスは約1秒〜約3秒、例えば約1.7秒のレートでパルスされる。別の実施形態では、酸化ガスは、約0.1秒〜約1秒、例えば約0.5秒のレートでパルスされる。
[0050]酸化ガスは、導管287によってプロセスチャンバ280と流体連通しているWVGシステム286から発生されてもよい。水素源ガス(H)および酸素源ガス(O)は各々、約20sccm〜約300sccmの範囲の流量でWVGシステム286に独立して流れる。概して、酸素源ガスは水素源ガスよりも高い流量である。一例では、水素源ガスは約100sccmの流量を有し、酸素源ガスは約120sccmの流量を有しており、水蒸気に酸素を豊富にする。
[0051]WVGシステムの別の実施形態では、水素の流量は酸素の流量よりも大きく、例えば水素源ガスは約250sccmの流量を有し、酸素源ガスは約100sccmの流量を有している。従って、WVGシステムから流れる水蒸気は水素が豊富である。例えば、水素源ガスが約250sccmの流量を有し、かつ酸素源ガスが約100sccmの流量を有している場合、酸化ガスの流出は約100sccmの水蒸気および約50sccmの水素の部分流量を含有する。水素が豊富な水蒸気は幾つかの重要な機能を有している。まず、水蒸気における過剰な水素はハロゲンなどの特定の汚染物質の除去レートを増加させる。HfClや他のハロゲン化前駆体を含有する堆積プロセス中、過剰な水素ガスは塩素と反応して、パージステップによって容易に除去される揮発性生成物である塩化水素を形成する。第2に、水蒸気内の過剰な水素は複数の金属ゲート層の酸化を防止する。MIMキャパシタやデバイスにおいて、スタックは、アルミニウムやタングステンなどの2つの金属層間に挟持された誘電層を含有してもよい。ケイ酸化合物などの誘電層を形成する際に、過剰な水素は金属層を低減するのに対して、水蒸気は誘電層を酸化させる。
[0052]ステップ230の際、シリコン前駆体は、約5sccm〜約200sccmの範囲の流量、あるいは約1mg/分〜約50mg/分、好ましくは約5mg/分〜約25mg/分の流量でプロセスチャンバに導入される。シリコン前駆体は、通常、約50sccm〜約1,000sccmの範囲の全流量で窒素などのキャリアガスを導入される。シリコン前駆体は特定のプロセスおよび所望のシリコン濃度に応じて約0.1秒〜約10秒の範囲のレートでプロセスチャンバにパルスされる。一実施形態では、シリコン前駆体は約1秒〜約5秒の範囲、例えば約3秒のレートでパルスされる。別の実施形態では、シリコン前駆体は約0.1秒〜約1秒の範囲、例えば約0.5秒のレートでパルスされる。シリコン前駆体が好ましくはトリス(ジメチルアミノ)シラン((MeN)SiHまたはTris−DMAS)、テトラキス(ジメチルアミノ)シラン((MeN)SiまたはTDMAS)や他のジアルキルアミノシランである例もあるのに対して、シリコン前駆体が好ましくはシラン(SiH)である例もある。
[0053]ステップ215、225、235および245の際、アルゴンや窒素などのパージガスのパルスが典型的に、約2slm〜約22slmの範囲、好ましくは約10slmの流量で導入される。各プロセスサイクル(ステップ210〜245)は約2秒〜約40秒の範囲の期間生じることがある。一例では、プロセスサイクルの期間は約20秒続くのに対して、別の例ではプロセスサイクルの期間は約4秒続く。約20秒続くより長いプロセスステップは良好なハフニウム含有膜を堆積するが、スループットを低減させる。
[0054]別の実施形態では、ケイ酸ハフニウムなどのハフニウム含有材料は、酸化ガスを導入するステップおよび後続のパージステップのいずれかを省略することによって形成されてもよい。一例では、ステップ220〜225が省略されるため、ケイ酸ハフニウム材料は、ハフニウム前駆体、パージガス、シリコン前駆体、パージガス、酸化ガスおよびパージガスを順次パルスすることによって形成されてもよい。別の例では、ステップ240〜245が省略されるため、ケイ酸ハフニウム材料は、ハフニウム前駆体、パージガス、酸化ガス、パージガス、シリコン前駆体およびパージガスを順次パルスすることによって形成されてもよい。
[0055]図4は、本発明の別の実施形態に従った、ケイ酸ハフニウムなどのハフニウム含有材料を形成するための例示的なプロセスシーケンス300を図示している。基板は循環堆積を実行可能なプロセスチャンバにロードされ、プロセス条件が調整される(ステップ310)。基板はALDサイクルを開始する前に任意の予浸プロセスおよびパージに暴露されてもよい(ステップ315)。基板は、完全または少なくとも部分的に時間が重複し、かつ約0.1秒〜約5秒の範囲の期間プロセスチャンバに導入されるハフニウム前駆体のパルスおよびシリコン前駆体のパルスに暴露される(ステップ320)。パージガスのパルスが処理チャンバにパルスされて(ステップ330)、残渣ハフニウム前駆体、シリコン前駆体または副生成物をパージ、あるいは他の方法で除去する。次に、酸化ガスのパルスが処理チャンバに導入される(ステップ340)。酸化ガスは、WVGシステムから生じた水蒸気および酸素などの数種の酸化剤を含んでもよい。パージガスのパルスが再度処理チャンバに導入され(ステップ350)、残渣還元化合物をパージ、あるいは他の方法で除去する。適切なキャリアガスやパージガスは、ヘリウム、アルゴン、窒素、水素、フォーミングガス、酸素またはこれらの組み合わせを含んでもよい。
[0056]ステップ360を参照すると、各堆積サイクル(ステップ320〜350)の後、第1の厚さを有するケイ酸ハフニウムなどのハフニウム含有材料が基板表面上に堆積される。ALDプロセス中、各堆積サイクルは、約0.5Å〜約10Åの範囲の厚さの層を形成する。具体的なデバイス要件に応じて、後続の堆積サイクルが、所定の厚さのハフニウム含有材料を堆積させるのに必要な場合がある。堆積サイクル(ステップ320〜350)は、ハフニウム含有材料の所望または所定の厚さがステップ360で達成され、かつプロセスシーケンス300がステップ370で停止されるまで反復されてもよい。
[0057]図4に描かれているALDプロセスは典型的に、約1トール〜約100トール、好ましくは約1トール〜約20トール、より好ましくは約1トール〜約10トールの範囲の圧力でプロセスチャンバ内で生じる。基板の温度は、通常、約70℃〜約1,000℃、好ましくは約100℃〜約650℃、より好ましくは約250℃〜約500℃の範囲である。ステップ315の任意の予浸プロセスはALDサイクルを開始するステップに続き、ステップ115に説明されるように、WVGシステムから生成された水蒸気を含有する酸化ガスに基板を暴露するステップを含んでもよい。
[0058]ステップ320の際、ハフニウム前駆体およびシリコン前駆体は各々前駆体のパルスとしてプロセスチャンバに流れることによって導入される、つまりパルスされた前駆体は、その前駆体のプロセスチャンバへの導入である。図5A〜5Eにおいて、tは、ハフニウム前駆体およびシリコン前駆体がステップ320でパルスされる期間に相当するのに対して、tはステップ330、340および350の期間に相当する。期間tおよびtは、相互にスケーリングするためにグラフ化されていない。図5Aに描かれた一実施形態では、両前駆体がtの間ずっと流れるように、ハフニウム前駆体およびシリコン前駆体は同じ期間中独立してパルスされる。例えば、ハフニウム前駆体およびシリコン前駆体は約2秒間同時にパルスされる。
[0059]図5B〜図5Cに描かれた別の実施形態では、ハフニウム前駆体およびシリコン前駆体は独立してパルスされるため、第1の前駆体はtの間ずっと流れ、かつ第2の前駆体はtの中間の期間流れる。例えば、図5Bにおいて、tが約2秒続く場合、ハフニウム前駆体は約2秒間パルスされ、シリコン前駆体はパルスされたハフニウム前駆体の中間の期間約1.5秒間パルスされる。代替的には、図5Cにおいて、tが約2秒続く場合、シリコン前駆体は約2秒間パルスされ、またハフニウム前駆体はパルスされたシリコン前駆体の中間の期間約1.5秒間パルスされる。
[0060]図5D〜図5Eに描かれた別の実施形態では、第1の前駆体がtの開始で流れるがtの終わりまでは流れず、かつ第2の前駆体はtの開始では流れないがtの終わりまで流れるように、ハフニウム前駆体およびシリコン前駆体は部分的に重複して独立してパルスされる。例えば、図5Dにおいて、tが約2秒続く場合、ハフニウム前駆体はtの開始で約1.5秒間パルスされ、シリコン前駆体はtの終わりで約1.5秒間パルスされる。別の例では、図5Eにおいて、tが約2秒続く場合、シリコン前駆体はtの開始で約1.75秒間パルスされ、ハフニウム前駆体はtの終わりで約1.5秒間パルスされる。
[0061]代替的に、第1の前駆体(例えば、ハフニウム前駆体)は、重複してもしなくても、期間tの任意の区間でパルスされてもよく、第2の前駆体(例えば、シリコン前駆体)はまた期間tの任意の区間パルスされてもよい。従って、ハフニウム前駆体、シリコン前駆体または他の前駆体は、期間が部分的に重複して、あるいは期間の重複なしでプロセスチャンバに独立してパルスされてもよい。一例では、tが約2秒続く場合、ハフニウム前駆体は約2秒間パルスされ、シリコン前駆体はハフニウム前駆体のパルス中約0.5秒間パルスされる。別の例では、tが約2秒続く場合、ハフニウム前駆体は約0.5秒間パルスされ、シリコン前駆体はハフニウム前駆体のパルスと重複せずに、あるいはこの期間以外で約0.5秒間パルスされる。別の例では、tが約2秒続く場合、ハフニウム前駆体は約0.5秒間パルスされ、シリコン前駆体はハフニウム前駆体のパルスと重複せずに、あるいはこの期間中約0.5秒間パルスされる。また第1の前駆体および第2の前駆体は、期間tの期間中、複数回パルスされてもよい。
[0062]ステップ320の際、ハフニウム前駆体は約5sccm〜約200sccmの範囲の流量でプロセスチャンバに導入される。ハフニウム前駆体は、通常、約50sccm〜約1,000sccmの範囲の全流量で窒素などのキャリアガスを導入される。ハフニウム前駆体は約0.1秒〜約10秒の範囲のレートでプロセスチャンバにパルスされてもよい。一実施形態では、ハフニウム前駆体は約1秒〜約5秒の範囲、例えば約3秒のレートでパルスされる。別の実施形態では、ハフニウム前駆体は約0.1秒〜約1秒の範囲、例えば約0.5秒のレートでパルスされる。ハフニウム前駆体が好ましくは四塩化ハフニウムである例もあるのに対して、ハフニウム前駆体が好ましくはTDEAHである例もある。
[0063]ハフニウム前駆体は概して、図2Aに描かれているように、ハフニウム前駆体を含有するアンプル282を介してキャリアガスを導入することによって、プロセスチャンバ280に分配される。キャリアガスおよびハフニウム前駆体は、導管283を介してプロセスチャンバ280に流れる前駆体蒸気を形成する。アンプル282の温度は、ハフニウム前駆体に応じた、約20℃〜約300℃の範囲の温度に維持される。一例では、アンプル282は約150℃〜約200℃の範囲の温度でHfClを含有する。別の例は、液体前駆体(例えば、TDEAH、TDMAH、TDMASつまりTris−DMAS)を含有するアンプル282は、液体前駆体を注入バルブシステム281に移送するために加圧されてもよい。概して、液体前駆体を含有するアンプル282は、約138kPa(約20psi)〜約414kPa(約60psi)の範囲の圧力で加圧されてもよく、また約100℃以下、好ましくは約20℃〜約60℃の範囲の温度に加熱されてもよい。注入バルブシステム281は液体前駆体をキャリアガスに結合させ、プロセスチャンバ280に注入される前駆体蒸気を形成する。キャリアガスは窒素、アルゴン、ヘリウム、水素またはこれらの組み合わせを含んでもよく、キャリアガスは約85℃〜約150℃の範囲の温度に予熱されてもよい。
[0064]ステップ320の際、シリコン前駆体は、約5sccm〜約200sccmの範囲の流量、あるいは約1mg/分〜約50mg/分、好ましくは約5mg/分〜約25mg/分の範囲の流量でプロセスチャンバに導入される。シリコン前駆体は、通常、約50sccm〜約1,000sccmの範囲の全流量で窒素などのキャリアガスを導入される。シリコン前駆体は約0.1秒〜約10秒の範囲のレートでプロセスチャンバにパルスされる。一実施形態では、シリコン前駆体は約1秒〜約5秒の範囲、例えば約3秒のレートでパルスされる。別の実施形態では、シリコン前駆体は約0.1秒〜約1秒の範囲、例えば約0.5秒の範囲のレートでパルスされる。シリコン前駆体が好ましくはTris−DMASつまりTDMASである例もあるのに対して、シリコン前駆体が好ましくはシランである例もある。
[0065]ステップ320の際の代替実施形態では、ハフニウム前駆体およびシリコン前駆体は、プロセスチャンバにパルスする前に結合されてもよい。ハフニウム/シリコン前駆体混合物は、堆積されたハフニウム含有材料内に所望のHf:Si比を達成するために比例量のハフニウム前駆体およびシリコン前駆体を結合させることによって形成される。ハフニウム/シリコン前駆体混合物を含有するプロセスガスは、キャリアガスをアンプル内の前駆体混合物に流すことによって形成されてもよい。ハフニウム/シリコン前駆体混合物は、ALDプロセスによって酸化ガスを順次パルスされ、ケイ酸ハフニウム材料などのハフニウム含有材料を形成する。本明細書に説明されたプロセスによって堆積されたケイ酸ハフニウムは実験化学式HfSiを有しており、ここでyはハフニウム/シリコン前駆体混合物内のハフニウム前駆体およびシリコン前駆体のモル比を変更することによって調整されてもよい。例えば、ハフニウム前駆体対シリコン前駆体の比が1より大きい場合、yは恐らく1未満である。しかしながら、ハフニウム前駆体対シリコン前駆体の比が1未満である場合、yは恐らく1より大きい。
[0066]ステップ340の際、酸化ガスは約20sccm〜約1,000sccmの範囲、好ましくは約50sccm〜約200sccmの範囲の流量でプロセスチャンバ280に導入される。酸化ガスは約0.1秒〜約10秒の範囲のレートでプロセスチャンバ280にパルスされる。一実施形態では、酸化ガスは約1秒〜約3秒の範囲、例えば約1.7秒のレートでパルスされる。別の実施形態では、酸化ガスは約0.1秒〜約1秒の範囲、例えば約0.5秒のレートでパルスされる。
[0067]プロセスシーケンス300の一実施形態では、酸化ガスは、導管287によってプロセスチャンバ280と流体連通しているWVGシステム286から発生される。水素源ガスおよび酸素源ガスは各々、約20sccm〜約200sccmの流量でWVGシステム286に流れる。概して、酸素源ガスの流量は水素源ガスの流量よりも大きく、例えば水素源ガスは約100sccmの流量を有し、酸素源ガスは約120sccmの流量を有する。従って、WVGシステム286から流れる水蒸気は酸素が豊富である。例えば、水素源ガスが約100sccmの流量を有し、かつ水素源ガスが約120sccmの流量を有する場合、酸化ガスの流出は約100sccmの水蒸気および約70sccmの酸素の部分流量を含む。別の例では、水素源ガスは約250sccmの流量を有し、酸素源ガスは約100sccmの流量を有する。従って、WVGシステムから流れる水蒸気は水素が豊富である。
[0068]ステップ330および350の際、アルゴンや窒素などのパージガスのパルスは典型的に、約2slm〜約22slmの範囲、好ましくは約10slmの流量で導入される。各プロセスサイクル(ステップ320〜350)は約0.5秒〜約20秒の範囲の期間生じてもよい。一例では、プロセスサイクルは約10秒続く。別の例では、プロセスサイクルは約2秒続く。
[0069]プロセスシーケンス100、200および300を含有する実施形態の幾つかでは、従来の酸化剤などの代替酸化ガスが、WVGシステムから形成された水蒸気を含有する酸化ガスの代わりに使用されてもよい。代替酸化ガスは、WVGシステムから生じたのではない水、酸素(O)、オゾン(O)、酸素原子(O)、過酸化水素(H)、亜酸化窒素(NO)、一酸化窒素(NO)、五酸化二窒素(N)、二酸化窒素(NO)、これらの誘導体またはこれらの組み合わせを含有する酸素源からプロセスチャンバに導入される。本発明の実施形態は、WVGシステムから形成された水蒸気を含有する酸化ガスから利点を享受するプロセスを提供するのに対して、他の実施形態は、本明細書で説明されている堆積プロセス中にハフニウム含有材料および他の誘電材料を形成する際に代替酸化ガスや従来の酸化剤を利用するプロセスを提供する。
[0070]多くの前駆体が、本明細書で説明された誘電材料を堆積するための本発明の実施形態の範囲内である。重要な前駆体特徴は好ましい蒸気圧力を有することである。大気温度および圧力での前駆体は気体、液体または固体であってもよい。しかしながら、揮発性前駆体はALDチャンバ内で使用される。有機金属化合物は少なくとも1つの金属原子と、アミド、アルキル、アルコキシ、アルキルアミノまたはアニリドなどの少なくとも1つの有機含有官能基とを含有する。前駆体は有機金属、無機またはハロゲン化物化合物を含んでもよい。
[0071]例示的なハフニウム前駆体は、ハロゲン化物、アルキルアミノ、シクロペンタジエニル、アルキル、アルコキシド、これらの誘導体またはこれらの組み合わせなどのリガンドを含有するハフニウム化合物を含む。ハフニウム前駆体として有用なハロゲン化ハフニウム化合物はHfCl、HfIおよびHfBrを含んでもよい。ハフニウム前駆体として有用なハフニウムアルキルアミノ化合物は(RR’N)Hf(ここでRまたはR’はそれぞれ水素、メチル、エチル、プロピルまたはブチルである)を含む。ハフニウム含有材料を堆積するのに有用なハフニウム前駆体は(EtN)Hf、(MeN)Hf、(MeEtN)Hf、(BuCHfCl、(CHfCl、(EtCHfCl、(MeHfCl、(Me)HfCl、(PrCHfCl、(PrC)HfCl、(BuCHfMe、(acac)Hf、(hfac)Hf、(tfac)Hf、(thd)Hf、(NOHf、(BuO)Hf、(PrO)Hf、(EtO)Hf、(MeO)Hfまたはこれらの誘導体を含む。好ましくは、ここでの堆積プロセス中に使用されるハフニウム前駆体はHfCL、(EtN)Hfまたは(MeN)Hfを含む。
[0072]シリコン含有材料を堆積するのに有用な例示的なシリコン前駆体はシラン、アルキルアミノシラン、シラノールまたはアルコキシシランを含んでおり、例えばシリコン前駆体は(MeN)Si、(MeN)SiH、(MeN)SiH、(MeN)SiH、(EtN)Si、(EtN)SiH、(MeEtN)Si、(MeEtN)SiH、Si(NCO)、MeSi(NCO)、SiH、Si、SiCl、SiCl、MeSiCl、HSiCl、MeSiCl、HSiCl、MeSi(OH)、MeSi(OH)、(MeO)Si、(EtO)Siまたはこれらの誘導体を含んでもよい。シリコン前駆体として有用な他のアルキルアミノシラン化合物は(RR’N)4−nSiH(ここでRまたはR’はそれぞれ水素、メチル、エチル、プロピルまたはブチルであり、n=0−3である)を含む。他のアルコキシシランは一般化学式(RO)4−nSiL(ここでR=メチル、エチル、プロピルまたはブチルであり、L=H、OH、F、Cl、BrまたはIおよびこれらの混合物である)によって記述されてもよい。また、より高次のシランは本発明の一部の実施形態ではシリコン前駆体として使用される。より高次のシランは、2003年10月17日に出願され、「Silicon−containing Layer Deposition with Silicon Compounds」と題され、米国特許第20040224089号として公開されている同一出願人による米国特許出願第10/688,797号に開示されており、これはシリコン前駆体について説明するために、その全体を参照として本明細書に組み込まれている。好ましくは、ここでの堆積プロセス中に使用されるシリコン前駆体は(MeN)SiH、(EtN)SiH、(MeN)Si、(EtN)SiまたはSiHを含む。
[0073]一部の実施形態では、窒素が、本明細書に説明されたプロセス中に堆積されたハフニウム含有材料および誘電材料に追加されてもよい。一例では、酸化ハフニウム材料は酸窒化ハフニウム材料を形成するために窒化されてもよく、またケイ酸ハフニウム材料は酸窒化ハフニウムシリコン材料を形成するために窒化されてもよい。一例では、ケイ酸ハフニウム膜はシリコンが豊富に堆積され、基板/誘電体インタフェース付近にはほとんど、または全く窒素を含有しない。膜厚が大きくなると、より多くのハフニウムが、誘電定数を増加させるために膜に組み込まれる。窒素はまた、膜を介してドーパントの拡散を増大させるために膜のバルクに添加されてもよい。代替的に、窒素は、安定的なキャッピング層を提供するために膜の上部付近に添加されてもよい。
[0074]窒素はまた、例えば窒素プラズマによる窒素衝撃、窒素含有環境での基板のアニーリングおよび/またはALDサイクル内で追加半反応に窒素前駆体を含めることによってハフニウム含有材料および誘電材料に添加されてもよい。窒素プラズマプロセスは、ALDサイクルの完了時および/またはハフニウム含有材料の堆積完了時に、半反応後にプラズマ窒化プロセスに基板表面を暴露するステップを含んでもよい。例えば、窒化遠隔プラズマは、酸窒化ハフニウム膜を形成するために酸化ハフニウム膜に、あるいは酸窒化ハフニウムシリコン膜を形成するためにケイ酸ハフニウム膜に暴露される。
[0075]別の実施形態では。基板上に堆積されたハフニウム含有材料が、N、NH、N、NO、NO、N原子またはこれらの組み合わせなどの窒素含有環境でアニーリングされる。基板は、約15秒〜約10分の範囲の期間、約800℃〜約1,100℃の範囲の温度に加熱される。例えば、ケイ酸ハフニウム膜を含有する基板はNHで充填されたチャンバで1分間900℃で熱アニーリングされて酸窒化ハフニウムシリコン膜を形成する。
[0076]別の実施形態では、酸窒化ハフニウムシリコン材料が、ハフニウム前駆体半反応、シリコン前駆体半反応、窒素前駆体半反応および少なくとも1つの酸化ガス半反応を含有するサイクルを提供することによってALDプロセス中に形成されてもよい。窒素前駆体半反応は、ハフニウム、シリコンおよび酸素前駆体半反応に対する任意の比率でサイクル中にALDプロセスに付加されてもよい。一例では、窒素前駆体半反応は、ハフニウム、シリコンおよび酸素前駆体半反応の約2つのALDサイクルごとに付加される。更に、サイクル比率は、膜の深さ内に組み込まれた窒素比率を制御するために変更可能である。一実施形態では、ALDプロセスは、膜の下部よりも膜の上部付近により高い窒素濃度の酸窒化ハフニウムシリコングレード膜を形成してもよい。概して、より高い窒素濃度を含有する膜の上部は膜の上部20%以下、好ましくは上部10%以下、より好ましくは上部5%以下である。シリコン前駆体半反応が省略される場合、酸窒化ハフニウム膜は類似のALDサイクルで成長されてもよい。好ましくは、酸化ガスは、WVGシステムから形成された水蒸気を含有する。
[0077]例示的な窒素前駆体は、NH、N、ヒドラジン(例えば、NまたはMeN)、アミン(例えば、MeN、MeNHまたはMeNH)、アニリン(例えば、CNH)、有機アジド(例えば、MeNまたはMeSiN)、無機アジド(例えば、NaNまたはCpCoN)、ラジカル窒素化合物(例えば、N、N、N、NHまたはNH)、これらの誘導体またはこれらの組み合わせを含んでもよい。ラジカル窒素化合物は熱、ホットワイヤまたはプラズマによって発生可能である。
[0078]代替実施形態では、様々な金属酸化物およびケイ酸金属が、WVGシステムから生じた水蒸気を含有する酸化ガスによって金属前駆体を順次パルスすることによって形成されてもよい。本明細書に開示されているALDプロセス(例えば、プロセスシーケンス100、200および300)は、ハフニウムおよび/またはシリコン前駆体を他の金属前駆体と置換して、アルミン酸塩ハフニウム、ケイ酸チタン、酸化ジルコニウム、ケイ酸ジルコニウム、アルミン酸塩ジルコニウム、酸化タンタル、ケイ酸タンタル、酸化チタン、ケイ酸チタン、酸化シリコン、酸化アルミニウム、ケイ酸アルミニウム、酸化ランタン、ケイ酸ランタン、アルミン酸塩ランタン、これらの窒化物、これらの誘導体またはこれらの組み合わせなどの追加誘電材料を形成することによって変更されてもよい。一実施形態では、2つ以上のALDプロセスが、別の層の上部に層を堆積するために同時に行われる。例えば、結合プロセスは、第1の誘電材料を形成するための第1のALDプロセスと、第2の誘電材料を形成するための第2のALDプロセスとを含有する。結合プロセスは、様々なハフニウム含有材料、例えばケイ酸ハフニウムアルミニウムや酸窒化ハフニウムアルミニウムシリコンを発生させるのに使用されてもよい。一例では、誘電スタック材料は、第1のハフニウム含有材料を基板上に堆積し、次いで第2のハフニウム含有材料をその上に堆積することによって形成される。第1および第2のハフニウム含有材料は組成を変更してもよいため、一方の層が酸化ハフニウムを含有してもよく、かつ他方の層がケイ酸ハフニウムを含有してもよい。一態様では、下部層はシリコンを含有する。本明細書に説明されたALDプロセス中に使用される代替金属前駆体はZrCl、CpZr、(MeN)Zr、(EtN)Zr、TaF、TaCl、(BuO)Ta、(MeN)Ta、(EtN)Ta、(MeN)Ta(NBu)、(EtN)Ta(NBu)、TiCl、TiI、(PrO)Ti、(MeN)Ti、(EtN)Ti、AlCl、MeAl、MeAlH、(AMD)La、((MeSi)(Bu)N)La、((MeSi)N)La、(BuN)La、(PrN)La、これらの誘導体またはこれらの組み合わせを含む。
[0079]本明細書で種々の実施形態によって説明された堆積プロセス中に形成されたプロダクト誘電材料に対する多くの工業的用途が存在する。マイクロエレクトロニクス業界では、プロダクト材料は、高誘電率トランジスタゲート誘電材料、トランジスタゲートインタフェースエンジニアリング、高誘電率キャパシタ誘電材料(DRAM)、シード層、拡散バリヤ層、接着層、絶縁層およびパターニング表面(例えば、選択的堆積)の官能化表面基(functionalized surface groups)として使用されてもよい。マイクロマシンシステム(MEMS)の分野では、本明細書で説明されたプロセス中に形成された材料は絶縁または構造膜として使用されてもよい。
ハードウェア
[0080]図6は、本明細書で説明された実施形態に従った、集積回路製造を実行するのに使用可能なプロセスチャンバ610の概略断面図を描いている。プロセスチャンバ610は概して、基板(図示せず)をサポートするのに使用される基板サポートペデスタル648を収容する。基板サポートペデスタル648は、変位機構648Aを使用してプロセスチャンバ610内部で垂直方向に移動可能である。
[0081]具体的なプロセスに応じて、基板は堆積前またはこの最中に所望の温度に加熱可能である。例えば、基板サポートペデスタル648は埋め込み加熱要素652Aを使用して加熱されてもよい。基板サポートペデスタル648は、AC電源652から加熱要素652Aに電流を印加することによって抵抗加熱されてもよい。そして、基板(図示せず)はサポートペデスタル648によって加熱される。代替的に、基板サポートペデスタル648は、例えばランプ(図示せず)などの放射ヒーターを使用して加熱されてもよい。
[0082]熱電対などの温度センサー650Aもまた基板サポートペデスタル648に埋め込まれて、従来の方途でペデスタル648の温度を監視する。測定温度は、基板温度が、特定のプロセス用途に適した所望の温度で維持または制御可能になるように、加熱要素652Aに対するAC電源652を制御するためにフィードバックループで使用される。
[0083]真空ポンプ618が、プロセスチャンバ610を空にして、プロセスチャンバ610内部の圧力を維持するために使用される。これを介してプロセスガスがプロセスチャンバ610に導入されるガスマニホルド634は基板サポートペデスタル648の上方に配置される。ガスマニホルド634はガスパネル(図示せず)に接続され、これは種々のプロセスガスを制御して、プロセスチャンバ610に供給する。
[0084]ガスマニホルド634へのガス流の適切な制御および調節は、マスフローコントローラ(図示せず)およびマイクロプロセッサコントローラ670によって実行される。ガスマニホルド634によってプロセスガスは、プロセスチャンバ610に導入されかつ均一に分配される。付加的に、ガスマニホルド634は、マニホルド内の反応ガスの凝縮を防止するために場合によって加熱されてもよい。
[0085]ガスマニホルド634は複数の電子制御バルブ(図示せず)を含む。本明細書で使用されている電子制御バルブは、約0.01秒〜約10秒、好ましくは約0.1秒〜約5秒の範囲内のレートでのバルブ開閉サイクルでプロセスチャンバ610への迅速かつ正確なガス流を提供可能な任意の制御バルブのことをいい、例えば、長いサイクルは約3秒続く場合があり、短いサイクルは約0.5秒続く場合がある。
[0086]マイクロプロセッサコントローラ670は、種々のチャンバおよびサブプロセッサを制御するための工業設定で使用可能な任意の形態の汎用コンピュータプロセッサ(CPU)の1つであってもよい。コンピュータは、ランダムアクセスメモリ、リードオンリーメモリ、フロッピーディスクドライブ、コンパクトディスクドライブ、ハードディスクあるいは任意の形態のローカルまたはリモートディジタル記憶装置などの任意の適切なメモリを使用してもよい。種々のサポート回路が、従来の方途でプロセッサをサポートするためにCPUに結合されてもよい。必要ならばソフトウェアルーチンがメモリに記憶されても、遠隔配置されたソース(例えば、コンピュータやサーバー)によって実行されてもよい。
[0087]ソフトウェアルーチンは、プロセスレシピやシーケンスを開始するために実行される。ソフトウェアルーチンは、実行されると、汎用コンピュータを、チャンバ操作を制御する特定目的コンピュータに変換して、チャンバプロセスが実行される。例えば、ソフトウェアルーチンは、本発明に従ったプロセスシーケンスの実行のために電子制御バルブの起動を正確に制御するのに使用されてもよい。代替的に、ソフトウェアルーチンは、特定用途向け集積回路や、他のタイプのハードウェア実現、あるいはソフトウェアやハードウェアの組み合わせなどのハードウェアで実行されてもよい。
[0088]図7は、原子層堆積法や急速化学気相堆積法などの循環堆積に適合されたガス送出装置730を含むプロセスチャンバ680の一実施形態の概略断面図である。プロセスチャンバ680についての詳細な説明は、2001年12月21日に出願され、「Gas Delivery Apparatus and Method for Atomic Layer Deposition」と題され、米国特許第20030079686号として公開された同一出願人による米国特許出願第10/032,284号、および2002年10月25日に出願され、「Gas Delivery Apparatus for Atomic Layer Deposition」と題され、米国特許第20030121608号として公開された同一出願人による米国特許出願第10/281,079号に説明されており、両者ともその全体を参照として本明細書に組み込まれている。本明細書で使用されている原子層堆積法(ALD)、急速化学気相堆積法およびシーケンシャル気相堆積法という用語は、基板構造上に薄層を堆積するための反応剤や前駆体の順次導入のことをいう。反応剤の順次導入は、複数の薄層を堆積して、コンフォーマル層を所望の厚さに形成するために反復されてもよい。一部の実施形態では、2つ以上の前駆体(例えば、ハフニウム前駆体およびシリコン前駆体)を含有する反応剤混合物が別の前駆体(例えば、水蒸気)を順次パルスされてもよい。プロセスチャンバ680はまた他の堆積技術に対して適合されてもよい。
[0089]プロセスチャンバ680は、側壁684および底部686を有するチャンバ本体682を含有する。プロセスチャンバ680のスリットバルブ688は、直径200mmまたは300mmの半導体ウェーハやガラス基板などの基板690を送出し、かつプロセスチャンバ680から受け取るためのロボット(図示せず)へのアクセスを提供する。
[0090]基板サポート692は、プロセスチャンバ680の基板受け取り表面691上に基板690をサポートする。基板サポート692は、基板サポート692およびその上に設置された基板690を昇降させるためのリフトモーター714に搭載される。リフトモーター718に接続されたリフトプレート716はプロセスチャンバ680に搭載され、基板サポート692を介して移動可能に設置されたピン720を昇降させる。ピン720は基板サポート692の表面上に基板690を昇降させる。基板サポート692は、プロセス中に基板690を基板サポート692に固定するための真空チャック、静電チャックまたはクランプリングを含んでもよい。
[0091]基板サポート692は、その上に設置された基板690の温度を高めるために加熱されてもよい。例えば、基板サポート692は抵抗ヒーターなどの埋め込み加熱要素を使用して加熱されてもよく、あるいは基板サポート692上に設置された加熱ランプなどの放射熱を使用して加熱されてもよい。パージリング722は、パージガスを基板690の周辺部分に提供してその上への堆積を防止するためのパージチャネル724を画成するために、基板サポート692上に設置されてもよい。
[0092]ガス送出装置730はチャンバ本体682の上部部分に設置されて、プロセスガスおよび/またはパージガスなどのガスをプロセスチャンバ680に提供する。真空システム778はポンピングチャネル779と流体連通しており、所望のガスをプロセスチャンバ680から抜き、プロセスチャンバ680のポンピングゾーン766内の所望の圧力や所望の圧力範囲を維持する助けとなる。
[0093]一実施形態では、プロセスガスおよび/またはパージガスは、ガス送出装置730を介して基板690の平面に対して法線(つまり90°)でプロセスチャンバ680に入る。従って、基板690の表面は、基板上の均一な膜形成を可能にするガスに対して対称的に暴露される。プロセスガスは、1パルスにおいてハフニウム含有化合物(例えば、TDEAHやHfCl)および別のパルスにおいて酸化ガス(例えば、WVGシステムから生じた水蒸気)を含んでもよい。
[0094]図7に描かれているプロセスチャンバ680は、図6に描かれているチャンバ610よりも均一な膜を発生させる場合がある。また、プロセスチャンバ680は、通常、基板をパージし、基板を前駆体で飽和するのにプロセスチャンバ610よりも短時間ですむため、プロセスチャンバ680はプロセスチャンバ610よりも短いサイクル時間を用いる。従って、プロセスチャンバ610および680は約20秒以下ハフニウム含有化合物を投与してもよく、好ましくはプロセスチャンバ680は、約10秒以下、好ましくは約5秒以下、例えば、約3秒〜または約0,5秒間ハフニウム含有化合物を投与してもよい。
[0095]一実施形態では、ガス送出装置730はチャンバ蓋732を備える。チャンバ蓋732は、チャンバ蓋732の中心部分から延びる膨張チャネル734と、膨張チャネル734からチャンバ蓋732の周辺部分に延びる底部表面760とを含む。底部表面760は、基板サポート692上に設置された基板690を実質的にカバーするようにサイズ設定および成形される。チャンバ蓋732は、基板690の周辺に隣接したチャンバ蓋732の周辺部分にチョーク762を有してもよい。キャップ部分772は、膨張チャネル734およびガス入口736A、736Bの一部を含む。膨張チャネル734は、2つの類似するバルブ742A、742Bからガス流を提供するためのガス入口736A、736Bを有する。ガスは一緒に、かつ/またはバルブ742A、742Bから別個に提供されてもよい。
[0096]一実施形態では、チャンバ蓋732は、ステンレス鋼(例えば、場合によりニッケルを含有する鉄・クロム合金)、アルミニウム、これらの誘導体、これらの合金またはこれらの組み合わせなどの金属材料でできている。代替実施形態では、チャンバ蓋732は、石英ガラス、サファイヤ、熱分解窒化ホウ素(PBN)材料、セラミック、これらの誘導体またはこれらの組み合わせなどの熱絶縁性材料を含有する。一例では、熱絶縁性ライナーが、膨張チャネル734および底部表面760(図示せず)の実質的部分をカバーするチャンバ蓋732に付加される。好ましくは、膨張チャネル734および底部表面760は、熱絶縁性材料からなるチャンバ蓋732に加工されてもよい。同一または類似の熱絶縁性材料からなる追加ライナーがプロセスチャンバ680内に付加されてもよい。一例では、スリットバルブ688はライナー687を含有し、側壁684はライナー683を含有し、底部表面685はライナー689を含有する。
[0097]一構成では、バルブ742Aおよびバルブ742Bは個別反応ガス源に結合されるが、好ましくは同一のパージガス源に結合される。例えば、バルブ742Aは反応ガス源738に結合され、バルブ742Bは反応ガス源739に結合されるが、両バルブ742A、742Bはパージガス源740に結合される。バルブ742A、742Bは各々、バルブシートアセンブリ744A、744Bを有する送出ライン743A、743Bを含み、バルブ752A、752Bと流体連通しているバルブシートアセンブリ746A、746Bを有するパージライン745A、745Bを含む。送出ライン743A、743Bは反応ガス源738、739と流体連通しており、膨張チャネル734のガス入口736A、736Bと流体連通している。追加反応ガス源、送出ライン、ガス入口およびバルブは代替実施形態(図示せず)のガス送出装置730に付加されてもよい。送出ライン743A、743Bのバルブシートアセンブリ744A、744Bは反応ガス源738、739から膨張チャネル734への反応ガスの流れを制御する。パージライン745A、745Bはパージガス源740と流体連通しており、送出ライン743A、743Bのバルブシートアセンブリ744A、744Bの下流の送出ライン743A、743Bと交差する。パージライン745A、745Bのバルブシートアセンブリ746A、746Bは、パージガス源740から送出ライン743A、743Bへのパージガスの流れを制御する。キャリアガスが反応ガスを反応ガス源738、739から送出するのに使用される場合、同一ガスがキャリアガスおよびパージガスとして使用されてもよい(例えば、窒素がキャリアガスおよびパージガスとして使用される)。
[0098]各バルブシートアセンブリ744A、744B、746A、746Bはダイアフラムおよびバルブシートを備えてもよい。ダイアフラムは開または閉にバイアスされてもよく、またそれぞれ閉または開に作動されてもよい。ダイアフラムは空気圧作動されてもよく、あるいは電気作動されてもよい。空気圧作動バルブの例は、FujikinおよびVeriflowから入手可能な空気圧作動バルブを含む。電気作動バルブの例はFujikinから入手可能な電気作動バルブを含む。プログラマブル論理コントローラ748A、748Bは、バルブ742A、742Bのバルブシートアセンブリ744A、744B、746A、746Bのダイアフラムの作動を制御するためにバルブ742A、742Bに結合されてもよい。空気圧作動バルブは、約0.020秒の短い期間ガスパルスを提供してもよい。電気起動バルブは、約0.005秒の短い期間ガスパルスを提供してもよい。概して、空気圧および電気作動バルブは約3秒の長い期間ガスパルスを提供してもよい。より長いガスパルス期間が可能であるが、典型的なALDプロセスはALDバルブを利用して、約5秒以下、好ましくは約3秒以下、より好ましくは約2秒以下の間隔で開放されている間にガスパルスを生成する。一実施形態では、ALDバルブは、約0.005秒〜約3秒、好ましくは約0.02秒〜約2秒、より好ましくは約0.05秒〜約1秒の範囲の間隔でパルスする。電気作動バルブは典型的に、バルブとプログラマブル論理コントローラ間に結合されたドライバの使用を必要とする。
[0099]各バルブ742A、742Bは、バルブのバルブシートアセンブリ744A、744Bが閉鎖されている場合に送出ライン743A、743Bからの反応ガスの流出を可能にするためのゼロデッドボリュームバルブであってもよい。例えば、パージライン745A、745Bは、送出ライン743A、743Bのバルブシートアセンブリ744A、744Bに隣接して位置決めされてもよい。バルブシートアセンブリ744A、744Bが閉鎖される場合、パージライン745A、745Bは送出ライン743A、743Bを流れるパージガスを提供してもよい。一実施形態では、温められたパージガス(例えば、約50℃〜約200℃)が、その上の、ならびに送出ライン743A、743B内の前駆体の凝縮を削減または停止するためにバルブシートアセンブリ744A、744Bを加熱するのに通過させられる。示されている実施形態では、パージライン745A、745Bは送出ライン743A、743Bのバルブシートアセンブリ744A、744Bからわずかに間隔をあけて位置決めされるため、パージガスは、開放される場合にバルブシートアセンブリ744A、744Bに直接送出されない。本明細書で使用されるゼロデッドボリュームバルブはごく少量のデッドボリュームを有するバルブとして画成される(つまり、ゼロデッドボリュームは必要ない)。
[00100]各バルブ742A、742Bは、反応ガス738、739およびパージガス740の結合ガス流および/または個別ガス流を提供するように適合されてもよい。バルブ742Aに関しては、バルブ742Aによって提供された反応ガス738およびパージガス740の結合ガス流の一例は、パージライン745Aを介するパージガス源740からのパージガスの連続流と、送出ライン743Aを介する反応ガス源738からの反応ガスのパルスとを備える。パージガスの連続流はパージライン745Aのバルブシートアセンブリ746Aのダイアフラムを開放することによって提供されてもよい。反応ガス源738からの反応ガスのパルスは、送出ライン743Aのバルブシート744Aのダイアフラムを開閉することによって提供されてもよい。バルブ742Aについては、バルブ742Aによって提供された反応ガス738およびパージガス740の個別ガス流の一例は、パージライン745Aを介するパージガス源740からのパージガスのパルスと、送出ライン743Aを介する反応ガス源738からの反応ガスのパルスとを備える。パージガスのパルスは、パージライン745Aのバルブシートアセンブリ746Aのダイアフラムを開閉することによって提供されてもよい。反応ガス源738からの反応ガスのパルスは、送出ライン743Aのバルブシート744Aのダイアフラムを開閉することによって提供されてもよい。
[00101]バルブ742A、742Bの送出ライン743A、743Bはガス導管750A、750Bを介してガス入口736A、736Bに結合されてもよい。ガス導管750A、750Bは統合されても、バルブ742A、742Bから分離されてもよい。一態様では、バルブ742A、742Bは膨張チャネル734に近接して結合され、バルブ742A、742Bとガス入口736A、736B間の送出ライン743A、743Bおよびガス導管750A、750Bの不要なボリュームを低減する。
[00102]図7において、膨張チャネル734は、チャンバ蓋732の底部表面760に隣接する膨張チャネル734の上部部分から下部部分に向かって大きくなる内径を有するチャネルを備える。一具体的実施形態では、直径200mmの基板を処理するように適合されたチャンバ用の膨張チャネル734の内径は、膨張チャネル734の上部部分737では約0.2インチ(0.51cm)〜約1.0インチ(2.54cm)、好ましくは約0.3インチ(0.76cm)〜約0.9インチ(2.29cm)、より好ましくは約0.3インチ(0.76cm)〜約0.5インチ(1.27cm)であり、膨張チャネル734の下部部分735では約0.5インチ(1.27cm)〜約3.0インチ(7.62cm)、好ましくは約0.75インチ(1.91cm)〜約2.5インチ(6.35cm)、より好ましくは約1.1インチ(2.79cm)〜約2.0インチ(5.08cm)である。
[00103]別の具体的実施形態では、直径300mmの基板を処理するように適合されたチャンバ用の膨張チャネル734の内径は、膨張チャネル734の上部部分737では約0.2インチ(0.51cm)〜約1.0インチ(2.54cm)、より好ましくは約0.3インチ(0.76cm)〜約0.9インチ(2.29cm)、より好ましくは約0.3インチ(0.76cm)〜約0.5インチ(1.27cm)であり、300mm基板用の膨張チャネル734の下部部分735では約0.5インチ(1.27cm)〜約3.0インチ(7.62cm)、好ましくは約0.75インチ(1.91cm)〜約2.5インチ(6.35cm)、より好ましくは約1.2インチ(3.05cm)〜約2.2インチ(5.59cm)である。一般的に、上記寸法は、約500sccm〜約3,000sccmの範囲の全ガス流量を提供するように適合された膨張チャネルに適用する。
[00104]他の具体的実施形態では、寸法は、そこを流れる一定のガス流を収容するように変更されてもよい。一般的に、より大きなガス流量はより大きな直径の膨張チャネルを必要とする。一実施形態では、膨張チャネル734は(円錐台に類似する形状を含む)円錐台として成形されてもよい。ガスが膨張チャネル734の壁に向かって、あるいは基板に向かって直接下方に提供されても、ガス流の速度は、ガス流がガスの膨張によって膨張チャネル734を介して移動すると遅くなる。ガス流の速度の低下は、ガス流が基板690の表面上に吸着された反応剤を発散させる可能性を低減させる助けとなる。
[00105]理論に縛られたくはないが、膨張チャネル734の上部部分737から下部部分735に向かって徐々に大きくなる膨張チャネル734の直径は、ガスの温度を制御する助けとなる膨張チャネル734を介するガスのより小さな断熱膨張を可能にすると考えられている。例えば、ガス入口736A、736Bを介して膨張チャネル734に送出されるガスの突然の断熱膨張は、前駆体蒸気の凝縮および粒子の形成を招くことがあるガスの温度低下をもたらす場合がある。他方、本発明の実施形態に従った漸次膨張チャネル734は、ガスのより小さな断熱膨張を提供すると考えられている。従って、より多くの熱がガスに対して移送されてもよく、従って、ガスの温度は、ガスの周囲温度を制御する(つまりチャンバ蓋732の温度を制御する)ことによって容易に制御されてもよい。漸次膨張チャネル734は、先細りの真っ直ぐな表面、凹状表面、凸状表面またはこれらの組み合わせなどの1つ以上の先細り内部表面を備えてもよく、あるいは1つ以上の先細り内部表面の断面(sections)(つまり、先細り部分および非先細り部分)を備えてもよい。
[00106]一実施形態では、ガス入口736A、736Bは膨張チャネル734の上部部分737に隣接して配置される。他の実施形態では、1つ以上のガス入口736A、736Bは上部部分737と下部部分735間の膨張チャネル734の長さに沿って配置されてもよい。理論に縛られたくはないが、ガス入口736A、736Bからチャンバ蓋732の膨張チャネル734に流れるガスは円形流を形成する。膨張チャネル734を介する正確な流れパターンは既知ではないが、円形流は、膨張チャネル734を介する渦流れ、らせん流、スパイラル流またはこれらの誘導体などの流れパターンで移動してもよいと考えられている。円形流は、基板690から分離されたコンパートメントにおけるのとは反対に、下部部分735と基板受け取り表面691間に配置された処理領域に提供されてもよい。一態様では、渦流れは、膨張チャネル734の内部表面ににわたる円形流のスイープ(sweeping)動作による膨張チャネル734のより効率的なパージを確立する助けとなる場合がある。また、円形ガス流は、基板690の表面にわたる一貫した、かつコンフォーマルなガス送出を提供する。
[00107]図7において、プログラミングされたパソコンやワークステーションコンピュータなどの制御ユニット780は、処理条件を制御するためにプロセスチャンバ680に結合されてもよい。例えば、制御ユニット780は、基板プロセスシーケンスの異なる段階時にバルブ742A、742Bを介するガス源738、739、740からの種々のプロセスガスおよびパージガスの流れを制御するように構成されてもよい。事例的に、制御ユニット780は中央演算処理装置(CPU)782と、サポート回路784と、関連制御ソフトウェア783を含有するメモリ786とを備える。制御ユニット780はまた、WVGシステム286を制御し、かつ/またはアンプル282を調節するように構成されてもよい。
[00108]制御ユニット780は、種々のチャンバおよびサブプロセッサを制御するための工業設定で使用可能な任意の形態の汎用コンピュータプロセッサのうちの1つであってもよい。CPU782は、ランダムアクセスメモリ、リードオンリーメモリ、フロッピーディスクドライブ、コンパクトディスクドライブ、ハードディスク、または任意の形態のローカルまたはリモートディジタル記憶装置などの任意の適切なメモリ786を使用してもよい。種々のサポート回路がプロセスチャンバ680をサポートするためにCPU782に結合されてもよい。制御ユニット780は、バルブ742A、742Bのプログラマブル論理コントローラ748A、748Bなどの個々のチャンバコンポーネントに隣接して配置される別のコントローラに結合されてもよい。制御ユニット780とプロセスチャンバ680の種々の他のコンポーネント間の双方向通信は、総称的に信号バス788と称される多数の信号ケーブルを介して取り扱われ、この一部が図7に図示されている。ガス源738、739、740から、およびバルブ742A、742Bのプログラマブル論理コントローラ748A、748Bからのプロセスガスおよびパージガスの制御に加えて、制御ユニット780は、とりわけ他のアクティビティのうちのウェーハ移送、温度制御、チャンバ排出などのウェーハ処理において使用される他のアクティビティの自動制御を担うように構成されてもよく、この一部が本明細書に説明されている。
[00109]別の実施形態では、プロセスチャンバ680は、3つ以上のガス流を一緒に、部分的に一緒に(つまり、2つ以上のガス流を一緒に)、あるいは3つ以上のガス導管に接続された3つ以上のガス入口を介して別個に受け取るように適合されてもよい。各導管は単一または複数のバルブに結合される。3つ以上のプロセスガス流を流すように適合されたプロセスチャンバ680のさらなる開示は、2001年12月21日に出願され、「Gas Delivery Apparatus and Method for Atomic Layer Deposition」と題され、米国特許第20030079688号として公開された同一出願人による米国特許出願第10/032,284号に説明されており、これは参照として本明細書に組み込まれている。一例では、3つのガス流がハフニウム前駆体と、シリコン前駆体と酸化ガスとを含有してもよく、ここで第1の流れはTDEAH、TDMAHまたはHfClを含み、第2の流れはTDMAS、Tris−DMASまたはシランを含み、第3の流れはWVGシステムからの水蒸気を含有する酸化ガスを含む。
[00110]図8は、本明細書に説明された実施形態に従った、集積回路製造を実行するのに使用されてもよいプロセスチャンバ810の概略断面図を描いている。プロセスチャンバ810はプロセスチャンバ680に機能が類似しており、(例えば、800℃より高い)高温で操作するための熱絶縁性材料を含有する。プロセスチャンバ810は、石英ガラス、サファイヤ、熱分解窒化ホウ素(PBN)材料、セラミック、これらの誘導体またはこれらの組み合わせなどの熱絶縁性材料からなるライナーを含有する。一実施形態では、プロセスチャンバ680からのガス送出装置730はプロセスチャンバ810で使用されるように適合されてもよい。
[00111]プロセスチャンバ810は概して、基板802をサポートするのに使用される基板サポートペデスタル812を収容する。基板サポートペデスタル812は回転可能であり、プロセスチャンバ810内で垂直に移動可能である。基板サポートペデスタル812は、その上の基板802の温度を制御するための加熱要素を含有してもよい。キャップ部分872はプロセスチャンバ810の蓋832上に設置され、かつガス入口836a、836b、836cおよび836dを含有する。キャップ部分872はまた、PE−ALDプロセス、事前洗浄プロセスまたは窒化プロセスなどのプラズマプロセス中に使用されるマイクロ波装置や遠隔プラズマ装置用のアダプター874を含有してもよい。代替的に、アダプター874はキャップ部分872にない。
[00112]ガス送出システム811はキャップ部分872を介してプロセスチャンバ810に接続される。ガス送出システム811はガス入口838と、導管システム841と、バルブ843および/またはバルブ845と源842および/または源844との少なくとも1つまた約10個のコンポーネントセットを含有する。図8に図示されるように、ガス送出システム811は、ガス入口836a、836b、836cおよび836dと、導管システム841a、841b、841cおよび841dと、バルブ843a、843b、843cおよび843dと、バルブ845a、845b、845cおよび845dと、源842a、842b、842cおよび842dと源844a、844b、844cおよび844dとを含有する4個のコンポーネントセットを含有する。
[00113]代替実施形態では、導管システム841は更に、ガス入口836a、836b、836cおよび836dと流体連通して位置決めされている端にノズルを形成する漸次膨張ガス導管を含有してもよい。本明細書に説明された一部の実施形態で有用なノズルや端は更に、2005年4月29日に出願され、「Control of Gas Flow and Delivery to Suppress the Formation of Particles in an MOCVD/ALD System」と題された同一出願人による米国特許出願第11/119,388号に説明されており、これは、漸次膨張ガス導管の開示をサポートするために参照として本明細書に組み込まれている。ガス導管表面形状は、増大しつつある先細り流れチャネルを介して徐々に膨張する手段にガスを通過させるステップを提供することによって大きな温度低下を防止する。一実施形態では、流れチャネルは、約30mm〜約100mmの範囲の距離にわたって、約3mm〜約15mmの範囲の内径の送出ガスラインの断面から、約10mm〜約20mmの範囲のより大きな直径のガス入口836に移行する。流れチャネルの直径の漸次増加によって膨張ガスが平衡付近にあり、また熱の急速な損失を防止して実質的に一定の温度を維持することができる。膨張ガス導管は、先細りの真っ直ぐな表面、凹状表面、凸状表面、これらの誘導体またはこれらの組み合わせなどの1つ以上の先細り内部表面を備えてもよく、あるいは1つ以上の先細り内部表面の断面(例えば、先細り部分および非先細り部分)を備えてもよい。
[00114]導管システム841は、ガス入口836と、バルブ843および845と源842および844とを接続する1つ以上の導管およびチューブを含有する。バルブ843は源842からガス入口836への前駆体やガスの導入を制御し、バルブ845は源844からガス入口836への前駆体やガスの導入を制御する。バルブ843および845はバルブと、ダイアフラムおよびバルブシートを含有するバルブシートアセンブリとを含んでもよい。空気圧作動バルブは、約0.020秒という短い期間ガスパルスを提供してもよい。電気作動バルブは、約0.005秒という短い期間ガスパルスを提供してもよい。概して、空気圧および電気作動バルブは、約3秒という長い期間ガスパルスを提供してもよい。より長いガスパルス期間が可能であるが、典型的なALDプロセスは、約5秒以下、好ましくは約3秒以下、より好ましくは約2秒以下の間隔で開放されている間にガスのパルスを生成するALDバルブを利用する。一実施形態では、ALDバルブは、約0.005秒〜約3秒、好ましくは約0.02秒〜約2秒、より好ましくは約0.05秒〜約1秒の範囲の間隔でパルスする。電気作動バルブは典型的に、バルブとプログラマブル論理コントローラ間に結合されたドライバの使用を必要とする。プログラミングされたパソコンやワークステーションコンピュータなどの制御ユニット(図示せず)には、本明細書に説明された処理条件を制御するためのバルブ843および845と、源842および844と、真空システム833と、基板サポート812と、WVGシステム286とアンプル282とを含むプロセスチャンバ810が含まれてもよい。
[00115]源842および844は、堆積プロセス中に使用される前駆体源、パージガス源および/またはキャリアガス源を提供してもよい。前駆体源は2つ以上の化学前駆体(例えば、ハフニウム前駆体およびシリコン前駆体)を含んでもよく、またキャリアガスを含んでもよい。前駆体源はアンプル、バブル、タンク、コンテナまたはカートリッジを含む。また、前駆体源は、本明細書に説明されているようにガス送出システム811と流体連通している水蒸気発生器(WVG)システムを含む。パージガス源および/またはキャリアガス源、通常タンク、コンテナ、カートリッジまたは内部配管(plumbed)供給システムは、窒素、アルゴン、ヘリウム、水素、フォーミングガスあるいはこれらの組み合わせをガス送出システム811に提供してもよい。
[00116]ガス入口836a、836b、836cおよび836dは、キャップ部分872内の膨張チャネル834の長さに沿って配置されてもよい。理論に縛られたくはないが、ガス入口836a、836b、836cおよび836dから膨張チャネル834に流れるガスは円形流を形成する。膨張チャネル834を介する正確な流れパターンは既知ではないが、円形流は、膨張チャネル834を介する渦流れ、らせん流、スパイラル流またはこれらの誘導体などの流れパターンで移動してもよいと考えられている。円形流は、基板802から分離されたコンパートメントにおけるのとは反対に、漏斗(funnel)ライナー820と基板サポート812間に配置された処理領域に提供されてもよい。一態様では、渦流れは、膨張チャネル834の内部表面にわたる円形流のスイープ動作による処理領域のより効率的なパージを確立する助けとなる場合がある。また、円形ガス流は、基板802の表面にわたる一貫したかつコンフォーマルなガス送出を提供する。
[00117]図8および9A〜9Bは、本明細書に説明された堆積プロセス中にプロセスチャンバ810および他のプロセスチャンバ内で使用されてもよい熱絶縁性ライナーの概略図を描いている。膨張チャネル834はキャップ部分872内、かつ漏斗ライナー820間に形成されてもよい。熱アイソレーター870はキャップ部分872周辺に設置される。漏斗ライナー820は、保有リングライナー819の出っ張り表面817を漏斗ライナー820の出っ張り表面818と整列させることによって、蓋832の裏面に対して保有リングライナー819によって保持されてもよい。保有リングラインー819は、接続金具、ボルト、スクリューまたはピンなどのファスナー837によって蓋832の裏面に取り付けられてもよい。一例では、ファスナー837は保有リングライナー819の溝816に挿入および設定された接続金具である。漏斗ライナー820はまた、加熱プロセス中に熱膨張がない漏斗ライナー820を提供するようにゆるく嵌合された数個のピン838を含有してもよい。一実施形態では、漏斗ライナー820は、熱膨張後に基板802と整列され、かつセンタリングされる。代替的に、漏斗ライナー820および保有リングライナー819は単一片として形成されてもよい。
[00118]プロセスチャンバ810は更に、上部プロセスライナー822および下部プロセスライナー824を含有してもよい。下部プロセスライナー824は底部表面827上に設置され、上部プロセスライナー822は下部プロセスライナー824上に、かつチャンバ本体803の壁表面830に沿って設置される。スリップバルブライナー826は、上部プロセスライナー822を介してプロセス領域815に突出するように位置決めされる。漏斗ライナー820と、保有リングライナー819と、上部プロセスライナー822と、下部プロセスライナー824とスリップバルブライナー826とを含むライナーは、石英ガラス、サファイヤ、PBN材料、セラミック、これらの誘導体またはこれらの組み合わせなどの熱絶縁性材料である。概して、ライナーは、本明細書に説明された堆積プロセスのスタートアップおよびクールダウン中の熱循環不能を防止するためにストレス緩和される。ライナーは、約800℃以上、好ましくは約1,000℃以上、より好ましくは約1,200℃以上の温度に耐えることができる。付加的に、ライナーは、約2マイクロインチ(約0.051μm)以下の表面フィニッシュを達成するためにフレーム研磨される。研磨されたフィニッシュは平滑な表面を提供するため、プロセス反応剤にはほとんどまたは全く乱れは送出されず、かつ望ましくないことに膜堆積を促進する場合があるライナー上の核生成サイトを最小化する。また、フレーム研磨は表面欠陥(例えば、くぼみおよびひび)を除去して、熱ストレス誘導によるひびの核生成を最小化する。
[00119]パージライン829は、チャンバ本体803の底部からチャンバ蓋832および漏斗ライナー820に設置されるチャンババックサイドパージラインである。パージライン829は、壁表面830と上部/下部プロセスライナー822および824間の、かつプロセス領域815へのパージガス流を可能にするように置かれている。パージガス源は入口804を介してパージライン829に接続されてもよい。パージライン826を介して流れるパージガスは、汚染物質と、プロセス領域815から逃げることがある過剰な熱とから壁表面830をバッファする。汚染物質は、上部/下部プロセスライナー822および824を迂回して壁表面830上に堆積することがある前駆体や反応生成物を含む。また、プロセス領域815から生じる熱は上部/下部プロセスライナー822および824に侵入し、プロセス本体803に吸着してもよい。しかしながら、パージライン826を流れるパージガスのストリームは汚染物質を運び、プロセス領域815を加熱する。熱チョークプレート809は、プロセス領域815からの熱損失を防止するためにチャンバ本体803の外部に設置される。
[00120]図9Bは、上部プロセスライナー822、下部プロセスライナー824およびスリップバブルライナー826の概略図を描いている。上部プロセスライナー822および下部プロセスライナー824は、基板802の移動中に基板リフトピン(図示せず)を受容するためのリフトピンホール821および823を含有してもよい。上部プロセスライナー822および下部プロセスライナー824は、リフトピンホール821をリフトピンホール823と整列させるためにプロセスチャンバ内に位置決めされる。上部プロセスライナー822は更に、排出アダプター831およびスリットバルブポート825を受容してスリップバルブライナー826を受容するための真空ポート835を含有する。排出アダプター831はチャンバ本体803および真空ポート835を介して位置決めされるため、プロセス領域815は真空システム833と流体連通している。基板はスリップバルブライナー826を通過してプロセスチャンバ810を出入りする。スリップバルブライナー826はまた熱チョークプレート809を介して突出してもよい。
[00121]ポンピング効率はチョークギャップ840を使用して制御されてもよい。チョークギャップ840は漏斗ライナー820の底部縁と基板サポートペデスタル812の上部間に形成された空間である。チョークギャップ840は、プロセス条件および必要なポンピング効率に応じて変更されてもよい円周ギャップである。チョークギャップ840は基板サポートペデスタル812を降下させることによって大きくされ、基板サポートペデスタル812を上昇させることによって小さくされる。プロセスチャンバ810の下部部分のポンピングポート(図示せず)から膨張チャネル834の中心までのポンピングコンダクタンスは、チョークギャップ840の距離を変更することによって修正され、本明細書に説明された堆積プロセス中の膜の厚さおよび均一性を制御する。
[00122]図10は、本明細書に説明されたALDプロセスチャンバ上で使用されてもよいプロセスチャンバ蓋アセンブリ1050の概略図を描いている。一例では、蓋アセンブリ1050はプロセスチャンバ810上に蓋832およびガス送出システム811を置き換えてもよい。別の例では、蓋アセンブリ1050はプロセスチャンバ680上に蓋732およびガス送出装置730を置き換えてもよい。蓋アセンブリ1050は、蓋1032上に設置されたバルブマニホルドサポート1030を含有する。熱アイソレーター1002aおよび1002bはバルブマニホルドサポート1030を蓋1032およびここから放散する熱から分離する。導管1020および1022は蓋1032を横切り、外部源またはデバイスからプロセスチャンバへの流体連通を提供する。バルブマニホルドサポート1030はアダプター1074と、バルブ1043a、1043b、1043cおよび1043dと、バルブ1045a、1045b、1045cおよび1045dとを含有する。アダプター1074は、PE−ALDプロセス、事前洗浄プロセスまたは窒化プロセスなどのプラズマプロセス中に使用されるマイクロ波装置や遠隔プラズマ装置をサポートする。バルブ1043a、1043b、1043cおよび1043dとバルブ1045a、1045b、1045cおよび1045dとは、バルブマニホルドサポート1030内の導管システム(図示せず)によって接続される。前駆体源、パージガス源および/またはキャリアガス源は、堆積プロセス中に蓋アセンブリ1050を介してプロセスチャンバと流体連通している。一例では、蓋アセンブリ1050は、ガス送出システム811内の導管システム841に類似した導管システムによって配管される。
[00123]本明細書で使用されている「基板表面」は、膜処理が実行される基板上に形成された基板または材料表面のことである。例えば、処理が実行可能な基板表面は、用途に応じて、シリコン、酸化シリコン、ストレインド(strained)シリコン、シリコンオンインシュレーター(SOI)、炭素ドープシリコン酸化物、窒化シリコン、ドープシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイヤなどの材料と、金属、金属窒化物、金属合金などの任意の材料と、導電性材料とを含む。基板表面上のバリヤ層、金属または金属窒化物はチタン、窒化チタン、窒化タングステン、タンタルおよび窒化タンタルを含む。基板は、直径200mmまたは300mmのウェーハ、ならびに矩形や正方形の羽目板(panes)などの種々の寸法を有してもよい。本明細書に説明された実施形態のプロセスはハフニウム含有材料を多数の基板および表面上に堆積する。本発明の実施形態が有用である基板は、結晶性シリコン(例えば、Si<100>またはSi<111>)、酸化シリコン、ストレインドシリコン、シリコンゲルマニウム、ドープまたは無ドープ多結晶、ドープまたは無ドープシリコンウェーハ、およびパターニングまたは無パターニングウェーハなどの半導体ウェーハを含むが、これらに制限されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化(hydroxylate)、アニーリングおよび/またはベーキングする前処理プロセスに暴露されてもよい。
[00124]本明細書で使用される「原子層堆積法」や「循環堆積法」は、基板表面上に1層の材料を堆積するための2つ以上の反応化合物の順次導入のことをいう。2つ、3つ、またはこれ以上の反応化合物は代替的に、プロセスチャンバの反応ゾーンに導入されてもよい。通常、各反応混合物は、各化合物を基板表面に接着および/または反応させる時間遅延によって分離される。一態様では、第1の前駆体つまり化合物Aは、第1の時間遅延が続く反応ゾーンにパルスされる。次に、第2の前駆体つまり化合物Bは、第2の遅延が続く反応ゾーンにパルスされる。各時間遅延中、窒素などのパージガスがプロセスチャンバに導入されて、反応ゾーンをパージするか、別の方法で残渣反応化合物や副生成物を反応ゾーンから除去する。代替的に、パージガスは堆積プロセス中ずっと連続的に流れてもよいため、パージガスのみが反応化合物のパルス間の時間遅延中に流れる。反応化合物は、所望の膜や膜厚が基板表面上に形成されるまで代替的にパルスされる。いずれのシナリオでも、化合物A、パージガスをパルスし、化合物BおよびパージガスをパルスするALDプロセスは1サイクルである。サイクルは化合物Aまたは化合物Bのいずれかから開始し、所望の厚さの膜を達成するまでサイクルのそれぞれの順序を継続する。別の実施形態では、化合物Aを含有する第1の前駆体、化合物Bを含有する第2の前駆体、および化合物Cを含有する第3の前駆体は各々別個にプロセスチャンバにパルスされる。代替的に、第1の前駆体のパルスは第2の前駆体のパルスと時間的に重複することがあるのに対して、第3の前駆体のパルスは第1および第2の前駆体のいずれのパルスとも時間的に重複しない。
[00125]実施例1〜10の際、ALDプロセスは約70℃〜約1,000℃、好ましくは約100℃〜約650℃の範囲、例えば約350℃の温度に維持される。ALDプロセスは、約0.1トール〜約100トール、好ましくは約1トール〜約10トールの範囲の圧力のプロセスチャンバを有することによって行われてもよい。キャリアガス(例えば、N)は、約2slm〜約22slmの範囲、好ましくは約10slmの流量を有してもよい。水蒸気を含有する酸化ガスは、カリフォルニア州サンタクララにあるFujikin of America,Inc.,から入手可能な金属触媒を含有する水蒸気発生器(WVG)システムによって発生された。WVGシステムは水素源ガスおよび酸素源ガスから酸化ガスを形成した。基板は、ほぼ前処理プロセスの間WVGシステムからの水蒸気を含有する酸化ガスに暴露された。前処理プロセスは約5秒〜約30秒の範囲の期間生じた。堆積された材料は約2Å〜約1,000Å、好ましくは約5Å〜約100Å、より好ましくは約10Å〜約50Åの範囲の厚さに形成された。
[00126] 実施例1−酸化ハフニウム膜が、ハフニウム前駆体をWVGシステムによって発生された酸化ガスによって順次パルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露されて、ヒドロキシル基をその上に形成する。ハフニウム前駆体HfClは、約150℃〜約200℃の範囲の温度で前駆体アンプル内で加熱される。窒素キャリアガスは、約400sccmの流量でハフニウム前駆体を含有する前駆体アンプルに向けられる。ハフニウム前駆体はキャリアガスを飽和させ、約3秒間チャンバに提供される。窒素のパージガスは約2.5秒間チャンバに提供され、未結合のハフニウム前駆体を除去する。それぞれ約100sccmおよび約120sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは、約100sccmの流量の水および約70sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約2.5秒間チャンバに提供されて、副生成物、ハフニウム前駆体、酸素および/または水などの未結合または未反応試薬や、HClなどの副生成物を除去する。各ALDサイクルは約1Åの酸化ハフニウム膜を形成する。
[00127] 実施例2−酸化ハフニウム膜が、ハフニウム前駆体を酸化ガスによって順次パルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露されて、この上にヒドロキシル基を形成する。ハフニウム前駆体HfClは、約150℃〜約200℃の範囲の温度で前駆体アンプル内で加熱される。窒素キャリアガスは、約400sccmの流量でハフニウム前駆体を含有する前駆体アンプルに向けられる。ハフニウム前駆体はキャリアガスを飽和させ、約0.5秒間チャンバに提供される。窒素のパージガスは約0.5秒間チャンバに提供されて未結合ハフニウム前駆体を除去する。それぞれ約50sccmおよび約60sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは、約50sccmの流量の水および約35sccmの流量の酸素を含有する。酸化ガスは約0.5秒間チャンバに提供される。窒素のパージガスは約0.5秒間チャンバに提供されて、ハフニウム前駆体、酸素および/または水などの未結合または未反応試薬や、HClなどの副生成物を除去する。各ALDサイクルは2.5Åの酸化ハフニウム膜を形成する。
[00128] 実施例3−ケイ酸ハフニウム膜が、ハフニウム前駆体を酸化ガスによって順次パルスしてからシリコン前駆体を酸化ガスによってパルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体TDEAHおよびシリコン前駆体TDMASは室温(約23℃)で個別の前駆体アンプル内で加熱される。これらの前駆体は約110℃〜約130℃で気化器において個々に気化され、不活性キャリアガスと個々に混合される。ハフニウム前駆体はキャリアガスを飽和させ、約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合ハフニウム前駆体を除去する。それぞれ約100sccmおよび120sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約100sccmの流量の水および約70sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供され、ハフニウム前駆体、酸素および/または水などの未結合または未反応試薬や副生成物を除去する。シリコン前駆体は約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合前駆体や汚染物質を除去する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供される。各ALDサイクルは約1Åのケイ酸ハフニウム膜を形成する。
[00129] 実施例4−ケイ酸ハフニウム膜は、ハフニウム前駆体を酸化ガスによって順次パルスしてからシリコン前駆体を酸化ガスによってパルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体HfClおよびシリコン前駆体Tris−DMASは室温(約23℃)で個別の前駆体アンプル内で加熱される。これらの前駆体は約110℃〜約130℃で気化器において個々に気化され、また不活性キャリアガスと個々に混合される。ハフニウム前駆体はキャリアガスを飽和させ、約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供される。それぞれ約100sccmおよび約120sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約100sccmの流量の水および約70sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供されて、ハフニウム前駆体、酸素および/または水などの未結合または未反応の試薬を除去する。シリコン前駆体は約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合前駆体や汚染物質を除去する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供される。各ALDサイクルは約1Åのケイ酸ハフニウム膜を形成する。
[00130] 実施例5−ケイ酸ハフニウム膜は、ハフニウム前駆体およびシリコン前駆体を酸化ガスによって同時にパルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体TDEAHおよびシリコン前駆体TDMASは室温(約23℃)で個別の前駆体アンプル内で加熱される。これらの前駆体は約110℃〜約130℃で気化器において個々に気化され、不活性キャリアガスと個々に混合される。ハフニウム前駆体およびシリコン前駆体は各々同時に約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合のハフニウムまたはシリコン前駆体を除去する。それぞれ約100sccmおよび約120sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約100sccmの流量の水および約70sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供され、副生成物、ハフニウム前駆体、シリコン前駆体、酸素および/または水などの未結合または未反応試薬を除去する。各ALDサイクルは約1Åのケイ酸ハフニウム膜を形成する。
[00131] 実施例6−ケイ酸ハフニウム膜は、ハフニウム前駆体およびシリコン前駆体を酸化ガスによって同時にパルスすることによってALDプロセス中に形成される。基板表面が前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体HfClおよびシリコン前駆体Tris−DMASが室温(約23℃)で個別の前駆体アンプル内で加熱される。これらの前駆体は約110℃〜約130℃で気化器において個々に気化され、不活性キャリアガスと個々に混合される。ハフニウム前駆体およびシリコン前駆体は各々約1秒間チャンバに同時に提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合のハフニウムまたはシリコン前駆体を除去する。それぞれ約100sccmおよび約120sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約100sccmの流量の水および約70sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供されて、副生成物、ハフニウム前駆体、シリコン前駆体、酸素および/または水などの未結合または未反応試薬を除去する。各ALDサイクルは約1Åのケイ酸ハフニウム膜を形成する。
[00132] 実施例7−酸化ハフニウム膜が、WVGシステムから形成されたイン・シトゥースチームによってハフニウム前駆体を順次パルスすることによってALDプロセスで成長される。基板表面は前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体HfClは約150℃〜約200℃の温度で前駆体アンプル内で加熱される。窒素キャリアガスは約400sccmの流量でハフニウム前駆体を含有する前駆体アンプルに向けられる。ハフニウム前駆体はキャリアガスを飽和させ、約1.5秒間チャンバに提供される。窒素のパージガスは約2.5秒間チャンバに提供され、未結合ハフニウム前駆体を除去する。各々約100sccmの流量のフォーミングガス(NにおけるHバランス5vol%)および酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約2.5sccmの流量の水および約98sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約2.5秒間チャンバに提供され、副生成物、ハフニウム前駆体、酸素および/または水などの未結合または未反応試薬を除去する。
[00133] 実施例8−ケイ酸ハフニウム膜が、ハフニウム前駆体を酸化ガスによって順次パルスしてからシリコン前駆体を酸化ガスによってパルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体TDEAHおよびシリコン前駆体TDMASは室温(約23℃)で個別の前駆体アンプル内で加熱される。これらの前駆体は約110℃〜約130℃で気化器において個々に気化され、不活性キャリアガスと個々に結合される。ハフニウム前駆体はキャリアガスを飽和させ、約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合ハフニウム前駆体を除去する。各々約100sccmの流量のフォーミングガス(NにおけるHバランス5vol%)および酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約2.5sccmの流量の水および約98sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供され、ハフニウム前駆体、酸素および/または水などの未結合または未反応試薬や副生成物を除去する。シリコン前駆体が約1秒間チャンバに提供される。窒素のパージガスは約1秒間チャンバに提供され、未結合前駆体や汚染物質を除去する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供される。各ALDサイクルは約1Åのケイ酸ハフニウム膜を形成する。
[00134] 実施例9−ケイ酸ハフニウム膜は、ハフニウム前駆体およびシリコン前駆体を酸化ガスによって同時にパルスすることによってALDプロセス中に形成される。基板表面は前処理プロセスに暴露され、その上にヒドロキシル基を形成する。ハフニウム前駆体TDEAHおよびシリコン前駆体TDMASは室温(約23℃)で個別の前駆体アンプル内で加熱される。これらの前駆体は約110℃〜約130℃で気化器において個々に気化され、不活性キャリアガスと個々に混合される。ハフニウム前駆体およびシリコン前駆体は各々約1秒間チャンバに同時パルスされる。窒素のパージガスは約1秒間チャンバに提供され、未結合のハフニウムまたはシリコン前駆体を除去する。各々約100sccmの流量のフォーミングガス(NにおけるHバランス0.5vol%)および酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約0.25sccmの流量の水および約100sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスは約5秒間チャンバに提供されて、副生成物、ハフニウム前駆体、シリコン前駆体、酸素および/または水などの未結合または未反応試薬を除去する。各ALDサイクルは約1Åのケイ酸ハフニウム膜を形成する。
[00135] 実施例10−酸化ハフニウム膜が、WVGシステムによって発生された酸化ガスによってハフニウム前駆体を順次パルスすることによってALDプロセス中に形成される。基板表面が前処理プロセスに暴露されて、その上にヒドロキシル基を形成する。ハフニウム前駆体TDEAHは約23℃の温度で前駆体アンプル内で加熱される。窒素キャリアガスが約400sccmの流量でハフニウム前駆体を含有する前駆体アンプルに向けられる。ハフニウム前駆体はキャリアガスを飽和させ、約2秒間チャンバに提供される。窒素のパージガスは約1.5秒間チャンバに提供され、未結合ハフニウム前駆体を除去する。それぞれ約100sccmおよび約120sccmの流量の水素ガスおよび酸素ガスがWVGシステムに供給される。WVGシステムからの酸化ガスは約100sccmの流量の水および約70sccmの流量の酸素を含有する。酸化ガスは約1.7秒間チャンバに提供される。窒素のパージガスが約1.5秒間チャンバに提供され、副生成物、ハフニウム前駆体、酸素および/または水などの未結合または未反応試薬を除去する。各ALDサイクルは約1.1Åの酸化ハフニウム膜を形成する。
[00136]材料は、化学物質を別個に交互に投与して、選択された半反応による所望の膜組成や特徴を達成することによって堆積される。しかしながら上記半反応は結果として得られる膜の正確な結合接続性や化学量論を述べてはいない。プロダクト組成化学量論の多くは化学反応中に熱力学的に制御されるのに対して、プロダクト組成化学量論はまた所望の組成を得るために運動学的に制御されてもよい。従って、投与シーケンスは、膜の全組成および量を得るために修正されてもよい。
[00137]上記は本発明の実施形態に向けられているが、本発明の他のさらなる実施形態がこの基本的範囲から逸脱することなく考案されてもよく、またその範囲は以下の請求項によって判断される。
本明細書に説明されている実施形態に従った、ALDプロセスによってハフニウム含有材料を堆積するためのプロセスシーケンスを示している。 本明細書に説明されている実施形態に従って構成されたプロセスシステムの概略図を描いている。 本明細書に説明されている実施形態に従った水蒸気発生器システムの概略図を描いている。 本明細書に説明されている別の実施形態に従った、ALDプロセスによってハフニウム含有材料を堆積するためのプロセスシーケンスを示している。 本明細書に説明されている別の実施形態に従った、ALDプロセスによってハフニウム含有材料を堆積するためのプロセスシーケンスを示している。 本明細書に説明されている実施形態に従った、ALDプロセス中のハフニウムおよびシリコン前駆体のパルスシーケンスの一部を示している。 本明細書に説明されている実施形態に従った、ALDプロセス中のハフニウムおよびシリコン前駆体のパルスシーケンスの一部を示している。 本明細書に説明されている実施形態に従った、ALDプロセス中のハフニウムおよびシリコン前駆体のパルスシーケンスの一部を示している。 本明細書に説明されている実施形態に従った、ALDプロセス中のハフニウムおよびシリコン前駆体のパルスシーケンスの一部を示している。 本明細書に説明されている実施形態に従った、ALDプロセス中のハフニウムおよびシリコン前駆体のパルスシーケンスの一部を示している。 本明細書に説明されている実施形態に従った、堆積プロセス中に使用されてもよいプロセスチャンバの概略断面図を描いている。 本明細書に説明されている実施形態に従った、堆積プロセス中に使用されてもよい別のプロセスチャンバの概略断面図を描いている。 本明細書に説明されている実施形態に従った、堆積プロセス中に使用されてもよい別のプロセスチャンバの概略断面図を描いている。 本明細書に説明されている実施形態に従ったプロセスチャンバと併用されてもよい熱絶縁性ライナーの概略図を描いている。 本明細書に説明されている実施形態に従ったプロセスチャンバと併用されてもよい熱絶縁性ライナーの概略図を描いている。 本明細書に説明されている実施形態に従った、堆積プロセス中に使用されてもよいプロセスチャンバ蓋アセンブリの概略図を描いている。
符号の説明
100…プロセスシーケンス、200…プロセスシーケンス、212、214…接続金具、261…導管システム、262…水素源、264…酸素源、266…キャリアガス源、267…ガス入力、268…ガスフィルタ、270…触媒反応器、271…接合部、272…ガスセンサー、274…ガスフィルタ、280…プロセスチャンバ、281…注入バルブシステム、282…アンプル、283…導管、284…距離、286…WVGシステム、287…導管、300…プロセスシーケンス、610…プロセスチャンバ、618…真空ポンプ、634…ガスマニホルド、648…基板サポートペデスタル、648A…変位機構、650A…温度センサー、652…AC電源、652A…埋め込み加熱要素、670…マイクロプロセッサコントローラ、680…プロセスチャンバ、682…チャンバ本体、684…側壁、686…底部、690…基板、692…基板サポート、714…リフトモーター、716…リフトプレート、718…リフトモーター、720…ピン、722…パージリング、724…パージチャネル、730…ガス送出装置、732…チャンバ蓋、734…膨張チャネル、735…下部部分、736A、736B…ガス入口、737…上部部分、738、739…反応ガス源、740…パージガス源、742A、742B…バルブ、743A、743B…送出ライン、744A、744B…バルブシートアセンブリ、745A、745B…パージライン、746A、746B…バルブシートアセンブリ、748A、748B…プログラマブル論理コントローラ、750A、750B…ガス導管、760…底部表面、762…チョーク、766…ポンピングゾーン、778…真空システム、779…ポンピングチャネル、780…制御ユニット、782…CPU、783…制御ソフトウェア、784…サポート回路、786…メモリ、802…基板、803…チャンバ本体、804…入口、809…熱チョークプレート、810…プロセスチャンバ、811…ガス送出システム、812…基板サポートペデスタル、815…プロセス領域、816…溝、819…保有リングライナー、820…漏斗ライナー、822…上部プロセスライナー、824…下部プロセスライナー、825…スリットバルブポート、826…スリップバルブライナー、830…壁表面、831…アダプター、832…蓋、833…真空システム、834…膨張チャネル、836a、836b、836c、836d…ガス入口、837…ファスナー、838…ピン、841…導管システム、842…源、843…バルブ、844…源、845…バルブ、870…熱アイソレーター、872…キャップ部分、874…アダプター、1002a、1002b…熱アイソレーター、1030…マニホルドサポート、1032…蓋、1043a、1043b、1043c、1043d…バルブ、1045a、1045b、1045c、1045d…バルブ、1050…蓋アセンブリ、1074…アダプター。

Claims (30)

  1. プロセスチャンバ内に位置決めされた基板上にハフニウム含有材料を形成するための方法であって、
    チャンバ本体とチャンバ蓋とを含むプロセスチャンバ内の基板サポート上に基板を載せるステップと、
    円形ガス流を提供するために、第1のガス導管及び第2のガス導管を通じて少なくとも一つのキャリアガスを流すステップと、
    原子層堆積プロセス中に前記基板を前記少なくとも一つのキャリアガスの円形ガス流に暴露するステップと、
    前記基板をハフニウム前駆体に暴露して、その上にハフニウム含有層を形成するステップと、
    前記プロセスチャンバにパージガスをパージするステップと、
    前記基板を酸化ガスに暴露して、その上に酸化ハフニウム材料を形成するステップであって、前記酸化ガスが、水素源ガスおよび酸素源ガスを水蒸気発生器を介して流すことによって形成された水蒸気を含むステップと、
    前記プロセスチャンバに前記パージガスをパージするステップと、
    を含み、前記チャンバ本体及びチャンバ蓋が、
    前記チャンバ蓋の中央に位置する熱絶縁性材料内に形成される膨張チャンネルと、
    前記膨張チャンネルから前記チャンバ蓋の周辺部分に延び、実質的に前記基板をカバーするように成形及びサイズ設定された、部表面と、
    円形ガス流を排出するように配された、前記膨張チャンネル内の第1のガス入り口に接続された第1の導管及び前記膨張チャンネル内の第2のガス入り口に接続された第2の導管と、
    を備える方法。
  2. 前記水素源ガスが水素ガスを含む、請求項1に記載の方法。
  3. 前記酸素源ガスが、酸素、亜酸化窒素およびこれらの組み合わせからなる群より選択された酸素化合物を含む、請求項2に記載の方法。
  4. 前記水蒸気発生器に流れる前記酸素化合物の流量が、前記水蒸気発生器に流れる前記水素ガスの流量より大きい請求項3に記載の方法。
  5. 前記酸化ガスが更に酸素ガスを含む、請求項4に記載の方法。
  6. 前記水素源ガスがフォーミングガスである、請求項2に記載の方法。
  7. 前記フォーミングガスが約1vol%〜約30vol%の範囲の水素ガス濃度を有する、請求項6に記載の方法。
  8. 前記基板を前記ハフニウム前駆体に暴露する前に、前記基板が、約5秒〜約30秒の範囲の期間、前記酸化ガスを含有する含浸プロセスに暴露される、請求項1に記載の方法。
  9. 前記基板をシリコン前駆体に暴露して、その上にシリコン含有層を形成するステップと、
    前記プロセスチャンバに前記パージガスをパージするステップと、
    前記基板を前記酸化ガスに暴露して、その上に前記酸化シリコン材料を形成するステップと、
    前記プロセスチャンバに前記パージガスをパージするステップと、
    によって前記酸化ハフニウム材料上に酸化シリコン材料を堆積するステップを更に備える、請求項1に記載の方法。
  10. 基板上に材料を堆積するための方法であって、
    チャンバ本体とチャンバ蓋とを含むプロセスチャンバ内の基板サポート上に基板を載せるステップと、
    円形ガス流を提供するために、第1のガス導管及び第2のガス導管を通じて少なくとも一つのキャリアガスを流すステップと、
    原子層堆積プロセス中に前記基板を前記少なくとも一つのキャリアガスの円形ガス流に暴露するステップと、
    前記基板をハフニウム前駆体に暴露して、その上にハフニウム含有層を形成するステップと、
    前記少なくとも一つのキャリアガスに少なくとも一つの前駆体をパルスし、前記少なくとも一つの前駆体からの少なくとも一つの元素を含む材料を前記基板上に堆積させるステップと、
    を含み、前記チャンバ本体及びチャンバ蓋が、
    前記チャンバ蓋の中央に位置する熱絶縁性材料内に形成される膨張チャンネルと、
    前記膨張チャンネルから前記チャンバ蓋の周辺部分に延び、実質的に前記基板をカバーするように成形及びサイズ設定された、部表面と、
    円形ガス流を排出するように配された、前記膨張チャンネル内の第1のガス入り口に接続された第1の導管及び前記膨張チャンネル内の第2のガス入り口に接続された第2の導管と、を備える方法。
  11. 前記円形ガス流が、渦流れ、らせん流、スパイラル流またはこれらの誘導体からなる群から選択される流れパターンを含む請求項10に記載の方法。
  12. 前記膨張チャンネルが、前記チャンバ蓋、又は前記チャンバ蓋に取り付けられた漏斗ライナー内に形成される請求項11に記載の方法。
  13. 前記熱絶縁性材料が、石英ガラス、サファイヤ、熱分解窒化ホウ素(PBN)材料、セラミック、これらの誘導体またはこれらの組み合わせからなる群から選択される材料を含む請求項12に記載の方法。
  14. 前記熱絶縁性材料が約0.051μm以下の表面フィニッシュを有する請求項13に記載の方法。
  15. 前記プロセスチャンバが、上部プロセスライナー、下部プロセスライナー、スリップバブルライナー及び保有リングライナー及びこれらの組み合わせからなる群から選択される少なくとも一つの熱絶縁ライナーを含む請求項13に記載の方法。
  16. 漏斗ライナーが前記チャンバ蓋に取り付けられ、加熱プロセス中に熱膨張して基板と整列され、かつセンタリングされる請求項15に記載の方法。
  17. 第1のバルブが前記第1のガス入り口に接続され、第2のバルブが前記第2のガス入り口に接続される請求項10に記載の方法。
  18. 第1のガス源が前記第1のバルブと流体連通し、第2のガス源が前記第2のバルブと流体連通する請求項17に記載の方法。
  19. 前記第1及び第2のバルブが約2秒以下のパルス時間で原子層堆積プロセスを行うことができる請求項18に記載の方法。
  20. 約3000cm以下の体積を含む反応ゾーンがチャンバ蓋と基板受け取り表面との間に定義される請求項10に記載の方法。
  21. 前記体積が前記基板サポートの横方向により調整される請求項20に記載の方法。
  22. プラズマ装置が前記反応ゾーンに流体連通される請求項20に記載の方法。
  23. 触媒を有する水蒸気発生器が前記第1のガス導管に接続され、該触媒が、パラジウム、プラチナ、ニッケル、鉄、クロム、ルテニウム、ロジウム、これらの組み合わせまたはこれらの合金からなる群から選択される元素を含む請求項10に記載の方法。
  24. 酸素源及び水素源が前記水蒸気発生器に接続される請求項23に記載の方法。
  25. 基板上に材料を堆積するための方法であって、
    チャンバ本体とチャンバ蓋と円形ガス流を形成することができるガス送出システムとを含むプロセスチャンバ内の基板サポート上に基板を載せるステップと、
    円形ガス流を提供するために、前記チャンバ蓋の中央に位置する熱絶縁性材料内に形成される膨張チャンネルに沿って、プロセスチャンバ内に少なくとも一つのキャリアガスを流すステップと、
    水蒸気を含む前記基板を前記少なくとも一つのキャリアガスの円形ガス流に暴露するステップと、
    水素源ガスおよび酸素源ガスを水蒸気発生器に流して、水蒸気を含む酸化ガスを形成するステップと、
    円形ガス流を有する前記少なくとも一つのキャリアガスに少なくとも一つの前駆体及び前記水蒸気を連続してパルスするステップと、
    酸素及び前記少なくとも一つの前駆体からの少なくとも一つの元素を含む材料を前記基板上に堆積させるステップと、を含み、
    部表面が前記膨張チャンネルから前記チャンバ蓋の周辺部分に延び、実質的に前記基板をカバーするように成形及びサイズ設定され、
    前記ガス送出システムが、円形ガス流を排出するように配された、前記膨張チャンネル内の第1のガス入り口に接続された第1の導管及び前記膨張チャンネル内の第2のガス入り口に接続された第2の導管を備え、
    前記水蒸気発生器がガス送出システムを介してプロセスチャンバと流体連通される方法。
  26. 前記円形ガス流が、渦流れ、らせん流、スパイラル流またはこれらの誘導体からなる群から選択される流れパターンを含む請求項25に記載の方法。
  27. プロセスチャンバ内で基板上にハフニウム含有誘電スタックを形成するための方法であって、
    水素源ガスおよび酸素源ガスを水蒸気発生器に流して、水蒸気を含む酸化ガスを形成するステップと、
    チャンバ本体とチャンバ蓋とを含むプロセスチャンバ内の基板サポート上に基板を載せるステップと、
    円形ガス流を提供するために、第1のガス導管及び第2のガス導管を通じて少なくとも一つのキャリアガスを流すステップと、
    円形ガス流を有する前記少なくとも一つのキャリアガスに前記基板を暴露し、少なくとも1つの酸化ハフニウム層および少なくとも1つのケイ酸ハフニウム層を前記基板上に形成するステップであって、
    前記基板を、前記酸化ガスと、ハフニウム前駆体を含む第1のプロセスガスとに順次暴露して、その上に第1のハフニウム含有材料を形成する工程と、
    前記基板を、前記酸化ガスと、前記ハフニウム前駆体を含む第2のプロセスガスとに順次暴露して、前記第1のハフニウム含有材料上に第2のハフニウム含有材料を形成する工程とを備えるステップとを含み、前記チャンバ本体及びチャンバ蓋が、
    前記チャンバ蓋の中央に位置する熱絶縁性材料内に形成される膨張チャンネルと、
    前記膨張チャンネルから前記チャンバ蓋の周辺部分に延び、実質的に前記基板をカバーするように成形及びサイズ設定された、部表面と、
    円形ガス流を排出するように配された、前記膨張チャンネル内の第1のガス入り口に接続された第1の導管及び前記膨張チャンネル内の第2のガス入り口に接続された第2の導管と、を備える方法。
  28. 前記第1のプロセスガスが更にシリコン前駆体を含む、請求項27に記載の方法。
  29. 前記第2のプロセスガスが更にシリコン前駆体を含む、請求項27に記載の方法。
  30. 前記第1のハフニウム含有材料を形成する前に、前記基板が、約5秒〜約30秒の範囲の期間、前記酸化ガスを含有する含浸プロセスに暴露される、請求項28に記載の方法。
JP2007513353A 2004-05-12 2005-05-12 ハフニウム含有高誘電率誘電材料の原子層堆積方法 Active JP5063344B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US57017304P 2004-05-12 2004-05-12
US60/570,173 2004-05-12
PCT/US2005/016603 WO2005113855A1 (en) 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Publications (2)

Publication Number Publication Date
JP2007537605A JP2007537605A (ja) 2007-12-20
JP5063344B2 true JP5063344B2 (ja) 2012-10-31

Family

ID=34969846

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007513372A Active JP5053079B2 (ja) 2004-05-12 2005-05-12 ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法
JP2007513353A Active JP5063344B2 (ja) 2004-05-12 2005-05-12 ハフニウム含有高誘電率誘電材料の原子層堆積方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007513372A Active JP5053079B2 (ja) 2004-05-12 2005-05-12 ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法

Country Status (6)

Country Link
US (5) US20050252449A1 (ja)
EP (2) EP1745159A2 (ja)
JP (2) JP5053079B2 (ja)
KR (1) KR101316056B1 (ja)
CN (2) CN101052745B (ja)
WO (2) WO2005113855A1 (ja)

Families Citing this family (691)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) * 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
DE102004019575A1 (de) * 2004-04-20 2005-11-24 Innovent E.V. Technologieentwicklung Verfahren zur Herstellung von transmissionsverbessernden und/oder reflexionsmindernden optischen Schichten
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
JP2006128547A (ja) * 2004-11-01 2006-05-18 Toshiba Corp 半導体装置及びその製造方法
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
JP4711733B2 (ja) * 2005-05-12 2011-06-29 株式会社Adeka 酸化珪素系薄膜の製造方法
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7767594B2 (en) * 2006-01-17 2010-08-03 Hitachi Kokusai Electric Inc. Semiconductor device producing method
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE102006023046B4 (de) * 2006-05-17 2009-02-05 Qimonda Ag Verfahren und Ausgangsmaterial zum Bereitstellen eines gasförmigen Precursors
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
KR101427142B1 (ko) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP4845782B2 (ja) * 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
JP5176358B2 (ja) * 2007-03-27 2013-04-03 東京エレクトロン株式会社 成膜装置及び成膜方法
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US20080274615A1 (en) * 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
KR20100010929A (ko) * 2007-05-22 2010-02-02 도쿄엘렉트론가부시키가이샤 금속 산화물 박막의 제조 방법 및 제조 장치
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009034898A1 (ja) * 2007-09-12 2009-03-19 Tokyo Electron Limited 成膜装置及び成膜方法
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8993051B2 (en) 2007-12-12 2015-03-31 Technische Universiteit Delft Method for covering particles, especially a battery electrode material particles, and particles obtained with such method and a battery comprising such particle
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
WO2009082608A1 (en) * 2007-12-20 2009-07-02 S.O.I.Tec Silicon On Insulator Technologies Apparatus for delivering precursor gases to an epitaxial growth substrate
KR20090068179A (ko) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP5408819B2 (ja) * 2008-01-29 2014-02-05 国立大学法人長岡技術科学大学 堆積装置および堆積方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
KR101562180B1 (ko) * 2008-03-17 2015-10-21 어플라이드 머티어리얼스, 인코포레이티드 앰풀용 가열형 밸브 매니폴드
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090255582A1 (en) * 2008-04-10 2009-10-15 Epv Solar, Inc. Methods of drying glass for photovoltaic applications
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR101580575B1 (ko) 2008-04-25 2015-12-28 에이에스엠 인터내셔널 엔.브이. 텔루르와 셀렌 박막의 원자층 증착을 위한 전구체의 합성과 그 용도
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
TW200949939A (en) * 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based β -diketonate precursors
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5209395B2 (ja) * 2008-07-25 2013-06-12 大陽日酸株式会社 気相成長装置
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010084157A (ja) * 2008-09-29 2010-04-15 Tokyo Electron Ltd ガス導入機構及び成膜装置
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7820506B2 (en) 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5797255B2 (ja) * 2008-10-29 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5400795B2 (ja) * 2008-11-21 2014-01-29 国立大学法人長岡技術科学大学 基板処理方法及び基板処理装置
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102414794B (zh) * 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
WO2010144730A2 (en) * 2009-06-10 2010-12-16 Nexgen Semi Holding, Inc. Apparatus and method for manufacturing multi-component oxide heterostructures
US8507389B2 (en) 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
JP5359642B2 (ja) * 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101994087B (zh) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 蒸镀装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US20110256314A1 (en) * 2009-10-23 2011-10-20 Air Products And Chemicals, Inc. Methods for deposition of group 4 metal containing films
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
KR101584100B1 (ko) * 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
EP2499274B1 (en) 2009-11-09 2016-04-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition methods using hafnium-containing compounds
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5770892B2 (ja) * 2009-11-20 2015-08-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8592294B2 (en) * 2010-02-22 2013-11-26 Asm International N.V. High temperature atomic layer deposition of dielectric oxides
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
KR101232904B1 (ko) * 2010-09-06 2013-02-13 엘아이지에이디피 주식회사 화학기상 증착장치 및 화학기상 증착장치의 세정방법
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
CN102453883A (zh) * 2010-10-19 2012-05-16 英作纳米科技(北京)有限公司 兼具阻隔作用及良好生物相容性的内壁涂层的制备方法
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
KR102111702B1 (ko) * 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
EP2694701B1 (en) 2011-04-07 2015-11-25 Picosun Oy Deposition reactor with plasma source
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
KR101895398B1 (ko) * 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130017317A1 (en) * 2011-07-13 2013-01-17 Ring Kenneth M Load lock control method and apparatus
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9690301B2 (en) 2012-09-10 2017-06-27 Reno Technologies, Inc. Pressure based mass flow controller
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
CN102312217B (zh) * 2011-09-06 2013-04-17 中国科学院长春光学精密机械与物理研究所 采用复合模式生长半导体薄膜的方法及装置
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP2013110161A (ja) * 2011-11-17 2013-06-06 National Institute Of Advanced Industrial & Technology 素子形成用基板及びその製造方法
US8679988B2 (en) 2011-11-22 2014-03-25 Intermolecular, Inc. Plasma processing of metal oxide films for resistive memory device applications
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8741698B2 (en) 2011-11-29 2014-06-03 Intermolecular, Inc. Atomic layer deposition of zirconium oxide for forming resistive-switching materials
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8569104B2 (en) * 2012-02-07 2013-10-29 Intermolecular, Inc. Transition metal oxide bilayers
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
WO2013146632A1 (ja) * 2012-03-28 2013-10-03 株式会社日立国際電気 半導体デバイスの製造方法、基板処理方法、基板処理装置およびプログラム
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8921176B2 (en) 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101469713B1 (ko) * 2012-12-06 2014-12-05 연세대학교 산학협력단 경사형 C/SiC 코팅막 형성 방법 및 장치
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US9040413B2 (en) 2012-12-13 2015-05-26 Intermolecular, Inc. Using saturated and unsaturated ALD processes to deposit oxides as ReRAM switching layer
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9343749B2 (en) * 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9275853B2 (en) * 2013-07-29 2016-03-01 Applied Materials, Inc. Method of adjusting a transistor gate flat band voltage with addition of AL203 on nitrided silicon channel
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US20150064361A1 (en) * 2013-09-04 2015-03-05 Intermolecular Inc. UV treatment for ALD film densification
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
CN105448674B (zh) * 2014-06-11 2018-12-21 清华大学 N型半导体层以及n型薄膜晶体管的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
JP6359913B2 (ja) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 処理装置
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9330902B1 (en) 2015-06-04 2016-05-03 United Microelectronics Corp. Method for forming HfOx film based on atomic layer deposition (ALD) process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9613870B2 (en) 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6457104B2 (ja) 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
JP2016034043A (ja) * 2015-11-25 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017137660A1 (en) * 2016-02-10 2017-08-17 Beneq Oy An apparatus for atomic layer deposition
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017151639A1 (en) 2016-03-03 2017-09-08 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
KR102472052B1 (ko) * 2016-03-29 2022-11-29 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
CN109417042B (zh) 2016-04-25 2022-05-10 应用材料公司 用于自组装单层工艺的化学输送腔室
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11837479B2 (en) 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
GB2561190A (en) * 2017-04-04 2018-10-10 Edwards Ltd Purge gas feeding means, abatement systems and methods of modifying abatement systems
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN107022753B (zh) * 2017-04-19 2019-09-27 同济大学 一种原子层沉积反应装置及通孔材料表面薄膜沉积工艺
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102625430B1 (ko) * 2017-06-29 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 셀렉터 소자용 칼코지나이드 막
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP7250397B2 (ja) 2017-08-11 2023-04-03 東京エレクトロン株式会社 ハロゲン不活性化を使用した選択的膜堆積
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI635539B (zh) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102376835B1 (ko) * 2017-09-25 2022-03-21 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN111133127A (zh) * 2017-09-26 2020-05-08 应用材料公司 为了较佳生物传感器性能的用于原生氧化物移除和介电氧化物再生长的方法、材料和工艺
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815891B (zh) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 薄膜及沉積薄膜的方法
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN110541159A (zh) * 2018-11-06 2019-12-06 北京北方华创微电子装备有限公司 原子层沉积设备及方法
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
SG11202105321TA (en) * 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200100928A (ko) * 2019-02-19 2020-08-27 현대자동차주식회사 분말의 표면 처리 장치 및 이를 이용한 분말의 표면 처리 방법
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
JP6826173B2 (ja) * 2019-09-17 2021-02-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022186971A1 (en) 2021-03-03 2022-09-09 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (478)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
DE2811651C3 (de) * 1978-03-17 1980-09-04 Fa. J. Eberspaecher, 7300 Esslingen Nachbrennvorrichtung mit Katalysator
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS58115130A (ja) * 1981-12-25 1983-07-08 Toyoda Autom Loom Works Ltd 仮撚ノズル
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS62104038A (ja) * 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
EP0250603B1 (en) 1985-12-09 1994-07-06 Nippon Telegraph and Telephone Corporation Process for forming thin film of compound semiconductor
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JPS63227011A (ja) 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
US5229081A (en) 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
GB8815070D0 (en) * 1988-06-24 1988-08-03 Univ City Device for catalytic combination/recombination of hydrogen & oxygen
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP3140068B2 (ja) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 クリーニング方法
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (ja) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5216959A (en) 1991-09-10 1993-06-08 Amada Company, Ltd. Method and device for press overload protection
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5408818A (en) * 1993-06-21 1995-04-25 Damron; Matthew S. Magnetic bar adapter for rakes
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FR2726684A1 (fr) * 1994-11-07 1996-05-10 Sediver Isolateur electrique et son procede de fabrication
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW471068B (en) * 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
JP3644790B2 (ja) * 1997-04-28 2005-05-11 忠弘 大見 水分発生用反応炉
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US7056575B2 (en) * 1997-09-03 2006-06-06 Krona Industries Ltd. Low emissivity, high reflectivity insulation
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JPH11204517A (ja) * 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6520218B1 (en) 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2000188400A (ja) 1998-11-09 2000-07-04 Texas Instr Inc <Ti> 半導体デバイスを形成する方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
JP2003500831A (ja) 1999-05-12 2003-01-07 インフィネオン テクノロジーズ アクチェンゲゼルシャフト 半導体構造のためのコンデンサおよびそのための誘電体層を生成するための方法
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
CA2390465A1 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2001328900A (ja) 2000-05-15 2001-11-27 Denso Corp 薄膜の形成方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
EP1238942A4 (en) * 2000-06-05 2005-07-06 Fujikin Kk MOISTURE GENERATING REACTOR
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
EP1301941A2 (en) * 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
FI118014B (fi) 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
JP3864696B2 (ja) * 2000-11-10 2007-01-10 株式会社デンソー 炭化珪素単結晶の製造方法及び製造装置
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100493206B1 (ko) 2001-01-16 2005-06-03 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
EP1300887B1 (en) 2001-04-02 2007-05-23 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a semiconductor device
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10117783A1 (de) * 2001-04-10 2002-10-24 Bat Cigarettenfab Gmbh Prozessgasaufbereitung für Tabaktrockner
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6632747B2 (en) * 2001-06-20 2003-10-14 Texas Instruments Incorporated Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
CA2452656C (en) 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003035927A2 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
AU2002343583A1 (en) * 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030104707A1 (en) 2001-11-16 2003-06-05 Yoshihide Senzaki System and method for improved thin dielectric films
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
CN1306599C (zh) * 2002-03-26 2007-03-21 松下电器产业株式会社 半导体装置及其制造方法
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
ATE397275T1 (de) * 2002-06-10 2008-06-15 Imec Inter Uni Micro Electr Transistoren und speicherkondensatoren enthaltend eine hfo2-zusammensetzung mit erhöhter dielektrizitätskonstante
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
KR101044366B1 (ko) 2002-06-12 2011-06-29 어플라이드 머티어리얼스, 인코포레이티드 기판을 처리하기 위한 플라즈마 방법 및 장치
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6638802B1 (en) * 2002-06-20 2003-10-28 Intel Corporation Forming strained source drain junction field effect transistors
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040015377A1 (en) * 2002-07-12 2004-01-22 Nokia Corporation Method for assessing software development maturity
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
WO2004106584A1 (en) 2003-05-27 2004-12-09 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040024506A1 (en) * 2002-08-01 2004-02-05 Augustine Michael J. Vehicle steering system with visual feedback display
JP2004071757A (ja) * 2002-08-05 2004-03-04 Hitachi Ltd 高誘電率膜の製造方法及び製造装置
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7222636B2 (en) 2002-08-20 2007-05-29 Applied Materials, Inc. Electronically actuated valve
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7020802B2 (en) 2002-10-17 2006-03-28 Sun Microsystems, Inc. Method and apparatus for monitoring and recording computer system performance parameters
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3965167B2 (ja) * 2003-07-04 2007-08-29 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly

Also Published As

Publication number Publication date
EP1745159A2 (en) 2007-01-24
US20050271812A1 (en) 2005-12-08
US8282992B2 (en) 2012-10-09
US20080041307A1 (en) 2008-02-21
US20080044569A1 (en) 2008-02-21
JP2007537605A (ja) 2007-12-20
WO2005113852A2 (en) 2005-12-01
US20050271813A1 (en) 2005-12-08
CN101052745B (zh) 2011-02-23
KR101316056B1 (ko) 2013-10-10
JP2007537360A (ja) 2007-12-20
CN1934287B (zh) 2012-06-20
JP5053079B2 (ja) 2012-10-17
WO2005113855A1 (en) 2005-12-01
US7794544B2 (en) 2010-09-14
CN1934287A (zh) 2007-03-21
KR20070015959A (ko) 2007-02-06
WO2005113852A3 (en) 2006-05-18
CN101052745A (zh) 2007-10-10
US8343279B2 (en) 2013-01-01
US20050252449A1 (en) 2005-11-17
EP1745160A1 (en) 2007-01-24

Similar Documents

Publication Publication Date Title
JP5063344B2 (ja) ハフニウム含有高誘電率誘電材料の原子層堆積方法
US8507389B2 (en) Methods for forming dielectric layers
US8187381B2 (en) Process gas delivery for semiconductor process chamber
US20080063798A1 (en) Precursors and hardware for cvd and ald
US8119210B2 (en) Formation of a silicon oxynitride layer on a high-k dielectric material
TWI541248B (zh) 有機胺基矽烷前驅物及其製造和使用方法
US7402534B2 (en) Pretreatment processes within a batch ALD reactor
TWI521084B (zh) 於原子層沉積製程中調節沉積速率的方法
US20070065578A1 (en) Treatment processes for a batch ALD reactor
TWI523104B (zh) 半導體裝置的製造方法、基板處理方法及基板處理裝置
KR101624459B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
TW200822191A (en) Precursors and hardware for CVD and ALD

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080422

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110615

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110622

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110714

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110722

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120710

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120807

R150 Certificate of patent or registration of utility model

Ref document number: 5063344

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150817

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250