KR100287180B1 - 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 - Google Patents

계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR100287180B1
KR100287180B1 KR1019980038413A KR19980038413A KR100287180B1 KR 100287180 B1 KR100287180 B1 KR 100287180B1 KR 1019980038413 A KR1019980038413 A KR 1019980038413A KR 19980038413 A KR19980038413 A KR 19980038413A KR 100287180 B1 KR100287180 B1 KR 100287180B1
Authority
KR
South Korea
Prior art keywords
layer
forming
control layer
interface control
contact hole
Prior art date
Application number
KR1019980038413A
Other languages
English (en)
Other versions
KR20000020013A (ko
Inventor
윤미영
이상인
임현석
Original Assignee
윤종용
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자주식회사 filed Critical 윤종용
Priority to KR1019980038413A priority Critical patent/KR100287180B1/ko
Priority to JP05700899A priority patent/JP4005738B2/ja
Priority to US09/397,616 priority patent/US6358829B2/en
Publication of KR20000020013A publication Critical patent/KR20000020013A/ko
Application granted granted Critical
Publication of KR100287180B1 publication Critical patent/KR100287180B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

원자층으로 이루어지는 계면 조절층을 형성한 후 그 위에 CVD 방법으로 Al막을 형성하여 표면 형상이 우수한 Al 배선층을 형성하는 방법에 관하여 개시한다. 본 발명에 따른 반도체 소자의 제조 방법에서는 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성한다. 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 연속적으로 증착된 복수의 원자층으로 이루어지는 계면 조절층을 수 Å ∼ 수십 Å의 두께로 형성한다. 상기 계면 조절층이 형성된 결과물상에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀 내에 콘택 플러그를 형성하는 동시에 상기 층간절연막상에 상기 콘택 플러그와 연결되는 배선층을 형성한다.

Description

계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법{Method for manufacturing semiconductor device including metal interconnection formed using interface control layer}
본 발명은 반도체 소자의 제조 방법에 관한 것으로, 특히 미세한 콘택에 의하여 하부의 도전층과 연결되는 금속 배선층을 갖춘 반도체 소자의 제조 방법에 관한 것이다.
반도체 장치가 고집적화되어감에 따라, 콘택홀의 직경은 점차 작아지고, 그 아스펙트비는 점차 커지고 있다. 그에 따라, 비교적 큰 아스펙트비를 가지는 미세한 콘택홀을 효과적으로 매립하는 공정이 필요하게 되었다.
콘택홀 매립 공정으로서 현재 많이 사용되고 있는 PVD(Physical Vapor Deposition) 방법을 이용하는 경우에는 형성되는 막의 스텝 커버리지(step coverage)가 불량하게 되어 미세한 콘택홀을 완전히 매립하기는 어렵다. 이를 극복하기 위하여, CVD(Chemical Vapor Deposition) 방법에 의하여 금속을 증착하는 방법, 즉 콘택홀 내부를 CVD 방법에 의하여 텅스텐으로 채워서 텅스텐 플러그를 형성하는 방법이 사용되고 있다. 그러나, CVD 방법에 의하여 증착되는 텅스텐 플러그는 비저항이 높고 그 위에 형성되는 알루미늄 배선층과의 계면 반응에 의하여 콘택 저항이 증가되는 단점이 있다.
따라서, 콘택홀 내에 비저항이 비교적 낮은 알루미늄을 CVD 방법으로 증착하여 콘택을 형성할 수 있다면 가장 이상적일 것이다. 그러나, 현재 사용되고 있는 CVD 전면 증착(blanket deposition) 방법에 의하여 알루미늄막을 형성하는 경우에는, 그 두께가 증가할수록 표면 형상이 불량해지는 문제가 있다. 이와 같이, 표면 형상이 불량한 막에 의하여는 완벽한 콘택 매립이 불가능하다. 따라서, CVD 방법에 의하여 형성되는 알루미늄막을 금속 배선에 적용하기 위하여는 표면 형상을 개선하는 것이 필수적이다.
본 발명의 목적은 큰 아스펙스비를 가지는 미세한 콘택홀을 완벽하게 매립할 수 있는 동시에 우수한 표면 형상을 가지는 금속 배선층을 형성할 수 있는 반도체 소자의 제조 방법을 제공하는 것이다.
도 1a 내지 도1e는 본 발명의 제1 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 2a 내지 도 2d는 본 발명의 제2 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 3a 내지 도 3e는 본 발명의 제3 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
〈도면의 주요 부분에 대한 부호의 설명〉
10 : 반도체 기판, 12 : 도전 영역
20 : 층간절연막, 32 : 오믹층
34 : 배리어층, 42 : 계면 조절층
44 : 표면 처리층, 50 : 배선층
52 : 콘택 플러그, 110 : 반도체 기판
120 : 층간절연막, 132 : 오믹층
134 : 배리어층, 142 : 계면 조절층
144 : 표면 처리층, 150 : 도전층
150a : Cu가 도핑된 Al 배선층, 210 : 반도체 기판
220 : 층간절연막, 232 : 오믹층
234 : 배리어층, 242 : 제1 계면 조절층
244 : 제2 계면 조절층, 246 : 표면 처리층
250 : 도전층, 250a : Si 및 Cu가 도핑된 Al 배선층
상기 목적을 달성하기 위하여, 본 발명의 제1 양태에 따른 반도체 소자의 제조 방법에서는 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성한다. 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 연속적으로 증착된 복수의 원자층으로 이루어지고 Si이 함유된 Al 박막으로 형성되는 계면 조절층을 ALD(Atomic Layer Deposition), 사이클릭 CVD(cyclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 수 Å ∼ 수십 Å의 두께로 형성한다. 상기 계면 조절층이 형성된 결과물상에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀 내에 콘택 플러그를 형성하는 동시에 상기 층간절연막상에 상기 콘택 플러그와 연결되는 배선층을 형성한다.
또한, 상기 층간절연막을 형성한 후 계면 조절층을 형성하기 전에, 상기 노출된 도전 영역과, 상기 콘택홀에 의해 노출되는 층간절연막의 측벽 및 상면에 오믹층을 형성하고, 상기 오믹층 위에 배리어층을 더 형성한다. 이 때, 상기 계면 조절층은 상기 배리어층 위에 형성된다.
상기 계면 조절층은 단일 원소로 이루어지는 단일 금속 박막, 또는 두가지 또는 그 이상의 원소로 이루어지는 합금 박막으로 형성된다.
상기 계면 조절층을 형성하기 위하여, 먼저 상기 배리어층이 형성된 결과물상에 Si 함유 가스를 플러쉬(flush)하여 상기 배리어층 표면에 Si를 흡착시킨다. 과잉의 Si 함유 가스를 제거한다. 상기 Si가 흡착된 결과물상에 Al 함유 가스를 공급하여 상기 배리어층 및 흡착된 Si 표면에 Al을 흡착시킨다. 과잉의 Al 함유 가스를 제거한다. 상기 단계들을 반복하여 상기 배리어층 위에 상기 Si이 함유된 Al 박막을 형성한다.
바람직하게는, 상기 배리어층은 Ti 리치 TiN막으로 형성된다.
상기 Al 흡착 단계에서는 Al 증착을 향상시키기 위하여 상기 Al 함유 가스 공급과 동시에 H2가스를 공급할 수 있다.
상기 콘택 플러그 및 배선층 형성 단계는 상기 계면 조절층 형성 단계와 인시튜(in-situ)로 행해진다.
상기 계면 조절층 형성 단계 후 상기 콘택 플러그 및 배선층 형성 단계 전에, 상기 계면 조절층의 표면이 산화되는 것을 방지하기 위한 표면 처리층을 상기 계면 조절층 위에 형성하는 단계를 더 포함할 수 있다. 이 때, 상기 Al의 증착은 상기 표면 처리층 위에 행해진다.
상기 표면 처리층은 상기 계면 조절층의 표면에 수소 또는 질소를 흡착시킴으로써 형성된다.
본 발명의 제2 양태에 따른 반도체 소자의 제조 방법에서는 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성한다. 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 연속적으로 증착된 복수의 원자층으로 이루어지고, Cu, Ti, W, Si, Ta 및 Ag로 이루어지는 군에서 선택되는 어느 하나로 형성되는 계면 조절층을 ALD(Atomic Layer Deposition), 사이클릭 CVD(cyclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 수 Å ∼ 수십 Å의 두께로 형성한다. 상기 계면 조절층 위에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀의 내부를 채우는 동시에 상기 층간절연막의 상부를 덮는 도전층을 형성한다. 상기 결과물을 어닐링하여 상기 계면 조절층 내의 원자들을 상기 도전층 내로 확산시켜서 도판트로 도핑된 Al 배선층을 형성한다.
상기 층간절연막 형성 후 상기 계면 조절층 형성 전에, 상기 노출된 도전 영역과, 상기 콘택홀에 의해 노출되는 층간절연막의 측벽 및 상면에 오믹층을 형성한다. 상기 오믹층 위에 배리어층을 형성한다. 이 때, 상기 계면 조절층은 상기 배리어층 위에 형성된다.
상기 계면 조절층이 Cu로 이루어지는 경우, 상기 계면 조절층 형성 단계는 (hfac)Cu(TMVS), CuCl2및 Cu2I4로 이루어지는 군에서 선택되는 어느 하나 또는 그 조합으로 이루어지는 가스를 플러쉬하여 상기 배리어층의 표면에 Cu를 흡착시키는 단계와, 퍼징 가스를 사용하여 상기 결과물을 퍼징하는 단계와, 상기 단계들을 반복하는 단계를 포함한다.
상기 어닐링 단계는 300 ∼ 650℃의 온도로 행한다.
상기 계면 조절층이 Ti로 이루어지는 경우, 상기 계면 조절층 형성 단계는 TiCl4, TDEAT(tridiethylamine titanate) 및 TDMAT(tridimethylamine titanate)로 이루어지는 군에서 선택되는 어느 하나 또는 그 조합으로 이루어지는 가스를 플러쉬하는 단계를 포함한다.
상기 계면 조절층이 W로 이루어지는 경우, 상기 계면 조절층 형성 단계는 WF6가스를 플러쉬하는 단계를 포함한다.
상기 계면 조절층이 Si로 이루어지는 경우, 상기 계면 조절층 형성 단계는 SiH4, SiH3Cl, SiHCl3, Si2H6및 SiCl4로 이루어지는 어느 하나 또는 그 조합의 가스를 플러쉬하는 단계를 포함한다. 이 때, 어닐링 단계는 400 ∼ 650℃의 온도로 행한다.
상기 계면 조절층 형성 단계 후 상기 도전층 형성 단계 전에, 상기 계면 조절층의 표면이 산화되는 것을 방지하기 위한 표면 처리층을 상기 계면 조절층 위에 형성하는 단계를 더 포함한다. 이 때, 상기 도전층은 상기 표면 처리층 위에 형성된다.
본 발명의 제3 양태에 따른 반도체 소자의 제조 방법에서는, 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성한다. 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 Si이 함유된 Al 박막으로 이루어지는 제1 계면 조절층을 수 Å ∼ 수십 Å의 두께로 형성한다. 상기 제1 계면 조절층 위에 연속적으로 증착된 복수의 Cu 원자층으로 이루어지는 제2 계면 조절층을 형성한다. 상기 제2 계면 조절층 위에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀 내부를 채우는 동시에 상기 층간절연막의 상부를 덮는 도전층을 형성한다. 상기 결과물을 어닐링하여 Si 및 Cu가 도핑된 Al 배선층을 형성한다.
상기 층간절연막 형성 후 상기 제1 계면 조절층 형성 전에, 상기 노출된 도전 영역과, 상기 콘택홀에 의해 노출되는 층간절연막의 측벽 및 상면에 오믹층을 형성하고, 상기 오믹층 위에 배리어층을 형성하는 단계를 더 포함한다. 이 때, 상기 제1 계면 조절층은 상기 배리어층 위에 형성된다.
상기 제1 및 제2 계면 조절층은 각각 ALD(Atomic Layer Deposition), 사이클릭 CVD(cyclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 형성된다.
상기 제1 계면 조절층을 형성하는 단계에서는 상기 배리어층이 형성된 결과물상에 Si 함유 가스를 플러쉬하여 상기 배리어층 표면에 Si를 흡착시킨다. 과잉의 Si 함유 가스를 제거한다. 상기 Si가 흡착된 결과물상에 Al 함유 가스를 공급하여 상기 배리어층 및 흡착된 Si 표면에 Al을 흡착시킨다. 과잉의 Al 함유 가스를 제거한다. 상기 단계들을 반복하여 상기 배리어층 위에 상기 Si이 함유된 Al 박막을 형성한다.
바람직하게는, 상기 배리어층은 Ti리치 TiN막으로 형성된다.
상기 Al 흡착 단계에서는 상기 Al 소스 가스 공급과 동시에 H2가스를 공급할 수 있다.
상기 제2 계면 조절층 형성 단계에서는 (hfac)Cu(TMVS), CuCl2및 Cu2I4로 이루어지는 군에서 선택되는 어느 하나 또는 그 조합으로 이루어지는 가스를 플러쉬하여 상기 제1 계면 조절층의 표면에 Cu를 흡착시킨다. 퍼징 가스를 사용하여 상기 Cu가 흡착된 결과물을 퍼징한다. 상기 단계들을 반복한다.
상기 어닐링 단계는 300 ∼ 650℃의 온도로 행한다.
상기 제1 계면 조절층 형성 단계, 상기 제2 계면 조절층 형성 단계 및 상기 도전층 형성 단계는 인시튜(in-situ)로 연속적으로 행해질 수 있다.
상기 제2 계면 조절층 형성 단계 후 상기 도전층 형성 단계 전에, 상기 제2 계면 조절층의 표면이 산화되는 것을 방지하기 위한 표면 처리층을 상기 제2 계면 조절층 위에 형성하는 단계를 더 포함할 수 있다.
본 발명에 의하면, 우수한 표면 형상을 갖는 Al 배선층을 얻을 수 있으며, 배선층의 신뢰도를 향상시킬 수 있다.
다음에, 본 발명의 바람직한 실시예에 대하여 첨부 도면을 참조하여 상세히 설명한다.
도 1a 내지 도 1e는 본 발명의 제1 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 1a를 참조하면, 소정의 도전 영역(12)이 형성된 반도체 기판(10)상에 상기 도전 영역(12)을 노출시키는 콘택홀(H1)을 포함하는 층간절연막(20)을 형성한다.
도 1b를 참조하면, 상기 노출된 도전 영역(12)과, 상기 콘택홀(H1)에 의해 노출되는 층간절연막(20)의 측벽 및 상면에 오믹층(32), 예를 들면 Ti막을 형성하고, 상기 오믹층(32) 위에 배리어층(barrier layer)(34), 예를 들면 TiN막을 형성한다. 바람직하게는, 상기 배리어층(34)은 일반적인 TiN막에서보다 Ti 함량이 높은 Ti 리치(Ti-rich) TiN막으로 형성한다. 이와 같이 형성하는 이유는 후술한다.
도 1c를 참조하면, 상기 오믹층(32) 및 배리어층(34)으로 덮인 콘택홀(H1)의 내벽 및 상기 층간절연막(20)의 상부에 ALD(Atomic Layer Deposition) 방법으로 연속적으로 증착된 복수의 원자층으로 이루어지는 계면 조절층(42)을 수 Å ∼ 수십 Å, 바람직하게는 10Å 미만의 두께로 형성한다. 여기서, 상기 계면 조절층(42)은 Si이 함유된 Al 박막으로 이루어진다.
ALD 방법으로 형성되는 상기 계면 조절층(42)은 필요한 소스 가스(source gas)를 순차적으로 공급하여 화학 흡착(chemisorption) 방법에 의하여 매우 얇은 막을 연속적으로 밀도 높게 형성될 수 있는 장점을 가진다. 따라서, 이와 같은 계면 조절층(42) 위에 CVD 방법으로 Al막을 형성하면, 그 Al막의 두께가 수 천Å 정도로 증가하여도 표면 형상이 매우 우수한 Al막이 얻어지고, 큰 아스펙트비에 의하여 단차가 큰 미세한 콘택홀을 완벽하게 매립할 수 있다.
상기 Si이 함유된 Al 박막으로 이루어지는 계면 조절층(42)을 형성하기 위하여, 먼저 상기 배리어층(34)이 형성된 결과물상에 Si 함유 가스를 플러쉬(flush)하여 상기 배리어층(34)의 표면에 Si을 흡착시킨다. 상기 Si 함유 가스로서, 예를 들면 SiH4를 사용한다. 이 흡착 단계는 SiH4가 적절히 분해될 수 있는 온도인 400 ∼ 900℃, 바람직하게는 750℃의 온도에서 행한다. Si 함유 가스로서 SiH3Cl, SiH2Cl2, SiHCl3, Si2H6또는 SiCl4를 사용하는 것도 가능하다. 이 때, 상기 설명한 바와 같이 상기 배리어층(34)을 Ti 리치 TiN막으로 형성하면, TiN막중 과잉의 Ti가 SiH4로부터 공급되는 Si와 반응하여 상기 배리어층(34)상에 Si가 흡착되는 것을 용이하게 할 수 있다.
이어서, 상기 배리어층(34)상에 흡착된 Si를 제외한 나머지 과잉의 SiH4는 퍼징(purging) 또는 펌핑(pumping out)에 의하여 제거한다.
그 후, Si이 흡착된 결과물상에 Al 함유 가스인 TMA(trimethyl aluminum)를 공급하면, Al(CH3)3+ SiH4→ Si-Al + CH4(↑)의 반응에 따라서 TMA의 메틸기가 메탄으로 기화되고, Al이 배리어층(34)을 구성하는 TiN 및 그 위에 흡착된 Si 위에 흡착된다. 여기서, TMA 공급과 동시에 H2가스를 함께 공급하면, Al(CH3)3+ H2→ Al + CH4의 반응이 유도되어 Al 증착을 더욱 용이하게 할 수 있다.
또한, 상기 Al 함유 가스로서 DMAH(dimethylaluminum hydride), DMEAA(dimethylethylamine alane) 또는 TIBA(triisobutylaluminum)를 사용하는 것도 가능하다.
이어서, 과잉의 TMA를 퍼징(purging) 또는 펌핑(pumping out)에 의하여 제거한다.
상기 설명한 바와 같은 Si 흡착, Al 증착 단계를 필요한 횟수 만큼 반복하여 균일한 사이즈의 그레인이 고밀도로 형성되어 있는 복수의 얇은 막들을 연속적으로 증착함으로써, Si이 함유된 Al막으로 이루어지는 상기 계면 조절층(42)을 얻을 수 있다.
CVD 방법에 의하여 형성되는 Al 배선층에서는 Al의 응집(agglomeration) 현상이 잘 일어나고 Al 배선층의 두께가 커질수록 특정한 핵형성 위치(nucleation site)에서 빨리 성장되는 경향이 있다. 따라서, 상기 계면 조절층(42)을 형성하는 데 있어서 증착 속도를 조절함으로서 그레인 결정화 특성이 우수하고 핵형성 위치가 고밀도로 촘촘하게 확보될 수 있도록 하는 것이 중요하다. 즉, 상기 배리어층(34) 위에 ALD 방법에 의하여 사이즈가 균일한 Al 핵을 랜덤(random)하게 분포시켜서 고밀도의 핵형성 위치를 확보하고, 균일한 사이즈의 그레인이 고밀도로 촘촘하게 형성된 원자층 단위의 상기 계면 조절층(42)이 얻어질 정도로 상기 계면 조절층(42)을 비교적 낮은 증착 속도로 형성한 후, 그 위에 연속적으로 CVD 방법에 의하여 상기 계면 조절층(42)의 증착 속도보다 빠른 증착 속도로 Al 배선층을 형성한다. 그 결과, 우수한 표면 형상을 갖는 Al 배선층을 얻을 수 있다.
상기 계면 조절층(42)을 형성하기 위하여 ALD 방법을 사용하는 것으로 설명하였으나, 사이클릭 CVD(cyclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 상기 계면 조절층(42)을 형성하는 것도 가능하다.
상기 계면 조절층(42) 내에 함유되어 있는 Si은 Al 입계(grain boundary) 또는 그레인 내에 석출되어 있는 상태로 존재하게 된다. 따라서, Al의 응집(agglomeration)을 막아주고, Al의 핵형성 위치(nucleation site)가 균일하게 분포될 수 있도록 한다.
도 1d를 참조하면, 상기 계면 조절층(42)의 표면에 수소 함유 가스 또는 질소 함유 가스를 공급하여 수소 또는 질소를 흡착시켜서 상기 계면 조절층(42)의 표면에 표면 처리층(44)을 얇게 형성한다. 상기 표면 처리층(44)은 상기 계면 조절층(42)의 표면이 산화되는 것을 방지하기 위하여 형성하는 것이다. 후속의 CVD 증착 단계를 상기 계면 조절층(42) 형성 단계와 인시튜(in-situ)로 행하는 경우에는 상기 표면 처리층(44)의 형성 단계를 생략할 수 있다.
도 1e를 참조하면, 상기 표면 처리층(44)이 형성된 결과물상에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀(H1) 내에 콘택 플러그(52)를 형성하는 동시에 상기 층간절연막(20)상에 상기 콘택 플러그(52)와 연결되는 배선층(50)을 1,000 ∼ 8,000Å의 두께로 형성한다.
바람직하게는, 상기 콘택 플러그(52) 및 배선층(50) 형성 단계는 상기 계면 조절층(42) 형성 단계와 인시튜로 행한다.
이 때, 상기 콘택홀(H1)의 내벽에 상기 계면 조절층(42)을 미리 형성하였으므로, 상기 콘택 플러그(52)에 의하여 상기 콘택홀(H1)을 완벽하게 매립할 수 있는 동시에 우수한 표면 형상을 가지는 상기 배선층(50)이 얻어질 수 있다.
도 2a 내지 도 2d는 본 발명의 제2 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 2a를 참조하면, 도 1a 및 도 1b를 참조하여 설명한 바와 같은 방법으로 반도체 기판(110)상에 상기 반도체 기판(110)의 도전 영역을 노출시키는 콘택홀(H2)을 포함하는 층간절연막(120)을 형성하고, 상기 반도체 기판(110)의 노출된 도전 영역과, 상기 콘택홀(H2)에 의해 노출되는 층간절연막(120)의 측벽 및 상면에 Ti로 이루어지는 오믹층(132) 및 TiN으로 이루어지는 배리어층(134)을 차례로 형성한다.
이어서, 상기 배리어층(134)으로 덮인 상기 콘택홀(H2)의 내벽 및 상기 층간절연막(120)의 상부에 ALD, 사이클릭 CVD 또는 디지털 CVD 방법에 의하여 연속적으로 증착된 복수의 원자층으로 이루어지는 계면 조절층(142)을 수 Å ∼ 수십 Å의 두께, 바람직하게는 20Å 이하의 두께로 형성한다.
상기 계면 조절층(142)은 Cu, Ti, W, Si, Ta 및 Ag로 형성할 수 있다. 본 예에서는 상기 계면 조절층(142)을 Cu로 형성하는 경우에 대하여 설명한다.
Cu로 이루어지는 상기 계면 조절층(142)을 형성하기 위하여, 먼저 Cu의 소스 가스로서 (hfac)Cu(TMVS)[(hexafluoroacetyl)Cu(trimethylvinylsilane)], CuCl2또는 Cu2I4가스를 상기 배리어층(134)이 형성된 결과물상에 플러쉬하여 상기 배리어층(134)의 표면에 Cu를 흡착시킨 후, H2, He 또는 Ar 가스를 사용하여 퍼징한다. 상기 플러쉬 단계 및 퍼징 단계를 필요한 횟수 만큼 반복하여 연속적으로 얇게 증착된 복수의 Cu 원자층으로 이루어지는 상기 계면 조절층(142)을 형성한다.
상기 계면 조절층(142)을 Ti로 형성하는 경우에는 소스 가스로서 TiCl4, TDEAT(tridiethylamine titanate) 또는 TDMAT(tridimethylamine titanate) 가스를 플러쉬한다.
상기 계면 조절층(142)을 W으로 형성하는 경우에는 소스 가스로서 WF6가스를 플러쉬한다.
상기 계면 조절층(142)을 Si으로 형성하는 경우에는 소스 가스로서 SiH4, SiH3Cl, SiHCl3, Si2H6또는 SiCl4가스를 플러쉬한다.
도 2b를 참조하면, 상기 계면 조절층(142)의 표면이 산화되는 것을 방지하기 위하여 상기 계면 조절층(142) 표면에 수소 함유 가스 또는 질소 함유 가스를 공급하여 수소 또는 질소를 흡착시켜서 표면 처리층(144)을 얇게 형성한다. 후속의 CVD 증착 단계를 상기 계면 조절층(142) 형성 단계와 인시튜로 행하는 경우에는 상기 표면 처리층(144)의 형성 단계를 생략할 수 있다.
도 2c를 참조하면, 상기 표면 처리층(144)이 형성된 결과물상에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀(H2) 내부를 채우는 동시에 상기 층간절연막(120)의 상부를 덮는 도전층(150)을 형성한다. 이 때, 상기 콘택홀(H2)의 내벽에 얇고 연속적인 상기 계면 조절층(142)을 미리 형성하였으므로, 상기 배리어층(134)을 구성하는 TiN 표면에 Cu가 연속막의 형태로 흡착되어 있다. 이와 같은 상태에서 상기 도전층(150)을 CVD 방법으로 형성하게 되면, 상기 도전층(150)을 두껍게 형성하여도 상기 콘택홀(H2)을 완벽하게 매립할 수 있는 동시에 우수한 표면 형상을 가지는 상기 도전층(50)이 얻어진다.
바람직하게는, 상기 도전층(150) 형성 단계는 상기 계면 조절층(142) 형성 단계와 인시튜로 행한다.
도 2d를 참조하면, 상기 도전층(150)이 형성된 결과물을 300 ∼ 650℃, 바람직하게는 450 ∼ 500℃의 온도로 어닐링하여 상기 계면 조절층(142) 내의 Cu 원자를 상기 도전층(150) 내로 확산시킨다. 그 결과, Cu가 도핑된 Al 배선층(150a)이 얻어진다. 상기 Al 배선층(150a) 내의 Cu 도핑 농도를 0.5 atm% 정도로 하고자 한다면 상기 계면 조절층(142)의 두께를 20Å 이하로 하면 충분하다.
상기한 바와 같이, 상기 계면 조절층(142)을 구성하는 Cu가 상기 배리어층(134)을 구성하는 TiN 위에 흡착되어 있는 상태에서 CVD 방법으로 상기 도전층(150)을 형성하면, 두꺼운 Al 도전층에서도 우수한 표면 형상이 얻어질 수 있는 동시에 Al 배선층(150a)에서 Cu가 도판트(dopant)로 작용하여 배선층의 신뢰성을 향상시킬 수 있는 효과가 있다.
상기 계면 조절층(142)을 Cu가 아닌 다른 원소, 예를 들면 Ti, W, Si, Ta 또는 Ag를 이용하여 형성하는 경우에도 동일한 효과를 기대할 수 있다.
상기 계면 조절층(142)을 Ti로 형성한 경우에는 상기 도전층(150)이 형성된 결과물을 400 ∼ 650℃의 온도로 어닐링한다.
도 3a 내지 도 3e는 본 발명의 제3 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 3a를 참조하면, 도 1a 및 도 1b를 참조하여 설명한 바와 같은 방법으로 반도체 기판(210)상에 상기 반도체 기판(210)의 도전 영역을 노출시키는 콘택홀(H3)을 포함하는 층간절연막(220)을 형성하고, 상기 반도체 기판(210)의 노출된 도전 영역과, 상기 콘택홀(H3)에 의해 노출되는 층간절연막(220)의 측벽 및 상면에 Ti로 이루어지는 오믹층(232) 및 TiN으로 이루어지는 배리어층(234)을 차례로 형성한다.
이어서, 도 1c를 참조하여 설명한 바와 동일한 방법에 의하여, 상기 배리어층(234)으로 덮인 상기 콘택홀(H3)의 내벽 및 상기 층간절연막(220)의 상부에 Si이 함유된 Al 박막으로 이루어지는 제1 계면 조절층(242)을 수 Å ∼ 수십 Å의 두께, 바람직하게는 10Å 미만의 두께로 형성한다.
도 3b를 참조하면, 도 2a를 참조하여 설명한 바와 같은 방법으로, 상기 제1 계면 조절층(242) 위에 Cu로 이루어지는 제2 계면 조절층(244)을 형성한다. 상기 제2 계면 조절층(244)은 Ti, W, Si, Ta 또는 Ag로도 형성할 수 있다.
또한, 상기 제2 계면 조절층(244)을 제2 실시예에서와 같이 얇은 연속막의 형태로 형성하는 것도 가능하지만, 상기 제1 계면 조절층(242) 위에 이 분야에서 공지된 기술에 의하여 복수의 아일랜드(island) 형상으로 형성하는 방법도 가능하다.
도 3c를 참조하면, 도 2b를 참조하여 설명한 바와 같은 방법으로, 상기 제2 계면 조절층(244)의 표면에 산화 방지용 표면 처리층(246)을 형성한다. 후속의 CVD에 의한 Al 증착 단계를 상기 제1 및 제2 계면 조절층(242, 244) 형성 단계와 인시튜로 행하는 경우에는 상기 표면 처리층(246)의 형성 단계를 생략할 수 있다.
도 3d를 참조하면, 상기 표면 처리층(246)이 형성된 결과물상에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀(H3) 내부를 채우는 동시에 상기 층간절연막(220)의 상부를 덮는 도전층(250)을 형성한다. 이 때, 상기 콘택홀(H3)의 내벽에 상기 제1 및 제2 계면 조절층(242, 244)을 미리 형성하였으므로, 상기 도전층(250)에 의하여 상기 콘택홀(H3)을 완벽하게 매립할 수 있는 동시에 우수한 표면 형상을 가지는 상기 도전층(250)이 얻어진다.
바람직하게는, 상기 도전층(250) 형성 단계는 상기 제1 및 제2 계면 조절층(242, 244) 형성 단계와 인시튜로 행한다.
도 3e를 참조하면, 상기 도전층(250)이 형성된 결과물을 300 ∼ 650℃, 바람직하게는 450 ∼ 500℃의 온도로 어닐링하여 상기 제1 계면 조절층(242) 내의 Si 원자 및 상기 제2 계면 조절층(244) 내의 Cu 원자를 상기 도전층(250) 내로 확산시킨다. 그 결과, Si 및 Cu가 도핑된 Al 배선층(250a)이 얻어진다.
본 실시예에서는, 상기 제1 및 제2 계면 조절층(242, 244)에 의하여 우수한 표면 형상을 가지는 상기 Al 배선층을 형성할 수 있는 동시에 상기 Al 배선층(250a)에서 상기 제1 및 제2 계면 조절층(242, 244)의 Si 및 Cu가 도판트로 작용하여 배선층의 신뢰성을 향상시킬 수 있다.
상기한 바와 같이, 본 발명에 의하면 배리어층 위에 ALD방법, 사이클릭 CVD 방법 또는 디지털 CVD 방법에 의하여 균일한 사이즈의 그레인으로 이루어지는 고밀도의 계면 조절층을 형성한 후 Al 배선층을 형성하므로, 우수한 표면 형상을 갖는 Al 배선층을 얻을 수 있으며, 상기 계면 조절층을 배선층 내의 도판트로 이용할 수 있으므로, 배선층의 신뢰도를 향상시킬 수 있다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상의 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형이 가능하다.

Claims (30)

  1. (a) 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성하는 단계와, (b) 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 연속적으로 증착된 복수의 원자층으로 이루어지고, Si이 함유된 Al 박막으로 형성되는 계면 조절층을 ALD(Atomic Layer Deposition), 사이클릭 CVD(cyclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 수 Å ~ 수십 Å의 두께로 형성하는 단계와, (c) 상기 계면 조절층이 형성된 결과물상에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀 내에 콘택 플러그를 형성하는 동시에 상기 층간절연막상에 상기 콘택 플러그와 연결되는 배선층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  2. 제1항에 있어서, 상기 단계 (a) 후 단계 (b) 전에, 상기 노출된 도전 영역과, 상기 콘택홀에 의해 노출되는 층간절연막의 측벽 및 상면에 오믹층을 형성하는 단계와, 상기 오믹층 위에 배리어층을 형성하는 단계를 더 포함하고, 상기 단계 (b)에서 상기 계면 조절층은 상기 배리어층 위에 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  3. 제2항에 있어서, 상기 단계 (b)에서, 상기 계면 조절층은 단일 원소로 이루어지는 단일 금속 박막, 또는 두가지 또는 그 이상의 원소로 이루어지는 합금 박막으로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  4. 제2항에 있어서, 상기 단계 (b)에서, 상기 계면 조절층을 형성하는 단계는 (b-1) 상기 배리어층이 형성된 결과물상에 Si 함유 가스를 플러쉬(flush)하여 상기 배리어층 표면에 Si를 흡착시키는 단계와, (b-2) 과잉의 Si 함유 가스를 제거하는 단계와, (b-3) 상기 Si가 흡착된 결과물상에 Al 함유 가스를 공급하여 상기 배리어층 및 흡착된 Si 표면에 Al을 흡착시키는 단계와, (b-4) 과잉의 Al 함유 가스를 제거하는 단계와, (b-5) 상기 단계 (b-1) 내지 (b-4)를 반복하여 상기 배리어층 위에 상기 Si 이 함유된 Al박막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  5. 제4항에 있어서, 상기 배리어층은 Ti 리치 TiN막으로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  6. 제4항에 있어서, 상기 단계 (b-3)에서 상기 Al 흡착 단계는 Al 증착을 향상시키기 위하여 상기 Al 함유 가스 공급과 동시에 H2가스를 공급하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  7. 제1항에 있어서, 상기 단계 (c)의 콘택 플러그 및 배선층 형성 단계는 상기 단계 (b)와 인시튜 (in-situ)로 행해지는 것을 특징으로 하는 반도체 소자의 제조 방법.
  8. 제1항에 있어서, 상기 단계 (b)의 계면 조절층 형성 단계 후 상기 단계 (c)의 콘택 플러그 및 배선층 형성 단계 전에, 상기 계면 조절층의 표면이 산화되는 것을 방지하기 위한 표면 처리층을 상기 계면 조절층 위에 형성하는 단계를 더 포함하고, 상기 단계 (c)에서 상기 Al의 증착은 상기 표면 처리층 위에 행해지는 것을 특징으로 하는 반도체 소자의 제조 방법.
  9. 제8항에 있어서, 상기 표면 처리층은 상기 계면 조절층의 표면에 수소 또는 질소를 흡착시킴으로써 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  10. (a) 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성하는 단계와, (b) 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 연속적으로 증착된 복수의 원자층으로 이루어지고, Cu, Ti, W, Si, Ta 및 Ag로 이루어지는 군에서 선택되는 어느 하나로 형성되는 계면 조절층을 ALD(Atomic Layer Deposition), 사이클릭 CVD(cyclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 수 Å ~ 수십 Å의 두께로 형성하는 단계와, (c) 상기 계면 조절층 위에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀 내부를 채우는 동시에 상기 층간절연막의 상부를 덮는 도전층을 형성하는 단계와, (d) 상기 (c)의 결과물을 어닐링하여 상기 계면 조절층 내의 원자들을 상기 도전층 내로 확산시켜서 도판트로 도핑된 Al 배선층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  11. 제10항에 있어서, 상기 단계 (a) 후 단계 (b) 전에, 상기 노출된 도전 영역과, 상기 콘택홀에 의해 노출되는 층간절연막의 측벽 및 상면에 오믹층을 형성하는 단계와, 상기 오믹층 위에 배리어층을 형성하는 단계를 더 포함하고, 상기 단계 (b)에서 상기 계면 조절층은 상기 배리어층 위에 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  12. 제10항에 있어서, 상기 단계 (b)에서, 상기 계면 조절층은 Cu로 이루어지고, 상기 계면 조절층 형성 단계는 (b-1)(hfac)Cu(TMVS), CuCl2및 Cu2I4로 이루어지는 군에서 선택되는 어느 하나 또는 그 조합으로 이루어지는 가스를 플러쉬하여 상기 배리어층의 표면에 Cu를 흡착시키는 단계와, (b-2) 퍼징 가스를 사용하여 상기 (b-1)의 결과물을 퍼징하는 단계와, (b-3) 상기 단계 (b-1) 및 (b-2)를 반복하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  13. 제12항에 있어서, 상기 단계 (d)의 어닐링 단계는 300 ~ 650℃의 온도로 행하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  14. 제10항에 있어서, 상기 단계 (b)에서, 상기 계면 조절층은 Ti로 이루어지고, 상기 계면 조절층 형성 단계는 TiCl4, TDEAT(tridiethylamine titanate) 및 TDMAT(tridimethylamine titanate)로 이루어지는 군에서 선택되는 어느 하나 또는 그 조합으로 이루어지는 가스를 플러쉬하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  15. 제10항에 있어서, 상기 단계 (b)에서, 상기 계면 조절층은 W로 이루어지고, 상기 계면 조절층 형성 단계는 WF6가스를 플러쉬하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  16. 제10항에 있어서, 상기 단계 (b)에서, 상기 계면 조절층은 Si로 이루어지고, 상기 계면 조절층 형성 단계는 SiH4, SiH3Cl, SiHCl3, Si2H6및 SiCl4로 이루어지는 어느 하나 또는 그 조합의 가스를 플러쉬하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  17. 제16항에 있어서, 상기 단계 (d)의 어닐링 단계는 400 ~ 650℃의 온도로 행하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  18. 제10항에 있어서, 상기 단계 (b)의 계면 조절층 형성 단계 후 상기 단계 (c)의 도전층 형성 단계 전에, 상기 계면 조절층의 표면이 산화되는 것을 방지하기 위한 표면 처리층을 상기 계면 조절층 위에 형성하는 단계를 더 포함하고, 상기 단계 (c)에서 상기 도전층은 상기 표면 처리층 위에 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  19. 제18항에 있어서, 상기 표면 처리층은 상기 계면 조절층의 표면에 수소 또는 질소를 흡착시킴으로써 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  20. (a) 반도체 기판상에 상기 반도체 기판의 도전 영역을 노출시키는 콘택홀을 포함하는 층간절연막을 형성하는 단계와, (b) 상기 콘택홀의 내벽 및 상기 층간절연막의 상부에 Si이 함유된 Al 박막으로 이루어지는 제1 계면 조절층을 수 Å ~ 수십 Å의 두께로 형성하는 단계와, (c) 상기 제1 계면 조절층 위에 연속적으로 증착된 복수의 Cu 원자층으로 이루어지는 제2 계면 조절층을 형성하는 단계와, (d) 상기 제2 계면 조절층 위에 CVD 방법에 의하여 Al을 전면 증착하여 상기 콘택홀 내부를 채우는 동시에 상기 층간절연막의 상부를 덮는 도전층을 형성하는 단계와, (e) 상기 (d)의 결과물을 어닐링하여 Si 및 Cu가 도핑된 Al 배선층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  21. 제20항에 있어서, 상기 단계 (a) 후 단계 (b)전에, 상기 노출된 도전 영역과, 상기 콘택홀에 의해 노출되는 층간절연막의 측벽 및 상면에 오믹층을 형성하는 단계와, 상기 오믹층 위에 배리어층을 형성하는 단계를 더 포함하고, 상기 단계 (b)에서 상기 제1 계면 조절층은 상기 배리어층 위에 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  22. 제21항에 있어서, 상기 제1 및 제2 계면 조절층은 각각 ALD(Atomic Layer Deposition), 사이클릭 CVD(syclic CVD) 또는 디지털 CVD(digital CVD) 방법에 의하여 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  23. 제21항에 있어서, 상기 단계 (b)에서, 상기 제1 계면 조절층을 형성하는 단계는 (b-1) 상기 배리어층이 형성된 결과물상에 Si 함유 가스를 플러쉬하여 상기 배리어층 표면에 Si를 흡착시키는 단계와, (b-2) 과잉의 Si 함유 가스를 제거하는 단계와, (b-3) 상기 Si가 흡착된 결과물상에 Al 함유 가스를 공급하여 상기 배리어층 및 흡착된 Si 표면에 Al을 흡착시키는 단계와, (b-4) 과잉의 Al 함유 가스를 제거하는 단계와, (b-5) 상기 (b-1) 내지 (b-4)를 반복하여 상기 배리어층 위에 상기 Si 이 함유된 Al 박막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  24. 제23항에 있어서, 상기 배리어층은 Ti리치 TiN막으로 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  25. 제23항에 있어서, 상기 단계 (b-3)에서 상기 Al 흡착 단계는 상기 Al 소스 가스 공급과 동시에 H2가스를 공급하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  26. 제20항에 있어서, 상기 단계 (c)의 상기 제2 계면 조절층 형성 단계는 (c-1) (hfac)Cu(TMVS), CuCl2및 Cu2I4로 이루어지는 군에서 선택되는 어느 하나 또는 그 조합으로 이루어지는 가스를 플러쉬하여 상기 제1 계면 조절층의 표면에 Cu를 흡착시키는 단계와, (c-2) 퍼징 가스를 사용하여 상기 (c-1)의 결과물을 퍼징하는 단계와, (c-3) 상기 단계 (c-1) 및 (c-2)를 반복하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  27. 제20항에 있어서, 상기 단계 (e)의 어닐링 단계는 300 ~ 650℃의 온도로 행하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  28. 제20항에 있어서, 상기 단계 (b)의 제1 계면 조절층 형성 단계, 상기 단계(c)의 제2 계면 조절층 형성 단계 및 상기 단계 (d)의 도전층 형성 단계는 인시튜(in-situ)로 연속적으로 행해지는 것을 특징으로 하는 반도체 소자의 제조 방법.
  29. 제20항에 있어서, 상기 단계 (c)의 제2 계면 조절층 형성 단계 후 상기 단계 (d)의 도전층 형성 단계 전에, 상기 제2 계면 조절층의 표면이 산화되는 것을 방지하기 위한 표면 처리층을 상기 제2 계면 조절층 위에 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  30. 제29항에 있어서, 상기 표면 처리층은 상기 제2 계면 조절층의 표면에 수소 또는 질소를 흡착시킴으로써 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
KR1019980038413A 1998-09-17 1998-09-17 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 KR100287180B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019980038413A KR100287180B1 (ko) 1998-09-17 1998-09-17 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
JP05700899A JP4005738B2 (ja) 1998-09-17 1999-03-04 界面調節層を利用して金属配線層を形成する半導体素子の製造方法
US09/397,616 US6358829B2 (en) 1998-09-17 1999-09-16 Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980038413A KR100287180B1 (ko) 1998-09-17 1998-09-17 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20000020013A KR20000020013A (ko) 2000-04-15
KR100287180B1 true KR100287180B1 (ko) 2001-04-16

Family

ID=19550907

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980038413A KR100287180B1 (ko) 1998-09-17 1998-09-17 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법

Country Status (3)

Country Link
US (1) US6358829B2 (ko)
JP (1) JP4005738B2 (ko)
KR (1) KR100287180B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100794831B1 (ko) * 2005-06-01 2008-01-15 가부시끼가이샤 도시바 반도체 장치의 제조 방법
WO2013148490A1 (en) * 2012-03-28 2013-10-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2015047731A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6592942B1 (en) * 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
JP2004523878A (ja) * 2000-08-28 2004-08-05 アプライド マテリアルズ インコーポレイテッド ガラス基板の予備ポリコーティング
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100680940B1 (ko) * 2000-12-28 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6753252B2 (en) * 2001-05-18 2004-06-22 Infineon Technologies Ag Contact plug formation for devices with stacked capacitors
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6984478B2 (en) * 2002-09-16 2006-01-10 E.I. Du Pont De Nemours And Company Print control for flexographic printing
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7078785B2 (en) * 2003-09-23 2006-07-18 Freescale Semiconductor, Inc. Semiconductor device and making thereof
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
EP2047502A4 (en) * 2006-06-30 2009-12-30 Applied Materials Inc NANO CRYSTAL EDUCATION
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
CN107112278B (zh) 2014-12-15 2021-05-04 应用材料公司 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102657866B1 (ko) * 2019-06-10 2024-04-17 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07115073A (ja) * 1993-10-18 1995-05-02 Nec Corp 半導体装置の製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07115073A (ja) * 1993-10-18 1995-05-02 Nec Corp 半導体装置の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100794831B1 (ko) * 2005-06-01 2008-01-15 가부시끼가이샤 도시바 반도체 장치의 제조 방법
WO2013148490A1 (en) * 2012-03-28 2013-10-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2015047731A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9685371B2 (en) 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US10699946B2 (en) 2013-09-27 2020-06-30 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill

Also Published As

Publication number Publication date
US6358829B2 (en) 2002-03-19
US20010029094A1 (en) 2001-10-11
JP2000100753A (ja) 2000-04-07
JP4005738B2 (ja) 2007-11-14
KR20000020013A (ko) 2000-04-15

Similar Documents

Publication Publication Date Title
KR100287180B1 (ko) 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US7955972B2 (en) Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
US6391785B1 (en) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7157798B1 (en) Selective refractory metal and nitride capping
US8222746B2 (en) Noble metal barrier layers
WO2001029891A1 (en) Conformal lining layers for damascene metallization
US6204175B1 (en) Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
WO2006091510A1 (en) Plasma pre-treating surfaces for atomic layer deposition
WO2007031922A2 (en) A structure for a semiconductor device and a method of manufacturing the same
US20020132469A1 (en) Method for forming metal wiring layer
KR20050011479A (ko) 반도체 소자의 텅스텐 콘택플러그 형성방법
CN110911347A (zh) 半导体结构及其形成方法
KR100551073B1 (ko) 펄스식 화학기상증착 방식을 이용한 박막 형성 방법
KR100680940B1 (ko) 반도체 소자의 금속배선 형성방법
KR0141966B1 (ko) 배선금속박막의 제조방법
KR100639215B1 (ko) 텅스텐 박막 증착방법
KR20030059489A (ko) 금속배선 확산방지막을 구비한 반도체 소자의 제조방법
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
KR20070111709A (ko) 질화지르코늄막의 형성 방법 및 이를 이용하는 반도체장치의 형성 방법 및 이에 의해 형성된 반도체 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090102

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee