KR100378871B1 - 라디칼 증착을 위한 샤워헤드장치 - Google Patents

라디칼 증착을 위한 샤워헤드장치 Download PDF

Info

Publication number
KR100378871B1
KR100378871B1 KR10-2000-0007366A KR20000007366A KR100378871B1 KR 100378871 B1 KR100378871 B1 KR 100378871B1 KR 20000007366 A KR20000007366 A KR 20000007366A KR 100378871 B1 KR100378871 B1 KR 100378871B1
Authority
KR
South Korea
Prior art keywords
shower head
plasma
source gas
gas
buffer
Prior art date
Application number
KR10-2000-0007366A
Other languages
English (en)
Other versions
KR20010081563A (ko
Inventor
김재호
신인철
박상준
나관구
김상호
Original Assignee
주식회사 아펙스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 아펙스 filed Critical 주식회사 아펙스
Priority to KR10-2000-0007366A priority Critical patent/KR100378871B1/ko
Priority to US09/776,004 priority patent/US6435428B2/en
Priority to JP2001036908A priority patent/JP3762233B2/ja
Publication of KR20010081563A publication Critical patent/KR20010081563A/ko
Application granted granted Critical
Publication of KR100378871B1 publication Critical patent/KR100378871B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 원료가스를 균일하게 분포시키기 위한 제1 버퍼부와 플라즈마 가스를 균일하게 분포시키기 위한 제2 버퍼부를 가지도록 소정 간격을 가지는 2단계의 샤워헤드를 구비하되, 상기 샤워헤드 내부에서 플라즈마를 발생시키고, 플라즈마 내로 분사되는 원료가스의 분포를 일정하게 유지시켜 줌으로서 웨이퍼나 기판에 균일한 박막을 형성할 수 있는 라디칼증착을 위한 샤워헤드장치에 관한 것이다.
본 발명은 원료가스 주입관으로부터 주입되는 가스가 고르게 분포되도록 하기 위하여 상하층으로 구획된 제1 버퍼부가 형성되며, 상기 제1 버퍼부내에 분포된 원료가스가 일정유속으로 분사되도록 하기 위해 그 저면판에 다수의 원료가스 분사홀이 형성된 원료가스 분사수단; 상기 원료가스 분사수단과의 사이에 플라즈마 발생가스를 균일하게 분포시키기 위한 제2 버퍼부가 형성되며, 상기 제2 버퍼부내에 분포된 플라즈마 발생가스를 분사하기 위해 그 저면판에 다수의 플라즈마 발생가스 분사홀과 관통홀이 각각 형성된 플라즈마 발생가스 분사수단; 상기 원료가스 분사수단의 원료가스 분사홀과 상기 플라즈마 발생가스 분사수단의 관통홀을 연통시키며, 원료가스와 플라즈마 발생가스가 혼합되지 않도록 유도하는 수단; 및 상기 원료가스 분사수단의 외부 일측에 설치되며, 그의 저면판에 외부의 RF전원을 걸어주기 위한 RF로드를 구비한 RF발생수단을 포함하는 라디칼 증착을 위한 샤워헤드장치를 제공한다.

Description

라디칼 증착을 위한 샤워헤드장치{showerhead apparatus for radical assisted deposition}
본 발명은 반도체 제조공정을 수행하기 위한 화학기상증착장비(Chemical Vapor Deposition)에서 라디칼 증착을 위한 샤워헤드장치에 관한 것으로, 특히 샤워헤드를 2단계 구조로 형성하되, 여기서 플라즈마가 발생되도록 하여 대구경 웨이퍼나 기판에 박막을 증착함에 있어 박막의 균일성을 향상시키며, 증착효율을 높이고, 파티클 발생을 최소화할 수 있는 라디칼 증착을 위한 샤워헤드장치에 관한 것이다.
일반적으로, 반도체 제조공정에서 웨이퍼 또는 기판에 박막을 증착하기 위한 기상화학증착장치에서는 고품질의 박막을 낮은 온도에서 증착하기 위하여 플라즈마를 이용하여 원료가스를 활성화시켜 웨이퍼나 기판에 박막을 증착시키도록 하고 있다. 여기서, 종래의 플라즈마 발생장치를 도1a를 통하여 간략히 살펴보면 다음과 같다.
도1a는 일반적인 플라즈마 발생장치의 전체구성을 나타낸 개략도로서, 하부에 배기구(106)가 형성된 챔버(107)와, 상기 챔버(107)의 상면 중앙 내부로 관통하여 플라즈마 발생가스를 주입하기 위한 가스주입관(102)과, 쉴더(shielder)(104)에 의해 감싸여진 상태로 상기 가스 주입관(102)의 하단부에 장착되며, 다수의 홀이 형성되어 가스주입관(102)으로부터 유입되는 플라즈마 발생가스를 분사하기 위한 샤워헤드(110)와, 상기 샤워헤드(110) 하측에 장착되어 플라즈마를 사용할 경우 원료가스 주입관(101)에서 공급되는 원료가스를 분사하는 샤워링(shower-ring)(103)과, 상기 샤워링(103)에서 분사되는 가스에 의해 박막이 증착되는 기판(108)과, 상기 기판(108)을 지지하며 그에 소정 열원을 제공하는 히터(109)로 구성되어 있다.
여기서, 상기 가스주입관(102)이 관통하는 부위의 챔버(107) 상판에는 외부의 RF전원(도시하지 않음)을 인가받아 플라즈마를 발생시키기 위한 전극판이 구비된 절연부(105)가 설치되어 있으며, 상기 샤워링(103)은 플라즈마 발생장치와 분리되게 설치된 구조로 되어 있다.
상기와 같은 종래의 구조에서는 상기 샤워헤드(110)를 통과하는 플라즈마 발생가스에 샤워링(103)이 직접 노출되기 때문에 플라즈마에 의한 온도상승으로 인하여 원료가스의 정밀한 온도조절이 불가능하다. 이로 인해 성장 박막의 막질을 저하시키는 원인이 되고 있다. 또한, 대구경 웨이퍼를 사용할 경우에 웨이퍼전면에 균일한 원료가스의 분사가 어려워 균일한 박막의 형성이 불가능해지고, 샤워링과 웨이퍼의 거리 또는 상기 샤워링과 기판과의 거리가 멀어서 원료가스의 증착효율이 떨어지는 문제점을 내포하고 있다.
상기와 같은 문제점을 해소하기 위한 본원출원인의 특허등록 제99-0243446호에서는 상하부에 각각 버퍼부를 갖는 2단계의 샤워헤드를 구비하여 플라즈마내로 분사되는 원료가스의 분포를 일정하게 유지시켜 줌으로서, 웨이퍼나 기판에 균일한 박막을 형성할 수 있는 플라즈마 발생부를 갖는 샤워헤드장치가 제안되었다.
도1b는 상기의 플라즈마 발생부를 갖는 샤워헤드장치의 개략도이다. 상기 샤워헤드장치는 상하부에 각각 버퍼부(201a, 202a)를 갖는 2단계의 제1 및 제2 샤워헤드(201, 202)를 갖는다. 여기서, 상기 제1 샤워헤드(201)의 상부 제1 버퍼부(201a)에서 플라즈마를 발생시키고 제2 버퍼부(202a)를 관통하는 플라즈마 분사홀(201b)을 통하여 플라즈마를 기판(203)상에 분사하며, 상기 제2 샤워헤드(202)의 제2 버퍼부(202a)에 원료가스를 주입하고, 제2 버퍼부(202a)의 저면판에 다수 형성된 원료가스 분사홀(202b)을 통하여 원료가스를 분사하는 구조로 되어 있다. 상기와 같은 종래의 샤워헤드장치에서는 발생된 플라즈마를 제2 버퍼부를 관통하는 긴 플라즈마 분사홀을 통하여 챔버로 유입시킴으로서 양이온, 전자, 라디칼 등의 플라즈마 구성입자가 분사홀 내부에서 상호 충돌하거나 분사홀 내벽과 충돌하는 확률 및 횟수가 증가하여 에너지를 소실함으로써 플라즈마 효율이 떨어지는 단점이 있다. 또한 제2 버퍼부에 형성된 다수의 플라즈마 분사홀로 인하여 제2 버퍼부내에 유입된 원료가스가 그의 내부에서 심하게 와류를 일으켜, 샤워헤드 내부를 오염시키고 다량의 파티클이 발생하는 문제점을 갖고 있다.
따라서, 본 발명은 상기의 제반 문제점을 해결하기 위하여 안출된 것으로서, 원료가스를 균일하게 분포시키기 위한 제1 버퍼부와 플라즈마 가스를 균일하게 분포시키기 위한 제2 버퍼부를 가지도록 소정 간격을 가지는 2단계의 샤워헤드를 구비하되, 상기 샤워헤드 내부에서 플라즈마를 발생시키고, 플라즈마 내로 분사되는 원료가스의 분포를 일정하게 유지시켜 줌으로서 웨이퍼나 기판에 균일한 박막을 형성할 수 있는 라디칼증착을 위한 샤워헤드장치를 제공함에 그 목적이 있다.
또한, 본 발명은 제1 버퍼부와 제2 버퍼부를 갖는 2단계 샤워헤드의 구조를 간단하게 하면서 저온공정에서 고품질의 박막을 형성할 수 있도록 한 라디칼증착을 위한 샤워헤드장치를 제공함에 그 목적이 있다.
도1a는 일반적인 플라즈마 화학기상증착장치의 구성을 나타낸 개략도.
도1b는 종래의 플라즈마 발생부를 가지는 샤워헤드의 일실시예 구성을 나타낸 정면도.
도2는 본 발명에 의한 라디칼 증착을 위한 샤워헤드장치의 일실시예 구성을 나타낸 정면도.
도3은 본 발명의 요부인 제2 샤워헤드의 저면판의 구성을 나타낸 평면도.
*도면의 주요부분에 대한 부호의 설명
10: 챔버 11: 상부 플레이트
12: 제1 히터 13: 하부 플레이트
14: 원료가스 주입관 15: 제1 샤워헤드
15a: 제1 버퍼부 15b, 18b: 저면판
15c: 원료가스 분사홀 16: 중간판
17: 지지핀 18: 제2 샤워헤드
18a: 제2 버퍼 18c: 플라즈마 발생가스 분사홀
18d: 관통홀 19: RF전원부
18d: RF로드 19: RF컨넥터
20: 유도관 21 내지 23: 제1 내지 제3 절연부재
24: 플라즈마 가스 주입관 25: 플라즈마 가스 버퍼부
25a: 플라즈마 가스 분배홀 26: 가스통로
27: 유량조절계 31: 배기포트
32: 기판 33: 제2 히터
상기 목적을 달성하기 위하여 본 발명은, 원료가스 주입관으로부터 주입되는 가스가 고르게 분포되도록 하기 위하여 상하층으로 구획된 제1 버퍼부가 형성되며, 상기 제1 버퍼부내에 분포된 원료가스가 일정유속으로 분사되도록 하기 위해 그 저면판에 다수의 원료가스 분사홀이 형성된 원료가스 분사수단; 상기 원료가스 분사수단과의 사이에 플라즈마 발생가스를 균일하게 분포시키기 위한 제2 버퍼부가 형성되며, 상기 제2 버퍼부내에 분포된 플라즈마 발생가스를 분사하기 위해 그 저면판에 다수의 플라즈마 발생가스 분사홀과 관통홀이 각각 형성된 플라즈마 발생가스 분사수단; 상기 원료가스 분사수단의 원료가스 분사홀과 상기 플라즈마 발생가스 분사수단의 관통홀을 연통시키며, 원료가스와 플라즈마 발생가스가 혼합되지 않도록 유도하는 수단; 및 상기 원료가스 분사수단의 외부 일측에 설치되며, 그의 저면판에 외부의 RF전원을 걸어주기 위한 RF로드를 구비한 RF발생수단을 포함하는 라디칼 증착을 위한 샤워헤드장치를 제공한다.
이하, 첨부된 도2 및 도3의 도면을 참조하여 본 발명의 실시예를 상세히 설명한다.
본 발명에 의한 라디칼 증착을 위한 샤워헤드장치는 원료가스와 플라즈마 발생가스를 서로 분리하여 분사시키되, 상기 플라즈마를 이용하여 원료가스를 활성화시킴으로서 박막의 균일성과 막질을 제고시킬 수 있도록 구현한 것으로, 본 실시예에서는 도2에 도시한 바와 같이 공정을 진행하기 위한 용기인 챔버(10)의 내측 상부에 설치되며 그 내부에 원료가스의 온도를 균일하게 유지시켜 주기 위해 가열하는 제1 히터(12)가 구비된 샤워헤드 상부 플레이트(11)와, 그 측면이 챔버(10)에 고정되며 상기 상부 플레이트(11)를 지지하는 하부 플레이트(13)와, 상기 상부 플레이트(11)의 중앙부에는 그를 관통하여 원료가스를 공급하기 위한 원료가스 주입관(14)과, 상기 원료가스 주입관(14)의 소정위치에 구비되어 가스의 유입유량을 조절하는 유량조절계(27)가 설치된다.
또한, 상기 상부 플레이트(11)의 내부에는 원료가스 주입관(14)으로부터 주입되는 가스를 균일하게 분포시키기 위해 제1 버퍼부(15a)가 형성되며, 상기 제1버퍼부(15a)내에 분포된 원료가스가 일정유속으로 분사되도록 하기 위해 그 저면판(15b)에 다수의 원료가스분사홀(15c)이 형성된 제1 샤워헤드(15)가 설치된다.
여기서, 상기 제1 샤워헤드(15)의 저면판(15b)은 전극판으로 형성되어 후술할 RF전원부로부터 인가되는 RF에 의해 플라즈마가 발생되도록 한다. 또한, 제1 샤워헤드(15)에는 그의 제1 버퍼부(15a)를 상하층으로 구획하되 상층 공간부에 분포된 원료가스를 하층의 공간부로 균일하게 분포시키기 위해 다수의 배출홀(16a)이 형성된 중간판(16)과, 상기 제1 샤워헤드(15)의 저면판(15b) 외주 근처에 직립되게 설치되며 그와 중간판(16) 사이의 하층공간부를 형성하기 위하여 상기 중간판(16)을 지지하는 지지핀(17)이 구비되어 있다.
상기 제1 샤워헤드(15)의 하부에는 그와의 사이에 플라즈마 발생가스의 유속분포를 고르게 하기 위한 제2 버퍼부(18a)가 형성되며 상기 제2 버퍼부(18a)내에 분포된 플라즈마 발생가스를 분사하기 위해 그 저면판(18b)에 다수의 플라즈마 발생가스 분사홀(18c)이 형성된 제2 샤워헤드(18)가 구비된다. 이때, 도3에 도시한 바와 같이 상기 제2 샤워헤드(18)의 저면판(18b)에 형성된 분사홀(18c) 주위에는 제1 샤워헤드(15)의 저면판(15b)에 형성된 홀(15c)과 대향하는 관통홀(18d)이 형성되어 있다.
상기 제1 샤워헤드(15)의 외부 일측에는 상부 플레이트(11)를 직립되게 관통하여 제1 샤워헤드(15)의 저면판(15b)에 외부의 RF전원을 걸어주기 위한 RF로드(19a)와 RF커넥터(19b)가 구비된 RF전원부(19)가 장착된다.
한편, 상기 원료가스와 플라즈마 발생가스가 혼합되지 않도록 유도하기 위하여, 그 일측이 상기 제1 샤워헤드(15)의 원료가스분사홀(15c)에 장착되며 그 타측은 상기 제2 샤워헤드(18)의 관통홀(18d)을 관통한 절연체의 유도관(20)이 설치된다.
상기 RF전원부(19)의 RF로드(19a) 외면에는 제1 절연부재(21)가 설치되며, 상기 제1 샤워헤드(15)의 제1 버퍼부(15a) 상부와 상부 플레이트(11) 사이에는 상기 제1 버퍼부(15a)를 커버하기 위한 제2 절연부재(22)가 설치된다. 또한, 상기 제2 샤워헤드(18)의 제2 버퍼부(18a) 외주연부에는 그의 상하 폭간격을 유지하기 위한 제3 절연부재(23)가 설치된다. 여기서, 상기 제2 및 제3 절연부재(22, 23)는 하부플레이트(13)에 의해 지지되며, 또 상기 제1 내지 제3 절연부재(21, 22, 23)는 제1 샤워헤드(15)의 저면판(15b)에 인가되는 RF전원을 전기적으로 절연시켜주는 기능을 한다.
상기 제1 샤워헤드(15)의 저면판(15b)의 상면 일측에는 제2 샤워헤드(18)의 제2 버퍼부(18a)에 플라즈마 가스를 공급하기 위한 플라즈마 가스 도입관(24)이 설치된다. 또한, 상기 플라즈마 가스 도입관(24)과 연통할 수 있도록 제1 샤워헤드(15)의 저면판(15b) 일측 상면에는 그 저부에 플라즈마 가스 분배홀(25a)이 형성된 플라즈마 가스 버퍼부(25)가 형성되며, 상기 제1 샤워헤드(15)의 저면판(15b)과 제3 절연부재(23) 사이에는 상기 플라즈마 가스 분배홀(25a)과 연통하여 플라즈마 가스를 제2 버퍼부(18a)에 유입시키기 위한 가스통로(26)가 형성된다.
미설명부호 31은 상기 챔버(10)내의 가스를 외부로 배출하기 위한 배기포트이며, 32는 상기 제2 샤워헤드(18)에서 분사되는 플라즈마 발생가스에 의해 활성화된 원료가스가 증착되어 박막을 형성하는 웨이퍼 또는 기판이며, 33은 상기 기판(32)을 지지하며, 상기 기판(32)에 소정의 열원을 제공하는 제2히터를 나타낸다.
상기와 같이 구성된 본 발명의 실시예를 상세히 설명하면 다음과 같다.
먼저, 플라즈마 발생가스 도입관(24)으로부터 제공되는 가스가 가스버퍼부(25)의 플라즈마 가스 분배홀(25a)과 가스통로(26)를 통하여 제2 샤워헤드(18)의 제2 버퍼부(18a)에 도입되면, RF전원부(19)로부터의 전원을 상기 RF로드(19a)를 통하여 상기 제1 샤워헤드(15)의 저면판(15b)에 인가함으로서 플라즈마를 발생시킨다. 상기 발생된 플라즈마는 제2 버퍼부(18a)내에서 일정한 유압분포를 이루면서 제2 샤워헤드(18)의 저면판(18b)에 형성된 플라즈마 발생가스 분사홀(18c)을 통하여 기판(32)에 분사된다. 또한, 상기 원료가스 주입관(14)으로부터 원료가스가 제1 샤워헤드(15)의 제1 버퍼부(15a)에 형성된 상층 공간부에 도입되고, 이 가스는 다시 중간판(16)의 홀(16a)을 통하여 제1 버퍼부(15a)의 하층 공간부에 유입되어 원료가스의 유압이 고르게 분포된다. 이때, 상기 상부 플레이트(11)에 내장된 히터에 의해 상기 제1 샤워헤드(15)의 제1 버퍼부(15a)에 분포되어 있는 원료가스의 온도를 일정하게 유지시켜 준다.
그리고, 상기 제1 샤워헤드(15)의 제1 버퍼부(15a)에 분포되어 있는 원료가스는 제1 샤워헤드(15)의 저면판(15b)에 형성된 분사홀(15c)과 제2 샤워헤드(18)의저면판(18b)에 형성된 관통홀(18d)을 연통시키는 유도관(20)을 통하여 기판(32)상에 분사된다.
이와 같이, 제1 샤워헤드(15)의 제1 버퍼부에 분포되었다가 유도관(20)을 통과한 원료가스와, 제2 샤워헤드(18)의 분사홀(18c)을 통과한 플라즈마 발생가스의 중성 라디칼은 서로 분리된 상태로 기판상에 분사되고, 이 과정에서 상기 원료가스와 중성 라디칼의 반응이 방지되어 파티클 발생에 주원인이 되는 기상반응을 억제하고 웨이퍼나 기판(32)에 균일하고 우수한 막질의 박막을 형성할 수 있는 것이다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환과 변경이 가능함은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
전술한 바와 같이 본 발명에 따르면, 플라즈마 발생부와 원료가스 분사부가 일체화된 2단계 구조의 샤워헤드를 구성함으로서 종래의 플라즈마 발생을 이용한 증착방법에서 문제점으로 작용하고 있던 이온 및 전자 충돌, 주입등을 방지할 수 있으며, 원료가스로 금속유기(metal organic source) 또는 금속무기(metal inorganic source)를 사용하였을 때, 존재하던 박막내의 탄소(C), 수소(H), 염소(Cl), 브롬(Br)등과 같은 불순물과 다량의 파티클 혼입을 억제할 수 있다. 또한, 샤워헤드장치로부터 발생된 라디칼이 챔버로 유입되는 경로를 최소로 유지하여라디칼의 효율을 극대화할 수 있고, 저온공정에서 고품질의 박막을 형성할 수 있어 공정의 신뢰성을 향상시키며, 제품의 제조수율을 향상시키는 효과를 가진다.

Claims (9)

  1. 원료가스 주입관을 통해 공급되는 원료가스와, 플라즈마 가스 도입관을 통해 공급되는 플라즈마 가스에 RF전원을 인가하여 생성한 플라즈마를 각각 분리하여 챔버의 웨이퍼 또는 기판에 분사되도록 2단으로 구성된 제1, 제2 샤워헤드를 포함하는 샤워헤드장치에 있어서,
    상기 제1 샤워헤드의 상부에 형성된 제1 버퍼부에는 원료가스 주입관이 연통되고, 상기 제1 샤워헤드에는 상기 원료가스를 균일하게 분사하도록 다수의 원료가스 분사홀이 형성되며,
    상기 제2 샤워헤드는 상기 제1 샤워헤드의 하부에 설치되어 그 사이에 제2 버퍼부를 형성하고, 상기 제2 버퍼부에는 플라즈마 가스 도입관이 연통되며, 상기 제2 샤워헤드에는 상기 원료가스 분사홀과 유도관에 의해 연통되는 다수의 관통홀과 상기 플라즈마를 분사하기 위한 다수의 플라즈마 발생가스 분사홀이 형성되며,
    상기 제1 버퍼부에는 상기 제1 버퍼부를 상하층으로 구획하며, 상층 공간부에 분포된 원료가스를 하층의 공간부로 균일하게 분포시키도록 다수의 홀이 형성된 중간판이 설치되는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  2. 삭제
  3. 제1항에 있어서,
    상기 중간판은 상기 제1 샤워헤드에 직립되게 설치되는 지지핀에 의해 지지 설치되는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  4. 제1항에 있어서,
    상기 제1 샤워헤드가 전극판이고, 상기 전극판에는 RF로드에 의해 상기 RF전원이 인가되는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  5. 제4항에 있어서,
    상기 RF로드의 둘레면에 설치된 제1 절연부재와, 상기 제1 버퍼부의 상부를 커버하도록 설치된 제2 절연부재 및, 상기 제2 버퍼부의 외주연부에 설치되어 상기 제2 버퍼부의 상하 폭간격을 유지하는 제3 절연부재를 더 포함하며,
    상기 제1, 제2, 제3 절연부재는 상기 제1 샤워헤드에 인가되는 상기 RF전원을 전기적으로 절연시켜 주는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  6. 제5항에 있어서,
    상기 제2 절연부재의 외부를 감싸도록 설치되는 상부 플레이트와,
    측면이 상기 챔버에 고정되며, 상기 상부 플레이트와 상기 제3 절연부재를 지지하는 하부플레이트를 더 포함하는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  7. 제6항에 있어서,
    상기 상부 플레이트에 내장되어 상기 제1 버퍼부에 분포되어 있는 상기 원료가스를 일정한 온도로 유지시켜 주기 위해 가열하는 히팅수단을 더 포함하는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  8. 제1항 내지 제7항 중의 어느 한 항에 있어서,
    상기 원료가스 주입관의 소정위치에 구비되어 상기 제1 버퍼부에 유입되는 가스의 유량을 조절하는 유량조절계를 더 포함하는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
  9. 제5항 내지 제7항 중의 어느 한 항에 있어서,
    상기 제1 샤워헤드의 일측 상면에 형성되어 상기 플라즈마 가스 도입관과 연통되고, 저부에 플라즈마 가스 분배홀이 형성된 플라즈마 가스 버퍼부와,
    상기 제1 샤워헤드와 상기 제3 절연부재의 사이에 형성되며, 상기 플라즈마 가스 분배홀과 연통하여 플라즈마 가스를 상기 제2 버퍼부에 유입시키기 위한 가스통로를 더 포함하는 것을 특징으로 하는 라디칼 증착을 위한 샤워헤드장치.
KR10-2000-0007366A 2000-02-16 2000-02-16 라디칼 증착을 위한 샤워헤드장치 KR100378871B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2000-0007366A KR100378871B1 (ko) 2000-02-16 2000-02-16 라디칼 증착을 위한 샤워헤드장치
US09/776,004 US6435428B2 (en) 2000-02-16 2001-02-02 Showerhead apparatus for radical-assisted deposition
JP2001036908A JP3762233B2 (ja) 2000-02-16 2001-02-14 ラジカル蒸着のためのシャワーヘッド装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0007366A KR100378871B1 (ko) 2000-02-16 2000-02-16 라디칼 증착을 위한 샤워헤드장치

Publications (2)

Publication Number Publication Date
KR20010081563A KR20010081563A (ko) 2001-08-29
KR100378871B1 true KR100378871B1 (ko) 2003-04-07

Family

ID=19647045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0007366A KR100378871B1 (ko) 2000-02-16 2000-02-16 라디칼 증착을 위한 샤워헤드장치

Country Status (3)

Country Link
US (1) US6435428B2 (ko)
JP (1) JP3762233B2 (ko)
KR (1) KR100378871B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101085455B1 (ko) * 2009-06-10 2011-11-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
KR101216203B1 (ko) * 2003-11-25 2012-12-27 어플라이드 머티어리얼스, 인코포레이티드 질화규소의 열화학기상증착
KR101316749B1 (ko) * 2007-03-08 2013-10-08 주식회사 원익아이피에스 라디칼 증착 장치 및 방법

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
JP4269263B2 (ja) * 2003-07-01 2009-05-27 富士電機デバイステクノロジー株式会社 硬質カーボン膜の形成方法および装置
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
KR100743840B1 (ko) * 2004-11-03 2007-07-30 주식회사 뉴파워 프라즈마 마그네틱 코어가 내장된 플라즈마 반응 챔버
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
WO2007056098A2 (en) * 2005-11-03 2007-05-18 Spraying Systems Co. Electrostatic spray assembly
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR100668745B1 (ko) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP4928991B2 (ja) * 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8263502B2 (en) * 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
CN102422392B (zh) * 2009-03-16 2016-08-31 奥塔装置公司 加热灯***及其方法
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
CN102193565A (zh) * 2010-03-19 2011-09-21 上海微电子装备有限公司 气浴控温装置及方法
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
JP5259804B2 (ja) * 2011-11-08 2013-08-07 シャープ株式会社 気相成長装置及び気相成長方法
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
KR101214860B1 (ko) * 2012-03-06 2012-12-24 주성엔지니어링(주) 가스분사장치
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9324841B2 (en) * 2013-10-09 2016-04-26 Globalfoundries Inc. Methods for preventing oxidation damage during FinFET fabrication
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102269479B1 (ko) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN111954927A (zh) * 2018-04-17 2020-11-17 应用材料公司 加热的陶瓷面板
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108597979B (zh) * 2018-06-05 2019-11-05 江苏锡沂高新区科技发展有限公司 一种半导体生产用等离子刻蚀机
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
CN108672162A (zh) * 2018-08-07 2018-10-19 耒阳市奇宏林业有限公司 一种竹席生产用喷液装置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113853449B (zh) * 2019-05-31 2023-10-10 应用材料公司 用于在基板上形成膜的方法及***
CN110306171B (zh) * 2019-06-28 2023-09-08 郑州磨料磨具磨削研究所有限公司 一种改善气体分布的沉积室及mpcvd装置
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
KR20220038152A (ko) * 2019-07-26 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 기판들 상에 막들을 형성하기 위한 기화기 챔버
CN112908886B (zh) * 2019-11-19 2022-12-02 夏泰鑫半导体(青岛)有限公司 半导体处理设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990010957A (ko) * 1997-07-19 1999-02-18 김상호 플라즈마 발생부를 가지는 샤워헤드장치

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877757A (en) * 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990010957A (ko) * 1997-07-19 1999-02-18 김상호 플라즈마 발생부를 가지는 샤워헤드장치

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101216203B1 (ko) * 2003-11-25 2012-12-27 어플라이드 머티어리얼스, 인코포레이티드 질화규소의 열화학기상증착
KR101216202B1 (ko) * 2003-11-25 2012-12-27 어플라이드 머티어리얼스, 인코포레이티드 질화규소의 열화학기상증착
KR101316749B1 (ko) * 2007-03-08 2013-10-08 주식회사 원익아이피에스 라디칼 증착 장치 및 방법
KR101085455B1 (ko) * 2009-06-10 2011-11-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치

Also Published As

Publication number Publication date
US6435428B2 (en) 2002-08-20
KR20010081563A (ko) 2001-08-29
JP2001262352A (ja) 2001-09-26
US20010042799A1 (en) 2001-11-22
JP3762233B2 (ja) 2006-04-05

Similar Documents

Publication Publication Date Title
KR100378871B1 (ko) 라디칼 증착을 위한 샤워헤드장치
KR100243446B1 (ko) 플라즈마 발생부를 가지는 샤워헤드장치
US7410676B2 (en) Chemical vapor deposition method
KR100423953B1 (ko) 화학기상증착장치
KR100712727B1 (ko) 절연체를 이용한 샤워헤드
KR100302609B1 (ko) 온도가변 가스 분사 장치
KR20140034115A (ko) 이중 전달 챔버 디자인
KR100423954B1 (ko) 화학기상증착방법
KR20050015931A (ko) 균일한 막 증착을 위한 챔버 및 샤워 헤드
KR101121202B1 (ko) 다채널을 이용한 공정가스 공급이 가능한 화학기상증착 장치
KR101123829B1 (ko) 기판 처리 장치 및 방법
KR100377096B1 (ko) 개선된 샤워헤드를 구비한 반도체 제조장치
KR101227571B1 (ko) 가스 분사 어셈블리 및 기판 처리 장치
KR100457455B1 (ko) 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치.
KR100433285B1 (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
KR101538461B1 (ko) 기판 처리 장치
KR20100071604A (ko) 분사각도의 조절이 가능한 분사노즐을 가지는 고밀도 플라즈마 화학기상증착장치
KR101114248B1 (ko) 균일한 막 증착을 위한 챔버 및 샤워 헤드
KR20040014760A (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치 및 이를 이용한 반도체소자 제조방법
KR20110021624A (ko) 원료 물질 공급 장치 및 이를 구비하는 기판 처리 장치
KR101114247B1 (ko) 반도체 소자 제조 장치
KR102494263B1 (ko) 기판처리장치
KR101606198B1 (ko) 기판 처리 장치
KR100852271B1 (ko) 가스 분배기의 래디칼 발생장치
KR20230163175A (ko) 기판처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130214

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131206

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20151209

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20161222

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20171204

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20181211

Year of fee payment: 17