JP2000188400A - 半導体デバイスを形成する方法 - Google Patents

半導体デバイスを形成する方法

Info

Publication number
JP2000188400A
JP2000188400A JP11318314A JP31831499A JP2000188400A JP 2000188400 A JP2000188400 A JP 2000188400A JP 11318314 A JP11318314 A JP 11318314A JP 31831499 A JP31831499 A JP 31831499A JP 2000188400 A JP2000188400 A JP 2000188400A
Authority
JP
Japan
Prior art keywords
layer
forming
semiconductor device
substrate
suboxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11318314A
Other languages
English (en)
Inventor
Glen D Wilk
グレン、ディ、ウィルク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2000188400A publication Critical patent/JP2000188400A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 標準プロセス、かつ、より高い比誘電率の材
料を使用する。 【解決手段】 この発明の実施例は、半導体基板202
の上にある半導体デバイスを形成する方法である。この
方法は基板202の上に亜酸化物材料の層206を形成
し、この亜酸化物材料はHfSiOx、ZrSiOx、L
aSiOx、YSiOx、ScSiOx及びCeSiOx
ら成る群から選ばれた材料を含み、亜酸化物材料の層の
上に構造210を形成する工程を含む。別の実施例で
は、半導体デバイスはトランジスタであり、この場合、
亜酸化物材料の層の上に形成される構造はゲート電極
(好ましくは多結晶シリコン、タングステン、チタン、
窒化タングステン、窒化チタン、白金、アルミニウム又
はその任意の組合せを含む)である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は半導体デバイスの
製造と処理、更に具体的に言えば、珪酸塩を使って一層
高い比誘電率の材料を製造する方法に関する。
【0002】
【従来の技術及び課題】半導体デバイスの処理の傾向
は、所定の面積内により多くのデバイスを製造すること
ができるように、デバイスを一層小さくすることであ
る。このスケールダウンは、デバイスの略全てに影響す
るので、各々の特徴がスケールダウンされる。これは、
静電容量がキャパシタの二つの極板の間にある材料の比
誘電率と誘電体材料の実効面積に比例するので、ゲート
構造及びキャパシタにとっては特に問題である。更に構
造の静電容量は、構造の二つの電極の間の距離に反比例
する。現在SiO2がゲート誘電体に選ばれる材料であ
るから、キャパシタの面積のスケールダウンを埋め合わ
せるために、この層の厚さを薄くする。しかし、このよ
うに酸化物層を薄くすることは、幾つかの理由で問題に
なりつつある。第1に、2酸化シリコン層の厚さが約3
nm未満まで薄くなると、酸化物を通しての洩れが許容
し難い程高くなる。更に、酸化物層は、ゲート電極に打
ち込まれたドーパントを押さえる点で有効な障壁として
作用しなくなり、チャンネル領域からのゲート電極の導
電度が高くなる。2番目に、極めて薄い層は、それを自
己制限的なプロセスで形成しない限り、再現性を以って
製造するのが非常に困難である。3番目に、他の構造を
エッチするためのこの後の処理を使って、薄い層、特に
ゲート絶縁体に対して行うエッチングアウェイは、一層
厚手の層の百分率よりも、ずっと大きな百分率の薄い層
が取り除かれるために、一層厚手の層の場合より、一層
劇的に薄い層に影響する。この問題を解決するための別
の策は、ゲート絶縁材料を一層高い比誘電率を持つもの
に代えることである。例えば、BST、PZT、TiO
2及びTa25が次の世代のゲート誘電体として考えら
れている。しかし、この各々の材料は、こういう材料を
有効なゲート誘電体材料にするのに必要な処理が、標準
的なトランジスタ構造の処理と相容れないために、問題
が生じる。更に具体的に言うと、こういう各々の材料
は、酸素含有雰囲気での高温アニールを必要とするが、
このアニールがその下にある基板並びにその他の露出し
ている酸化可能な構造を著しく劣化させることがある。
そのため、標準的なゲート構造の処理技術を用いて処理
するのが比較的容易であると共に、2酸化シリコン(ε
≒3.9)より高い比誘電率を持つ新しい材料を使う必
要がある。
【0003】
【課題を解決するための手段及び作用】基本的には、こ
の発明は、ゲート誘電体として酸化物または珪酸塩層を
含むゲート構造と、この構造を製造する方法を提供す
る。更に具体的に言えば、この発明のゲート絶縁体は、
好ましくはZrO2、ZrSiO4、HfO2、またはH
fSiO4を含む。この層が約10乃至40(更に好ま
しくは約15乃至30)の比誘電率を持つことが好まし
い。別の実施例では、この発明の誘電体層はキャパシタ
誘電体として利用することができる。この発明の実施例
は、半導体基板の上にある半導体デバイスを形成する方
法である。この方法は、基板の上に亜酸化物材料の層を
形成し、この亜酸化物材料は、HfSiOx、ZrSi
x、LaSiOx、YSiOx、ScSiOx及びCeS
iOxから成る群から選ばれた材料を含み、亜酸化物材
料の層の上に構造を形成する工程を含む。別の実施例で
は、半導体デバイスがトランジスタであり、この時亜酸
化物材料の層の上に形成される構造がゲート電極である
(好ましくは多結晶シリコン、タングステン、チタン、
窒化タングステン、窒化チタン、白金、アルミニウムま
たはその任意の組合せを含む)。更に別の実施例では、
半導体デバイスが記憶デバイスであり、この時記憶デバ
イスの誘電体を形成する亜酸化物材料の下に、それと突
き合わせて下側電極が形成され、亜酸化物材料の層の上
に形成される構造が記憶デバイスの上側電極である。こ
の発明の方法は、基板の上に亜酸化物材料の層を形成す
る工程の後、ただし亜酸化物材料の層の上に構造を形成
する工程の前に、酸素を含む雰囲気内で半導体デバイス
を高温にかける工程をも含んでいて良い。好ましくは、
高温は約400乃至600℃である。この代わりに、こ
の発明の方法は、基板の上に亜酸化物材料の層を形成す
る工程の後、ただし亜酸化物材料の層の上に構造を形成
する工程の前に、オゾンを含む雰囲気内で半導体デバイ
スを高温にかける工程を含むことができる。この高温は
約25乃至400℃にすることが好ましい。更に別の実
施例では、この発明の方法は、基板の上に亜酸化物材料
の層を形成する工程の後、ただし亜酸化物材料の層の上
に構造を形成する工程の前に、窒素を含む雰囲気内で半
導体デバイスを高温にかける工程を含むことができる。
この高温は約500乃至600℃であることが好まし
い。
【0004】この発明の別の実施例は、導電ゲート構造
と半導体基板の間にある絶縁層を製造する方法である。
この方法は、半導体基板の上にHfSiOxの層を形成
し、O2、O3、N2またはその任意の組合せから成るガ
スを含む雰囲気内でHfSiOxの層を高温にかけ、H
fSiOxの層の上に導電ゲート構造を形成する工程を
含む。O2またはO3の何れかを含む雰囲気内でHfSi
xの層を高温にかける工程の結果、HfSiOx層の酸
素含有量が増加する。HfSiOxの層は、PVD、C
VDまたは一つあるいは更に多くの固体ターゲットを用
いた電子ビーム蒸着によって形成することが好ましい。
この発明の別の実施例は、導電ゲート構造と半導体基板
の間にある絶縁層を製造する方法である。この方法は、
半導体基板の上にZrSiOxの層を形成し、O2
3、N2またはその任意の組合せから成るガスを含む雰
囲気内でZrSiOxの層を高温にかけ、ZrSiOx
層の上に導電ゲート構造を形成する工程を含む。O2
たはO3の何れかを含む雰囲気内でZrSiOxの層を高
温にかけた結果として、ZrSiOxの酸素含有量が増
加する。ZrSiOxの層は、PVD、CVDまたは一
つあるいは更に多くの固体ターゲットを用いた電子ビー
ム蒸着によって形成することが好ましい。
【0005】
【実施例】図面で同様な参照数字は同じ特徴を表す。図
面に示す特徴は必ずしも実尺ではない。これからこの発
明について説明することは、図1の方法並びに図2a−
2dのデバイス構造を中心とするが、この発明は金属ゲ
ートまたはその他の任意の形式のゲート構造に使うこと
ができ、使い捨てゲート(後で引用に示す場合のよう
に)または図面に示した標準的なプロセスの流れを使っ
てそれを製造することができる。この発明の誘電体層
は、係属中の米国特許出願60/100,605(出願
人に譲渡されており、控え番号TI−24776P)に
示されているような使い捨てゲート構造のプロセスの流
れでゲート誘電体としても使うことができ、この出願を
引用することによって説明に代える。更に、この発明の
方法並びにそれによって形成された誘電体層は、キャパ
シタの二つの電極の間の誘電体として使うことができ
る。この発明の方法の前に、事前の処理を実施すること
ができる。この事前の処理は、ウェーハ202の表面を
きれいにし、隔離区域204を形成し、ウェーハの一部
分のドーピングをすることを含んでいて良い。隔離構造
204が、図2a−2cでは、浅いトレンチ隔離構造
(STI)として示されているが、任意の形式の隔離構
造を使うこともできる。隔離構造の例としてはLOCO
S、STI及び接合隔離構造がある。大抵の標準的な処
理方式では、隔離構造を形成して基板ドーパントを打ち
込む前に、ウェーハの上に薄い酸化物を成長させる。薄
い酸化物層を使う場合、工程102の前にそれを取り除
くことが好ましい。薄い酸化物層を取り除くことは、酸
化物エッチまたは釉薬除去工程で行われることが好まし
い。このプロセスは、ウェーハをHF溶液にさらして、
隔離構造204に実質的に影響を与えずに、保護酸化物
を取り除くことが好ましい。
【0006】図1の工程102及び図2aについて説明
すると、基板202の上に一面に層206を形成する。
工程102で、層206は、好ましくはマスク作業によ
って、隔離構造の上に形成しなくてもよい(図2aに示
す)し、隔離構造204から選択的に取り除いてもよい
し、あるいは隔離構造204の上に形成して(図に示し
ていない)、そのままにしておいても良い。層206
は、(Hf、Zr、La、Y、Sc及び/またはCeの
ような)遷移金属、(層208を珪酸塩にする場合は)
シリコン、並びに場合によって酸素並びに/または窒素
を含むことが好ましい。層206は、遷移金属(並びに
層208が珪酸塩である場合はシリコン)を含むターゲ
ットまたは付け加える異なる素子に対する別々のターゲ
ットを使って物理的蒸着(PVD−スパッタリングとも
呼ばれる)、化学的蒸着、または一つあるいは更に多く
の固体ターゲット(遷移金属だけを含むか、遷移金属酸
化物を含むか、遷移金属及びシリコンを含むか、並びに
/またはシリコンだけを含む)を用いた電子ビーム蒸着
によって形成することができる。層206がPVDを用
いて形成される場合、処理条件は次のようにすることが
好ましい。系の全圧を約5mTorr、スパッタ電力を
約200乃至300ワット(更に好ましくは約250ワ
ット)、基板温度を約25乃至600℃(更に好ましく
は、HfSi2対しては約400乃至600℃、そして
ZrSi2では大体室温)にする。層206はHfSi
x、ZrSiOx、LaSiOx、YSiOx、ScSi
x、CeSiOx、Hf、HfSi2、Zr、ZrS
2、La、LaSix、Y、YSix、Sc、ScS
x、CeまたはCeSixを含むことが好ましく、厚さ
は約4乃至10nm、(更に好ましくは約4乃至6n
m)であることが好ましい。PVD過程の間、PVD室
の雰囲気は、ArとO2またはO3(好ましくは50%の
Ar及び50%のO2)を含むことができる。電子ビー
ム蒸着を用いて層206が形成される場合、ウェーハの
温度は約400乃至600℃にすることが好ましく、こ
の過程は真空内で行われる。図1の工程104及び図2
bについて説明すると、次にアニールを実施して、層2
06を、遷移金属を持っていた層の酸化した(または窒
化した)形、またはシリコン及び遷移金属の組合せを持
っていた層の珪酸塩の形に、または更に好ましくは、既
に存在している珪酸塩層を完全に酸化(または窒化)す
るように変換する。例えば、層206がHf、HfSi
2、ZrまたはZrSi2を含む場合、それがHfOx
HfSiOx、ZrOxまたはZrSiOxに夫々なる
か、あるいは更に好ましくは、層が既にHfSiOx
あれば、アニール工程によって、このアニール工程が酸
素またはオゾン雰囲気内であれば、xの値を増加するこ
とにより、酸素含有量が一層大きい層に変換する。アニ
ール工程104が、約400乃至600℃の温度でO2
雰囲気内で、約25乃至400℃の温度でO3雰囲気内
で、または約500乃至600℃の温度でN2雰囲気内
で行われることが好ましい。この他の温度及び雰囲気の
組合せも使うことができるが、ここで示したものが最も
良い結果をもたらすものと思われる。層206は、アニ
ール工程104で、10乃至120分、(更に好ましく
は約20乃至45分、なおさら好ましくは約30分)の
期間の間、酸素含有並びに窒素含有雰囲気の中で、この
高温にかけることが好ましい。
【0007】上に述べた方法(工程102及び104)
を用いて形成されたHfSiO4の誘電体層により、約
1.5ボルトの源電圧で約1.5×10-6A/cm2
洩れを持つ誘電体層になる。これは、(静電容量―電圧
または電流−電圧測定のような電気的な方式で測定し
て)同じ電気的な厚さを持つ2酸化シリコン層の洩れ電
流が約1.5ボルトで約1A/cm2であることに比べ
ると、非常に低い。上に述べた方法を使って形成された
(厚さ約5nmの)HfSiO4誘電体層は、厚さ1乃
至2nmの2酸化シリコン被膜と電気的に同等であり、
少なくとも800℃(そして恐らくは1000または1
100℃)まで(シリコンとの界面で)安定である。更
に、上に述べた方法を使って形成されたHfSiO4
電体層は、800℃を超える(そして恐らくは1000
乃至1100℃までの)この後の処理温度で非晶質のま
まである。上に述べた方法(工程102及び104)を
用いて形成されたZrSiO4誘電体層は、約1.5ボ
ルトの源電圧で約1.3×10-5A/cm2の洩れを持
つ誘電体層になる。上に述べた方法を用いて形成された
(厚さ約5nmの)ZrSiO4の誘電体層の比誘電率
は、厚さ2nmの2酸化シリコン被膜と同等の比誘電率
を持ち、少なくとも800℃(そして恐らくは1000
または1100℃)まで、(シリコンとの界面で)安定
のままである。更に、上に述べた方法を用いて形成され
たZrSiO4誘電体層は、800℃を超える(そして
恐らくは1000乃至1100℃までの)この後の処理
温度で非晶質のままである。
【0008】アニール104は、約400乃至500℃
(更に好ましくは約450℃)で約90%のN2及び約
10%のH2を含む雰囲気内で実施することができる
が、層は、上に述べたアニール工程を使って形成される
層のような有利な電気的な性質を持たない。この方法を
用いた層は、しかしながら、それを約500乃至600
℃の温度のN2並びに/またはAr雰囲気内で2回目の
アニールにかけることによって、改善することができ
る。酸素雰囲気を使うことができるが、最初のアニール
で被膜に持ち込まれた水素が雰囲気内の酸素と化合して
水分を形成し、こうして被膜または他の構造の一つを劣
化させる心配がある。そのため、不活性窒素またはアル
ゴン雰囲気の何れかを使うことが望ましい。図1の工程
106及び図2cについて説明すると、導電ゲート電極
層210が形成される。層210は多結晶シリコン、ド
ープされた多結晶シリコン、タングステン、チタン、窒
化タングステン、窒化チタン、白金、アルミニウム、そ
の組合せ、またはその一つまたは更に多くを含む積重ね
を含むことが好ましい。層210は、標準的な半導体処
理工程を使って、標準的なトランジスタの形成に普通に
使われる厚さに形成することが好ましい。この発明の特
定の実施例を説明したが、これらはこの発明の範囲を制
限するものと解してはならない。明細書に述べた方法か
ら、当業者にはこの発明の色々な実施例が容易に考えら
れよう。この発明の範囲は、特許請求の範囲のみによっ
て限定される。
【0009】以上の説明に関し、更に以下の項目を開示
する。 (1) 半導体基板の上にある半導体デバイスを形成す
る方法において、前記基板の上に亜酸化物材料の層を形
成し、前記亜酸化物材料がHfSiOx、ZrSiOx
LaSiOx、YSiOx、ScSiOx及びCeSiOx
から成る群から選ばれた材料を含み、前記亜酸化物材料
の層の上に構造を形成する工程を含む方法。 (2) 第1項に記載の方法において、前記半導体デバ
イスがトランジスタである方法。 (3) 第2項に記載の方法において、前記亜酸化物材
料の層の上に形成される構造がゲート電極である方法。 (4) 第3項に記載の方法において、前記ゲート電極
が、多結晶シリコン、タングステン、チタン、窒化タン
グステン、窒化チタン、白金、アルミニウム及びその任
意の組合せから成る群から選ばれた材料を含む方法。 (5) 第1項に記載の方法において、前記半導体デバ
イスが記憶デバイスである方法。 (6) 第5項に記載の方法において、前記記憶デバイ
スに対する誘電体を形成する前記亜酸化物材料の下に、
それと突き合わせて下側電極が形成されている方法。 (7) 第6項に記載の方法において、前記亜酸化物材
料の層の上に形成される構造が、記憶デバイスの上側電
極である方法。
【0010】(8) 第1項に記載の方法において、更
に前記基板の上に亜酸化材料の層を形成する工程の後、
ただし前記亜酸化物材料の層の上に構造を形成する工程
の前に、酸素を含む雰囲気内で前記半導体デバイスを高
温にかける工程を含む方法。 (9) 第8項に記載の方法において、前記高温が約4
00乃至600℃である方法。 (10) 第1項に記載の方法において、更に、前記基
板の上に亜酸化物材料の層を形成する工程の後、ただし
前記亜酸化物材料の層の上に構造を形成する工程の前
に、オゾンを含む雰囲気内で前記半導体デバイスを高温
にかける工程を含む方法。 (11) 第10項に記載の方法において、前記高温が
約25乃至400℃である方法。 (12) 第1項に記載の方法において、更に、前記基
板の上に亜酸化物材料の層を形成する工程の後、ただし
前記亜酸化物材料の層の上に構造を形成する工程の前
に、窒素を含む雰囲気内で前記半導体デバイスを高温に
かける工程を含む方法。 (13) 第12項に記載の方法において、前記高温が
約500乃至600℃である方法。 (14) 導電ゲート構造及び半導体基板の間にある絶
縁層を製造する方法において、前記半導体基板の上にH
fSiOxの層を形成し、O2、O3、N2並びにその任意
の組合せから成る群から選ばれたガスを含む雰囲気内で
前記HfSiO xの層を高温にかけ、前記HfSiOx
層の上に前記導電ゲート構造を形成する工程を含む方
法。 (15) 第14項に記載の方法において、O2または
3の何れかを含む雰囲気内で前記HfSiOxの層を高
温にかける工程により、前記HfSiOx層の酸素含有
量が増加する方法。 (16) 第14項に記載の方法において、前記HfS
iOxの層がPVDによって形成される方法。 (17) 第14項に記載の方法において、前記HfS
iOxの層がCVDによって形成される方法。 (18) 第14項に記載の方法において、前記HfS
iOxの層が、一つまたは更に多くの固体ターゲットを
用いて電子ビーム蒸着によって形成される方法。
【0011】(19) 導電ゲート構造及び半導体基板
の間にある絶縁層を製造する方法において、前記半導体
基板の上にZrSiOxの層を形成し、O2、O3、N2
びその任意の組合せから成る群から選ばれたガスを含む
雰囲気内で前記ZrSiOxの層を高温にかけ、前記Z
rSiOxの層の上に前記導電ゲート構造を形成する工
程を含む方法。 (20) 第19項に記載の方法において、O2または
3の何れかを含む雰囲気内で前記ZrSiOxの層を高
温にかける工程により、前記ZrSiOx層の酸素含有
量が増加する方法。 (21) 第19項に記載の方法において、前記ZrS
iOxの層がPVDによって形成される方法。 (22) 第19項に記載の方法において、前記ZrS
iOxの層がCVDによって形成される方法。 (23) 第19項に記載の方法において、前記ZrS
iOxの層が一つまたは更に多くの固体ターゲットを用
いて電子ビーム蒸着によって形成される方法。 (24) この発明の実施例は、半導体基板の上にある
半導体デバイスを形成する方法である。この方法は基板
(図2a−2cの基板202)の上に亜酸化物材料の層
(図2aの層206)を形成し、この亜酸化物材料はH
fSiOx、ZrSiOx、LaSiOx、YSiOx、S
cSiOx及びCeSiOxから成る群から選ばれた材料
を含み、亜酸化物材料の層の上に構造(図2cの層21
0)を形成する工程を含む。別の実施例では、半導体デ
バイスはトランジスタであり、この場合、亜酸化物材料
の層の上に形成される構造はゲート電極(好ましくは多
結晶シリコン、タングステン、チタン、窒化タングステ
ン、窒化チタン、白金、アルミニウム又はその任意の組
合せを含む)である。更に別の実施例では、半導体デバ
イスは記憶デバイスであり、この場合記憶デバイスに対
する誘電体を形成する亜酸化物材料の下に、それと突き
合わせて下側電極が形成され、亜酸化物材料の層の上に
形成される構造は、記憶デバイスの上側電極である。
【関連特許/特許出願との関係】被譲渡人を同じくする
下記の特許/特許出願の内容をここで引用によって説明
に代える。 特許番号/通し番号 出願日 TI控え番号 60/053,661 7/24/1997 TI−24953 60/100,631 9/16/1998 TI−27181 60/029,215 10/28/1996 TI−22027 60/100,605 9/16/1998 TI−24776
【図面の簡単な説明】
【図1】この発明の1実施例の方法を示す流れ図。
【図2】図1に示したこの発明の方法を用いて処理され
る途中まで製造されたデバイスの断面図。
【符号の説明】 202 基板 204 隔離構造 208 層 210 構造

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板の上にある半導体デバイスを
    形成する方法において、 前記基板の上に亜酸化物材料の層を形成し、前記亜酸化
    物材料がHfSiOx、ZrSiOx、LaSiOx、Y
    SiOx、ScSiOx及びCeSiOxから成る群から
    選ばれた材料を含み、 前記亜酸化物材料の層の上に構造を形成する工程を含む
    方法。
JP11318314A 1998-11-09 1999-11-09 半導体デバイスを形成する方法 Pending JP2000188400A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US107867 1993-08-18
US10786798P 1998-11-09 1998-11-09

Publications (1)

Publication Number Publication Date
JP2000188400A true JP2000188400A (ja) 2000-07-04

Family

ID=22318887

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11318314A Pending JP2000188400A (ja) 1998-11-09 1999-11-09 半導体デバイスを形成する方法

Country Status (2)

Country Link
US (2) US6291283B1 (ja)
JP (1) JP2000188400A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002082554A1 (fr) * 2001-04-02 2002-10-17 Matsushita Electric Industrial Co., Ltd. Dispositif a semi-conducteur et son procede de fabrication
WO2004077501A2 (ja) * 2003-02-27 2004-09-10 Nat Inst Of Advanced Ind Scien 電界効果トランジスタおよび電界効果トランジスタの製造方法
JP2005537645A (ja) * 2002-08-28 2005-12-08 マイクロン テクノロジー,インコーポレイティド ジルコニウムおよび/またはハフニウム含有層を形成するシステムおよび方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208508A (ja) * 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
CA2360312A1 (en) * 2000-10-30 2002-04-30 National Research Council Of Canada Novel gate dielectric
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
JP3995082B2 (ja) * 2001-07-18 2007-10-24 日鉱金属株式会社 ゲート酸化膜形成用ハフニウムシリサイドターゲット及びその製造方法
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
CN100335676C (zh) * 2002-08-06 2007-09-05 日矿金属株式会社 硅化铪靶及其制造方法
DE10240423B4 (de) * 2002-09-02 2007-02-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Feldeffekttransistor und einem passiven Kondensator mit reduziertem Leckstrom und einer verbesserten Kapazität pro Einheitsfläche und Verfahren zu dessen Herstellung
US6933235B2 (en) * 2002-11-21 2005-08-23 The Regents Of The University Of North Texas Method for removing contaminants on a substrate
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
EP1694275A2 (en) * 2003-12-18 2006-08-30 AFG Industries, Inc. Protective layer for optical coatings with enhanced corrosion and scratch resistance
KR100568448B1 (ko) * 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3551196A (en) * 1968-01-04 1970-12-29 Corning Glass Works Electrical contact terminations for semiconductors and method of making the same
JPS5861763A (ja) * 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4432035A (en) * 1982-06-11 1984-02-14 International Business Machines Corp. Method of making high dielectric constant insulators and capacitors using same
KR0141160B1 (ko) * 1995-03-22 1998-06-01 김광호 강유전체 메모리 장치 및 그 제조방법
JPH09153567A (ja) * 1995-09-28 1997-06-10 Toshiba Corp 高熱伝導性窒化珪素回路基板および半導体装置
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
JPH10308166A (ja) * 1997-03-04 1998-11-17 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
US6130503A (en) * 1997-03-04 2000-10-10 Pioneer Electronic Corporation Electron emission device and display using the same
JPH10312739A (ja) * 1997-03-10 1998-11-24 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
JPH10321123A (ja) * 1997-05-15 1998-12-04 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
JP3724915B2 (ja) * 1997-05-15 2005-12-07 パイオニア株式会社 電子放出素子及びこれを用いた表示装置
JPH1167065A (ja) * 1997-08-08 1999-03-09 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
US6110784A (en) * 1998-07-28 2000-08-29 Advanced Micro Devices, Inc. Method of integration of nitrogen bearing high K film
US6168958B1 (en) * 1998-08-07 2001-01-02 Advanced Micro Devices Inc. Semiconductor structure having multiple thicknesses of high-K gate dielectrics and process of manufacture therefor
JP3368852B2 (ja) * 1998-11-27 2003-01-20 株式会社村田製作所 積層パターンの形成方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002082554A1 (fr) * 2001-04-02 2002-10-17 Matsushita Electric Industrial Co., Ltd. Dispositif a semi-conducteur et son procede de fabrication
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
JP2005537645A (ja) * 2002-08-28 2005-12-08 マイクロン テクノロジー,インコーポレイティド ジルコニウムおよび/またはハフニウム含有層を形成するシステムおよび方法
US9184061B2 (en) 2002-08-28 2015-11-10 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
WO2004077501A2 (ja) * 2003-02-27 2004-09-10 Nat Inst Of Advanced Ind Scien 電界効果トランジスタおよび電界効果トランジスタの製造方法
WO2004077501A3 (ja) * 2003-02-27 2004-11-11 Nat Inst Of Advanced Ind Scien 電界効果トランジスタおよび電界効果トランジスタの製造方法
JPWO2004077501A1 (ja) * 2003-02-27 2006-06-08 独立行政法人産業技術総合研究所 電界効果トランジスタ
JP4538636B2 (ja) * 2003-02-27 2010-09-08 独立行政法人産業技術総合研究所 電界効果トランジスタおよびその製造方法

Also Published As

Publication number Publication date
US6734068B2 (en) 2004-05-11
US6291283B1 (en) 2001-09-18
US20010021589A1 (en) 2001-09-13

Similar Documents

Publication Publication Date Title
JP2000188400A (ja) 半導体デバイスを形成する方法
JP3703373B2 (ja) Mosfetおよびゲート誘電体の製造方法
KR100636856B1 (ko) 고 유전상수 게이트 절연막을 갖는 ulsi mos
US5891798A (en) Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US9799523B2 (en) Methods of forming a semiconductor device by thermally treating a cleaned surface of a semiconductor substrate in a non-oxidizing ambient
US6955973B2 (en) Method for forming a semiconductor device
US6392280B1 (en) Metal gate with PVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
JP2004253767A (ja) デュアルゲート構造およびデュアルゲート構造を有する集積回路の製造方法
JP2008252118A (ja) ドープされた金属酸化物誘電体材料を有する電子部品及びドープされた金属酸化物誘電体材料を有する電子部品の作製プロセス
JP2004214661A (ja) トランジスタゲートの製造及び高誘電率ゲート誘電体の粗さを減少する方法
JP2000058832A (ja) オキシ窒化ジルコニウム及び/又はハフニウム・ゲ―ト誘電体
US6440868B1 (en) Metal gate with CVD amorphous silicon layer and silicide for CMOS devices and method of making with a replacement gate process
TWI283016B (en) Method for fabricating semiconductor devices having dual gate oxide layers
US20070166931A1 (en) Methods of Manufacturing A Semiconductor Device for Improving the Electrical Characteristics of A Dielectric Film
US6589866B1 (en) Metal gate with PVD amorphous silicon layer having implanted dopants for CMOS devices and method of making with a replacement gate process
US6521529B1 (en) HDP treatment for reduced nickel silicide bridging
US6440867B1 (en) Metal gate with PVD amorphous silicon and silicide for CMOS devices and method of making the same with a replacement gate process
US6528362B1 (en) Metal gate with CVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
JP2000049349A (ja) 集積回路に電界効果デバイスを製造する方法
US6642590B1 (en) Metal gate with PVD amorphous silicon layer and barrier layer for CMOS devices and method of making with a replacement gate process
US6777296B2 (en) Semiconductor device and manufacturing method thereof
JP3779556B2 (ja) 電界効果トランジスタ
KR980012524A (ko) 커패시터 제조방법
JPWO2004073072A1 (ja) Mis型半導体装置およびmis型半導体装置の製造方法
KR101078716B1 (ko) 반도체 소자의 게이트 형성방법