FI118014B - Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa - Google Patents

Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa Download PDF

Info

Publication number
FI118014B
FI118014B FI20002323A FI20002323A FI118014B FI 118014 B FI118014 B FI 118014B FI 20002323 A FI20002323 A FI 20002323A FI 20002323 A FI20002323 A FI 20002323A FI 118014 B FI118014 B FI 118014B
Authority
FI
Finland
Prior art keywords
substrate
organic
layer
alumina
oxygen
Prior art date
Application number
FI20002323A
Other languages
English (en)
Swedish (sv)
Other versions
FI20002323A (fi
FI20002323A0 (fi
Inventor
Jarmo Skarp
Mervi Linnermo
Timo Asikainen
Original Assignee
Asm Int
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Int filed Critical Asm Int
Priority to FI20002323A priority Critical patent/FI118014B/fi
Priority to TW089122263A priority patent/TW548239B/zh
Publication of FI20002323A0 publication Critical patent/FI20002323A0/fi
Priority to JP2001324382A priority patent/JP4232944B2/ja
Priority to US10/003,749 priority patent/US6743475B2/en
Publication of FI20002323A publication Critical patent/FI20002323A/fi
Priority to US10/829,894 priority patent/US6884465B2/en
Priority to US11/009,626 priority patent/US7476420B2/en
Application granted granted Critical
Publication of FI118014B publication Critical patent/FI118014B/fi

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations

Description

1 118014 ;
MENETELMÄ MUUMIINI OKSIDI OHUTK ALV O JEN VALMISTAMISEKSI MATALISSA LÄMPÖTILOISSA
5 Esillä oleva keksintö koskee menetelmää alumiinioksidiohutkalvojen valmistamiseksi ALD -tyyppisellä menetelmällä. Esillä olevan menetelmän mukaan alumiinioksidikalvot valmistetaan matalassa lämpötilassa kiinnittämällä substraatille metalliyhdiste ja muuttamalla mainittu yhdiste metallioksidiksi.
10 Dielektrisiä ohutkalvoja, joilla on korkea dielektrisyysvakio (permittiivisyys), käytetään mikroelektroniikassa erilaisissa sovelluksissa. Esimerkiksi S1O2 ja S13N4, joita käytetään nykyisin DRAM -muisteissa, tulee korvata materiaaleilla, joilla on korkeampi dielektrisyysvakio, sillä kondensaattoreiden koko pienenee, kun taas niiden kapasitanssin on pysyttävä vakiona.
15
Pintojen passivointiin soveltuvia AI2O3 -kalvoja on viime aikoina valmistettu fysikaalisilla menetelmillä kuten sputteroimalla. Sputteroimaila valmistettujen kalvojen ongelmana on ollut muodostuvan kalvon epätasaisuus ja ohutkalvon pienet reiät (pinholes), jotka muodostavat kalvon läpi ulottuvan difluusiopolun vedelle.
20 . >^ Dautartas ja Manchanda kuvaavat menetelmän AI2O3 -ohutkalvojen hiilikontaminaation Ψ m vähentämiseksi. Käytetty prosessi on ALD -tyyppinen menetelmä ja orgaanisia • * · • · ··.·. alumiiniprekursoreita käytetään yhdessä veden kanssa. Otsonia syötetään vähintään joka · • · : kolmannella jaksolla reaktiokammioonhiiliepäpuhtauksien vähentämiseksi. Menetelmällä t ;***. 25 on rajoituksensa, sillä alle 190 °C:ssa kasvatetut alumiinioksidikalvot eivät olleet tiiviitä • · · eivätkä toistettavia (Mindaugas F. Dautartas ja Lailta Manchanda, US 6,124,158).
• * · j ·:··· ALD-tyyppistä menetelmää on käytetty AI2O3-kalvojen valmistamiseksi myös käyttäen • * · alkoksideja, trimetyylialumiinia (TMA) tai AlC^ia alumiinin lähdeaineena ja vettä, 30 alkoholeja, H202'.a tai N20:a happilähdeaineena. AI2O3-kalvoja on kasvatettu TMA:sta ja ·:··· vedestä lämpötilavälillä 150 - 400 °C, tyypillisesti lämpötila on ollut välillä 150 - 300 °C.
« . Näin saatujen ALD-kalvojen paksuus oli tasainen eikä niissä esiintynyt yhtään pieniä • * · • · * .*·. reikiä (pinholes), vaikka kalvojen tiheys on ollut kyseenalainen kasvatuslämpötila-alueen ·· * alarajalla. Kuitenkin sovelluksissa, joissa käytetään orgaanisia polymeerejä tai alhaisen 2 118014 molekyylipainon omaavia orgaanisia molekyylejä, kuten orgaanisissa EL -näytöissä, , kasvatuslämpötilan tulee olla edullisesti alle 150 °C. Tapauksissa, joissa käytetty substraatti on herkkä vedelle, on mahdotonta käyttää vettä hapen lähdeaineena.
5 Esillä oleva keksintö perustuu siihen yllättävään havaintoon, että korkealuokkaista alumiinioksidiohutkalvoa voidaan kasvattaa ALD -tyyppisellä menetelmällä jopa 100 °C substraatin lämpötiloissa. Toinen yllättävä havainto on, että otsonia voidaan käyttää kasvatusprosessissa ilman, että tuhotaan orgaanisen kerroksen käsittävän substraatin ominaisuuksia. ALD :11a voidaan saada hyvin nopeasti substraatin pintaan tiheä, reiätön 10 (pinohole-free) ohutkalvokerros, joka suojelee pinnan alla olevia herkkiä materiaaleja ympäröivältä kaasukehältä.
Täsmällisemmin sanottuna esillä olevalle menetelmälle on tunnusomaista se, mitä on esitetty patenttivaatimuksen 1 tunnusmerkkiosassa.
is --:1
Esillä olevan keksinnön keinoin saadaan lukuisia huomattavia etuja. Niinpä esillä olevan keksinnön avulla on mahdollista valmistaa hyvälaatuisia kalvoja matalissa lämpötiloissa.
Dielektrisiä ohutkalvoja, joilla on tiheä rakenne, voidaan käyttää sellaisten pintojen 20 passi voimiseksi, jotka eivät kestä korkeita lämpötiloja. Tällaisia pintoja ovat esimerkiksi *.·. polymeerikalvot. Lisäksi, jos käytetään vedetöntä happilähdettä, myös vedelle herkkiä • · • · : pintoja voidaan passivoida.
• · · • · • •e··:.· * * • * •\j Lisäksi dielektrisiä kalvoja, mukaan lukien alumiinioksidi, joilla on tiheä rakenne, voidaan • · 25 käyttää puskurikerroksina vähintään yhden orgaanisen kalvon sisältävien funktionaalisten • · kalvojen välillä. Dielektrinen kalvo siten estää joko reaktion tai diffuusion funktionaalisten * * · .
kalvojen välillä.
• · ·**
Kuvio 1 esittää kaaviomaisesti orgaanisen EL-näytÖn leikkauksen, joka näyttö on suljettu ·;··· 30 ympäröivältä kaasukehältä passivoivalla kerroksessa ja *:*: Kuvio 2 esittää SAW (Surface Acoustic Wave)-suodattimen rakenteen.
• · · • · · * · .**·. Esillä olevan keksinnön puitteissa “ALD-tyyppisellä menetelmällä” tarkoitetaan • · menetelmää, jossa kaasumaisten lähdeaineiden avulla tapahtuva ohutkalvon kasvatus 118014 I ! : ! perustuu vuorotteleviin, itsekyllästyviin pintareaktioihin. ALD -menetelmän periaatteet on esitetty mm. US -patentissa nro. 6,015,590.
“Reaktiotilaa” käytetään tarkoittamaan reaktoria tai reaktiokammiota, jossa olosuhteet 5 voidaan asettaa siten, että ALD -kasvatus on mahdollinen. i “Ohutkalvoa” käytetään tarkoittamaan kalvoa, joka on kasvatettu alkuaineista tai yhdisteistä, jotka on kuljetettu erillisiä ioneina, atomeina tai molekyyleinä alipaineessa j i kaasufaasissa tai nestefaasissa lähteestä substraatille. Kalvon paksuus riippuu sovelluksesta 10 ja se vaihtelee laajalla välillä, esim. yhdestä molekyylikerroksesta 1000 nm:iin tai sen yli.
‘Tiheä” rakenne tarkoittaa ohutkalvoa, jolla on pienempi läpäisevä vuotovirta tai jolla on alhaisempi ionien tai kaasujen permeabiliteetti verrattaessa kahta ohutkalvoa, jotka koostuvat olennaisesti samasta perusmateriaalista.
15 ; \
Kasvatusprosessi
Alumiinioksidiohutkalvo, joka toimii dielektrisenä tai passivoivana kerroksena, kasvatetaan toivotulle substraatille ALD:llä. Substraatti kuumennetaan prosessilämpötilaan, joka valitaan edullisesti väliltä noin 100 °C -190 °C.
20 ·· · : *.· Esillä olevassa keksinnössä käytettään erityisesti seuraavia organoalumiiniyhdisteitä, jotka • · sisältävät vähintään yhden alkyyliryhmän sitoutuneena alumiiniin: *· · • · · • * • * ’ • · * * · * 1 *;#” - Monoalkyylialumiiniyhdisteet L AIX2, jossa X on valittu ryhmästä H, F, Cl, Br, I, * · 25 RCHO, jossa RCHO on alkoksiryhmä ja L1 on tyydyttynyt tai tyydyttymätön • · j *"·’ lineaarinen tai haarautunut hiilivety.
.. - Dialkyylialumiiniyhdisteet L!L2A1X, jossa X on valittu ryhmästä H, F, Cl, Br, I, • · [... RCHO, jossa RCHO on alkoksiligandi ja L , L ovat lineaarisia tai haaroittuneita • · *" hiilivetyjä, joilla on yksinkertaisia sidoksia, kaksois-ja/tai kolmoissidoksia.
• · · : ** 30 - Trialkyylialumiiniyhdisteet L L L AI, jossa L , L ja L ovat lineaarisia tai
• · I
’·;·* haaroittuneita hiilivetyjä, joilla on yksinkertaisia sidoksia, kaksois- ja/tai • * ♦.*·· kolmoissidoksia.
·····' • · * · ’ 1 118014 4 i
Organoalumiiniyhdiste viedään reaktiokammioon kaasufaasissa ja saatetaan kosketuksiin substraatin pinnan kanssa.
Edullisimmin alumiinin lähdekemikaalina käytetään trimetyylialumiinia (CI^Al, joka 5 tunnetaan myös TM A :na.
Prosessissa käytetään voimakkaasti hapettavia happea sisältäviä lähdekemikaalej a.
Happilähteenä käytetään yhtä tai useampaa kemikaalia, joka on valittu ryhmästä: otsoni, orgaaniset otsonidit, happiatomit, joissa on pariton elektroni, orgaaniset peroksidit ja 10 orgaaniset perhapot.
/
R-C
\ : ;
O-OH
Perhapot, kuten peretikkahappo CH3COOOH, sisältävät OOH-ja O -ryhmiä, jotka ovat sitoutuneet samaan hiiliatomiin. i5 • · t * • · Λ • · ''> • · · ···' ··♦·.. l • * • · • · R· .0. r3 6 \< ·;··! \ /
·...: O-O
* ·«··· • * 20 * * . Orgaaniset otsonidit sisältävät O-ja 0-0-ryhmiä kahden hiiliatomin välissä.
• -• · • · · ; ·· • · · .
• · ··.··.
*··'.···. i 5 118014
Dimetyyliperoksidit ja bentsoyyliperoksidi ovat esimerkkejä sopivista orgaanisista peroksideista. Näiden yhdisteiden lisäksi peroksidi voi olla jokin seuraavista yhdisteistä: R'-O-O-R2, jossa R1 ja R2 ovat lineaarisia, haaroittuneita tai syklisiä orgaanisia ligandeja kuten CH3, (CH3)3C, CeHs tai bentsoyyli 5 tai R’-O-O-H, jossa R1 on lineaarinen, haaroittunut tai syklinen orgaaninen ligandi kuten CH3, (CH3)3C tai C„H5
Edullisimmin happilähteenä käytetään otsonia.
10 ' i ' ;
Vettä ei käytetä lähdekemikaalina kasvatusprosessissa. Saavutettu alumiinioksidin kasvunopeus on niin hyvä kuin 0,8 Ä/jakso. Oletetaan, että pintareaktiot otsonin ja trimetyylialumiinin tai pintaan kiinnittyneiden trimetyylialumiinin fraktioiden, kuten dimetyylialumiini ja monometyylialumiini, välillä saavat alumiinioksidin pintaan aikaan 15 riittävästi OH -ryhmiä seuraavan trimetyylialumiinipulssin ja substraatin pinnan välistä itsekyllästyvää kemisorptioreaktiota varten
Otsoni ei ole ainoastaan prosessin happilähde, vaan se sisältää myös runsaasti kemiallista energiaa, joka vapautuu molekyylin hajotessa.
20
.. 03(g)----->3/202(g) ΔΗ!° =-142.7 kj/mol and AGf°--163.2 kj/mol I
• · !·. 1· (N. N. Greenwood and A. Eamshaw, “Chemistry of the Elements”, Pergamon Press Ltd., · · ··.·' Oxford, England 1986.) : « · • · • · • · · • ♦ · • 2 · · .3. 25 Otsonimolekyylien hajoaminen voi saada aikaan pinnan molekyylikerrosten päälle .1··. lisäenergiaa ja siten edistää joitain pintareaktioita. Al203-pinnan tihentäminen voi edetä • · · ylimääräisten OH-ryhmien eliminoitumisen ja Al-O-AI-sidosten muodostumisen kautta.
* • · 1 · • · • 1 1 • 1 ··1 * «1···'' • 1 ' • · i • · · 1 • · 1 · 1 • · · ·; , • · · , • 1 1 2 • · 3 • · «· · 6 118014 ;
H
\
O-H
y OH OH οζοηβ
Ai AI Al<^ -AI
/A\ / \ x" \ / \
Myös orgaanisen peroksidin 0-0 -sidoksen katkeamisen tuloksena syntyvät RO -fragmentit ovat hyvin reaktiivisia.
5
Ennen kuin otsoni viedään reaktiokammioon, otsoni valinnaisesti laimennetaan. Tähän tarkoitukseen voidaan käyttää happikaasua, inerttejä kaasuja, kuten typpeä tai jalokaasuja, kuten argonia.
10 Esimerkkejä niistä sovelluksista, joihin esillä olevalla menetelmällä valmistettuja alumiinioksidiohutkalvoja voidaan erityisesti käyttää ovat orgaaniset valoa emittoivat I diodit (LED), orgaaniset elektroluminesenssinäytöt (OEL), orgaaniset aurinkokennot (OSC) ja pinta-akustisten aaltojen suodattimet (SAW). Nämä sovellukset yleisesti vaativat matalan kasvatuslämpötilan ja/tai ovat herkkiä kosteudella ja/tai hapelle. i 15 « · '
Esillä olevan keksinnön ensimmäisen edullisen suoritusmuodon mukaan muodostetaan • · ; . f orgaaninen EL-näyttö, jossa on passivoiva kerros. Tyypillinen orgaaninen EL-näyttö f • · i valmistetaan jäljestämällä substraatin 11 (ks. kuvio l)päälle anodi 12, joka substraatti • · * tyypillisesti on valmistettu lasista tai vastaavasta materiaalista. Anodin 12 päälle on i 20 jäljestettynä aukkoja kuljettavat kerros 13 ja emissiokerros 14 on kasvatettu mainitun ·· • *.. aukkoja kuljettavan kerroksen 13 päälle. Edelleen emissiokerroksen 14 päälle on • * * ϊ,,.ί kasvatettu kerros 15, joka kykenee kuljettamaan elektroneja. Kaikki nämä kerrokset 13-15 | ‘ · * · sisältävät edullisesti orgaanista materiaalia mainitun orgaanisen materiaalin ollessa joko • « :***: polymeeriä tai matalan molekyyli painon omaavia molekyylejä. Kerroksen 15 päälle, joka • · « ,·] : 25 kerros kykenee kuljettamaan elektroneja,.järjestetään sitten katodi 16. Katodi on • ·· ....: valmistettu metallista, joka on tyypillisesti alumiini, ffiagnesiumtai kalsiumilla päällystetty * · * alumiini. Mainitut metallit hankkivat helposti oksidikerroksen pintansa päälle, mikä on 118014 : 7 tuhoisaa metallin ja orgaanisen kerroksen välisen rajapinnan kannalta. Passivoiva kerros 17 muodostetaan näin saadun rakenteen pinnalle esillä olevalla menetelmällä. Tulee huomata, että’’pinnalla” tarkoitetaan kaikkia mahdollisia pintoja, eli edullisesti passivoidaan myös pystysuoria pintoja.
5
Esillä olevan keksinnön toisen edullisen suoritusmuodon mukaisesti muodostetaan SAW - suodatin, jossa on suojaava kerros. Tyypillinen SAW -suodatin on esitetty kuviossa 2. Se sisältää ensimmäisen akustisen vaimentimen 21 ja toisen akustisen vaimentimen 22, jotka on sijoitettu pietsosähköiselle substraatille mainitun pietsosähköisen substraatin ollessa 10 tyypillisesti kvartsia, litiumia, niobaattia tai litiumtantalaattia. Tuleva signaali johdetaan sisääntulomuuntimelle 23 ja lähtevä signaali kerätään ulostulomuuntimelle 24.
Sisääntulomuunnin muuntaa sähköisen signaalin pieniksi akustisiksi aalloiksi, jotka muunnetaan sähköiseksi signaaliksi ulostulomuuntimessa. Tavallisesti rakenne on hermeettisesti kapseloitu. Esillä oleva keksintö korvaa hermeettisen kapseloinnin ohuella i 15 suojaavalla kerroksella, joka kasvatetaan SAW -rakenteen pinnalle esillä olevalla menetelmällä. Täten suojattavassa rakenteessa voidaan soveltaa halvempia kapselointiprosesseja viimeistellyn SAW -rakenteen saamiseksi.
Yksittäiskiekkoreaktorit, monikiekkoreaktorit tai reaktorit, joissa on muita yhdessä tasossa 20 olevia tai pystysuoria substraatin pitimiä sekä panosreaktorit ovat esimerkkejä ALD - • · · • V reaktorityypeistä, jossa tämä matalan lämpötilan prosessin keksintö voidaan hyödyntää.
• · *.*·: Orgaaniset aurinkokennot päällystetään suojaavalla kerroksella edullisesti panosreaktorissa | • · · : V valmistuskustannukset substraattia kohti alhaisina, • · • * # • · • · • · · • · ··.’ 25 Keksintöä valotetaan edelleen seuraavien ei-rajoittavien esimerkkien avulla.
• · « • · • · ♦ · · • · * · ···, » • · » • · • * • * * # · · * · • · • · » • · * · • · · • · · • · · * · • · ' ! | 8 118014
Esimerkki 1: AI2O3-ohutkalvojen kasvattaminen käyttäen joko vettä tai otsonia happilähteenä
Tapaus A: AI2O3-kalvon kasvattaminen veden tai hapen ollessa happilähteenä A1203 -ohutkavot kasvatettiin virtaustyyppisessä ALD -reaktorissa mallia F-120, jonka oli 5 valmistanut ASM Microchemistry Oy, Suomi. Trimetyylialumiinia (CH3)3A1, joka tunnetaan myös TMA:na, käytettiin alumiininlähdekemikaalina. Puhdistettu vesi oli hapen lähdekemikaali. Lähdekemikaalit vietiin reaktoriin ulkoisista lähteistä.
Substraatti saatettiin reaktorillaan ja reaktori pumpattiin vakuumiin mekaanisella 10 vakuumipumpulla. Seuraavaksi reaktiotilan paine asetettiin alueelle noin 5-10 mbar typpivirtauksen avulla. Sitten reaktiotila kuumennettiin kasvatuslämpötilaan.
Ohutkalvoja kasvatettiin 100 °C and 300 °C lämpötiloissa. Lähdekemikaalit pulssitettiin reaktiokammioon ALD -periaatteiden mukaisesti, eli pulssit erotettiin toisistaan inertillä 15 kaasulla lähdekemikaalien reaktiotilassa kaasufaasissa tapahtuvan sekoittumisen estämiseksi. Vain pintareaktioiden sallittiin tapahtuvan.
Pulssitusjaksot olivat seuraavat: TMA pulssi 0,5 s N2 huuhtelu 1,0 s 20 H20 pulssi 0,4 s • · N? huuhtelu 1,5 s * * « ^ • ♦ • · · ·.'·!' • *
,·. · Al203:n kasvunopeus kasvatettaessa TMA:lla ja H20:lla oli 0,8 Ä/jakso 300 °C
* · · .·♦·. lämpötilassa ja 0,5 Ä/jakso 100 °C lämpötilassa. Taitekerroin oli 1,64 kalvolle, joka oli • t .·*·. 25 kasvatettu 300 °C:ssa ja 1,59 kalvolle joka oli kasvatettu 100 °C:ssa. Kalvot, jotka * · · kasvatettiin 100 °C:ssa alkoivat välittömästi vuotaa sähköisissä mittauksissa ja oli ( mahdotonta mitata täsmällisiä lukuja kapasitanssille tai vuotovirtajännitteelle. Osoittautui, :**’· että kalvot eivät olleet kovin tiheitä.
• « · • · · * * * * · ’ ·.r 30 Tapaus B: AI2O3 -kalvon kasvattaminen käyttäen otsonia hapen lähdeaineena j • ♦ ^ A1203 -ohutkalvot kasvatettiin virtaustyyppisessä ALD -reaktorissa mallia F-120, jonka • ♦ « ’ .-__ " ’· oli valmistanut ASM Microchemistry Oy, Suomi. Trimetyylialumiinia (CH3)3A1, joka tunnetaan myös TMA:na käytettiin alumiinilähdekemikaalina. Otsonia, joka valmistettiin : 9 118014 huoneessa, käytettiin hapen lähdekemikaalina. Lähdekemikaalit vietiin reaktoriin ulkoisista lähteistä.
Substraatti saatettiin reaktiollaan ja reaktori pumpattiin vakuumiin mekaanisella 5 vakuumipumpulla. Seuraavaksi reaktiotilan paine asetettiin alueelle noin 5-10 mbar typpivirtauksen avulla. Sitten reaktiotila kuumennettiin kasvatuslämpötilaan. i
Ohutkalvoja kasvatettiin lämpötiloissa 100 °C ja 300 °C. Lähdekemikaalit pulssitettiin reaktiokammioon ALD -periaatteiden mukaisesti kuten tapauksessa A.
10
Pulssitusjaksot olivat seuraavat: TMA pulssi 0,5 s N2 huuhtelu 1,0 s | 03 pulssi 4,0 s N2 huuhtelu 1,5 s 15 ' ;
Yhteenvetona, muodostuneilla ohutkalvoilla oli seuraavat ominaisuudet.
Tapaus B Tapaus B Tapaus A Tapaus A ,
Kasvatuslämpöti la 100 °C 300 °C 100 °C 300 °C !
Kasvunopeus 0,8 0,8 0,5 0,8 • *,· (Ä/jakso)
Taitekerroin 1,58 1,66 1,59 1,64 : • · · __________________ - | - ^^ : *,· Dielektrisyysvakio 6,0 8,3 * .·. :-----i 4 *. *: Läpilyöntijännite 4,5 6,0 * * · · (MV/cm) • · · * · ------ \ _ _ 1 —.......- 1 1 • · • · · * Mittausta ei voitu suorittaa, koska outkalvo oli sähköisesti hyvin vuotava.
• · • ·· _Λ 20 • · *:* TOF-ERDA -analyysi, joka tehtiin TMA:sta ja otsonista 100 °C:ssa kasvatetulle kalvolle • · · : *.* paljasti, että kalvossa oli 6,0% hiiltä ja 15,8% vetyä.
• · · • · • * • * · * • · ·,*·· Vertailu tapausten Aja B välillä osoittaa, että veden korvaaminen otsonilla oli hyödyllistä 25 matalassa lämpötilassa tapahtuvassa kasvatuksessa.
: ,o 118014
Esimerkki 2: AEO3 -ohutkalvon kasvattaminen orgaanisen kerroksen päälle käyttäen otsonia happilähteenä
Substraatti, jossa oli orgaaninen ohutkalvo saatettiin F-450 -mallisen ALD -reaktorin, 5 jonka oli valmistanut ASM Microchemistry Oy, Suomi, reaktiotilaan. Reaktiotilan paine asetettiin noin 5-10 mbariiin mekaanisen vakuumipumpun ja typpikaasun virtauksen avulla, jonka typen puhtaudeksi oli ilmoitettu 99,9999%. Sitten reaktiotilan lämpötila asetettiin noin 110 °C:seen. TMA höyrystettiin ulkoisesta lähteestä ja otsoni, joka : valmistettiin huoneessa, vietiin vuorotellen reaktiotilaan ja saatettiin kosketuksiin pinnan 10 kanssa pulssitusaikojen ollessa 1 s TMArlle ja 4 s 03:lle. Lähdekemikaalipulssit erotettiin toisistaan typpikaasulla. Huuhteluajat kestivät 1,0 - 1,5 s jokaisen lähdekemikaalipulssin jälkeen. Pulssitusjakso, joka koostui näistä kahdesta Iähdekemikaalipulssista ja kahdesta huuhtelujaksosta, toistettiin, kunnes 50 nm:n paksuinen alumiinioksidikerros oli saatu substraatille. Tyypillisesti kasvatukseen tarvittiin noin 600 pulssitusjaksoa. Tulos oli, ettei 15 orgaaninen kerros ollut kärsinyt kasvatusprosessissa. Lisäksi passivoitua rakennetta voitiin säilyttää tavanomaisessa huoneilmassa ilman, että orgaanisen kerroksen toimintakykyä tuhottiin.
.il • · • · Ψ * * · * · ··*' • · • · · • · * * • * * · • * * » • ·* ***\ i • « , ! • · · • · · • · • * **· ·**·· * · • · * • · * ···*· • · * ·· * ♦ · • · • • * f • « t • · * * · · • * • · *· · j

Claims (14)

1. Menetelmä alumiinioksidiohutkalvon valmistamiseksi substraatille ALD-menetelmällä, jonka mukaan 5. kaasufaasissa oleva organoalumiiniybdiste kiinnitetään kasvatussubstraatille ja j ' sidottu organoalumiiniyhdiste muutetaan alumiinioksidiksi, tunnettu siitä, että - kiinnitetty organoalumiiniyhdiste muutetaan alumiinioksidiksi saattamalla se kosketuksiin hapen reaktiivisen kaasumaisen lähteen kanssa, joka on muu kuin vesi ja 10 - substraatti pidetään kasvatusprosessin aikana lämpötilassa, joka on vähemmän kuin 190 °C. ‘‘
2. Patenttivaatimuksen 1 mukainen menetelmä, tunnettu siitä, että, kaasufaasissa oleva organoalumiiniyhdiste sisältää ainakin yhden alkyyliryhmän, joka on sitoutunut alumiiniin.
3. Patenttivaatimusten 1 tai 2 mukainen menetelmä, tunnettu siitä, että kaasufaasissa olevan organoalumiiniyhdisteen kaava on l'aix2 (I) ..... tai Ι.ΊλΛΙΧ (II) ; • · tai L'L2L3A1 (III) • 1 · 20 jossa X on valittu ryhmästä H, F, Cl, B, I, RCHO • « • · : jossa RCHO on alkoksiryhinä ja ; * 2 1213 ... L,L jaL ovat lmeaansia tai haaroittuneita tyydytettyjä tai tyydyttymättö- • · · ·***. miä hiilivetyjä.
• · · , 4. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että reaktii- • · · ' • · » !!! 25 vinen hapen lähde on otsoni, orgaaninen otsonidi, happiatomi, jossa on parittomia • · • 1 X1 elektroneja, orgaaninen peroksidi, orgaanisia perhappoja tai seos tai yhdistelmä kah- ·2 * · *···1 desta tai useammasta edellä mainitusta. • 1 · · · • · .···.
5. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että reaktii- • « ·«· vinen hapen lähde sisältää yhden tai useamman orgaanisen peroksidin jolla on kaava • ·
30 R'-O-O-R2 (IV) · I 2 • . . 1 118014 jossa R1 on lineaarinen, haaroittunut tai syklinen orgaaninen ligandi ja jossa R2 on vety tai lineaarinen, haaroittunut tai syklinen orgaaninen ligandi.
6. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että sub-straatti pidetään prosessin aikana edullisesti lämpötilassa, joka on vähemmän kuin 160 5 °C. '
7. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että substraatti pidetään prosessin aikana edullisimmin lämpötilassa, joka on vähemmän kuin 130 °C.
8. Patenttivaatimuksen 1 mukainen menetelmä, tunnettu siitä, että alumiinioksidin pak- 10 suus on noin 5 - 1000 nm. '·
9. Patenttivaatimuksen 8 mukainen menetelmä, tunnettu siitä, että alumiinioksidikalvon '3 paksuus on 25 - 75 nm. f
10. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että substraatti sisältää orgaanisen valoa emittoivan kerroksen.
11. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että sub straatti sisältää pinta-akustisen aallon suodattimen. • ·*# • * ♦ ·
12. Jonkin edellisen patenttivaatimuksen mukainen menetelmä, tunnettu siitä, että sub- ·· · • straatti sisältää orgaanisen aurinkokennon kerroksen. i * · * · # » · .···.
13. Sellaista materiaalia sisältävä substraatti, joka on herkkä ympäröivässä ilmakehässä • * ♦ * · .···. 20 olevalle kosteudelle tai muulle kaasumaiselle tai nestemäiselle materiaalille ja jolle on • · ··* muodostettu alumiinioksidikerros yksittäiseksi suojaavaksi kerrokseksi vaatimuksen 1 . menetelmällä. • · ♦ • · · • · · • · • · *Γ
14. Sellaista materiaalia sisältävä substraatti, joka on herkkä ympäröivässä ilmakehässä ; • · · ·. *...· olevalle kosteudelle tai muulle kaasumaiselle tai nestemäiselle materiaalille ja jolle on * • « * · · * * 25 muodostettu alumiinioksidikerros täydentäväksi suojaavaksi kerrokseksi vaatimuksen 1 • M Jmenetelmällä toisen samanlaisen kerroksen päälle, joka on kasvatettu toisella kasva-tusmenctelmällä kuten CVD tai PVD. j 118014 13 r'
FI20002323A 2000-10-23 2000-10-23 Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa FI118014B (fi)

Priority Applications (6)

Application Number Priority Date Filing Date Title
FI20002323A FI118014B (fi) 2000-10-23 2000-10-23 Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
TW089122263A TW548239B (en) 2000-10-23 2000-10-23 Process for producing aluminium oxide films at low temperatures
JP2001324382A JP4232944B2 (ja) 2000-10-23 2001-10-23 酸化アルミニウムフィルムの低温での製造方法
US10/003,749 US6743475B2 (en) 2000-10-23 2001-10-23 Process for producing aluminum oxide films at low temperatures
US10/829,894 US6884465B2 (en) 2000-10-23 2004-04-21 Process for producing aluminum oxide films at low temperatures
US11/009,626 US7476420B2 (en) 2000-10-23 2004-11-19 Process for producing metal oxide films at low temperatures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20002323A FI118014B (fi) 2000-10-23 2000-10-23 Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
FI20002323 2000-10-23

Publications (3)

Publication Number Publication Date
FI20002323A0 FI20002323A0 (fi) 2000-10-23
FI20002323A FI20002323A (fi) 2002-04-24
FI118014B true FI118014B (fi) 2007-05-31

Family

ID=8559342

Family Applications (1)

Application Number Title Priority Date Filing Date
FI20002323A FI118014B (fi) 2000-10-23 2000-10-23 Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa

Country Status (4)

Country Link
US (2) US6743475B2 (fi)
JP (1) JP4232944B2 (fi)
FI (1) FI118014B (fi)
TW (1) TW548239B (fi)

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6926572B2 (en) * 2002-01-25 2005-08-09 Electronics And Telecommunications Research Institute Flat panel display device and method of forming passivation film in the flat panel display device
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
WO2004011887A2 (en) 2002-03-25 2004-02-05 Fleming And Associates, Inc. Flow stabilizer for flow bench
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6855594B1 (en) * 2003-08-06 2005-02-15 Micron Technology, Inc. Methods of forming capacitors
US8304019B1 (en) * 2004-02-19 2012-11-06 Nanosolar Inc. Roll-to-roll atomic layer deposition method and system
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
US7160578B2 (en) 2004-03-10 2007-01-09 Pilkington North America Method for depositing aluminum oxide coatings on flat glass
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7812522B2 (en) * 2004-07-22 2010-10-12 Ifire Ip Corporation Aluminum oxide and aluminum oxynitride layers for use with phosphors for electroluminescent displays
JP5464775B2 (ja) * 2004-11-19 2014-04-09 エイエスエム インターナショナル エヌ.ヴェー. 低温での金属酸化物膜の製造方法
KR100867038B1 (ko) * 2005-03-02 2008-11-04 삼성전기주식회사 커패시터 내장형 인쇄회로기판 및 그 제조방법
WO2006133267A2 (en) 2005-06-06 2006-12-14 Monogram Biosciences, Inc. Methods and compositions for determining altered susceptibility of hiv-1 to anti-hiv drugs
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US7833437B2 (en) * 2006-01-26 2010-11-16 Global Tungsten & Powders Corp. Moisture-resistant electroluminescent phosphor with high initial brightness and method of making
US8298666B2 (en) 2006-01-26 2012-10-30 Global Tungsten & Powders Corp. Moisture resistant electroluminescent phosphor with high initial brightness and method of making
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080100202A1 (en) * 2006-11-01 2008-05-01 Cok Ronald S Process for forming oled conductive protective layer
US20080138538A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US20080138624A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7781031B2 (en) * 2006-12-06 2010-08-24 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
DE102007054384A1 (de) * 2007-11-14 2009-05-20 Institut Für Solarenergieforschung Gmbh Verfahren zum Herstellen einer Solarzelle mit einer oberflächenpassivierenden Dielektrikumdoppelschicht und entsprechende Solarzelle
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8561973B2 (en) * 2009-01-20 2013-10-22 Delaware Capital Formation, Inc. Pull action clamp with toggle lock
DE102009024411A1 (de) 2009-03-24 2010-09-30 Osram Opto Semiconductors Gmbh Dünnschichtverkapselung für ein optoelektronisches Bauelement, Verfahren zu dessen Herstellung und optoelektronisches Bauelement
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device
CN103079807A (zh) 2010-08-13 2013-05-01 旭硝子株式会社 层叠体和层叠体的制造方法
DE102010036256B4 (de) * 2010-09-03 2018-09-27 Epcos Ag Mikroakustisches Bauelement und Herstellungsverfahren
JP2012160979A (ja) * 2011-02-01 2012-08-23 Taiyo Yuden Co Ltd 弾性波デバイス及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI427180B (zh) * 2011-08-26 2014-02-21 Atomic Energy Council 三氧化二鋁薄膜製備方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9472693B2 (en) 2012-06-07 2016-10-18 Samsung Electronics Co., Ltd. Solar cell and method for manufacturing the same
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014111492A1 (en) * 2013-01-16 2014-07-24 Universiteit Gent Methods for obtaining hydrophilic fluoropolymers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6361327B2 (ja) 2014-07-02 2018-07-25 セイコーエプソン株式会社 電気光学装置、及び電子機器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102592325B1 (ko) 2016-07-14 2023-10-20 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102627458B1 (ko) 2016-09-13 2024-01-19 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
FR3059340B1 (fr) * 2016-11-29 2019-07-05 Total Sa Procede de depot de couche de chalcogenure sur un substrat
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20200127261A (ko) * 2018-03-26 2020-11-10 램 리써치 코포레이션 탄소 막들의 원자 층 증착
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108531891A (zh) * 2018-05-18 2018-09-14 南京工业大学 一种利用分子和原子层沉积技术制备气体过滤膜的方法与应用
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20200199744A1 (en) * 2018-12-24 2020-06-25 Nanya Technology Corporation Method for preparing multilayer structure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11932938B2 (en) 2019-08-01 2024-03-19 Applied Materials, Inc. Corrosion resistant film on a chamber component and methods of depositing thereof
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112850639A (zh) * 2021-01-26 2021-05-28 嘉庚创新实验室 一种微纳器件聚合物、制备方法及应用
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP4556282B2 (ja) 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
US20020003403A1 (en) 2000-04-25 2002-01-10 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US20010052752A1 (en) 2000-04-25 2001-12-20 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
CA2452656C (en) 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer

Also Published As

Publication number Publication date
JP4232944B2 (ja) 2009-03-04
TW548239B (en) 2003-08-21
FI20002323A (fi) 2002-04-24
JP2002161353A (ja) 2002-06-04
US6743475B2 (en) 2004-06-01
FI20002323A0 (fi) 2000-10-23
US20040197476A1 (en) 2004-10-07
US6884465B2 (en) 2005-04-26
US20020106451A1 (en) 2002-08-08

Similar Documents

Publication Publication Date Title
FI118014B (fi) Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US7476420B2 (en) Process for producing metal oxide films at low temperatures
JP5464775B2 (ja) 低温での金属酸化物膜の製造方法
Dameron et al. Gas diffusion barriers on polymers using multilayers fabricated by Al2O3 and rapid SiO2 atomic layer deposition
FI117979B (fi) Menetelmä oksidiohutkalvojen valmistamiseksi
US8445937B2 (en) Barrier films for plastic substrates fabricated by atomic layer deposition
US20220018022A1 (en) Multilayer encapsulation thin-film
Yang et al. Realization of thin film encapsulation by atomic layer deposition of Al2O3 at low temperature
CN101697343B (zh) 一种薄膜封装方法
US20180062183A1 (en) Sofc interconnect barriers and methods of making same using masks
CN111769206A (zh) 用于衬底和装置的薄膜渗透屏障***和制造所述薄膜渗透屏障***的方法
US20130337259A1 (en) Gas permeation barrier material
KR101465212B1 (ko) 초극유연성 봉지 박막
Xiao et al. The improvement of thin film barrier performances of organic–inorganic hybrid nanolaminates employing a low-temperature MLD/ALD method
TW201032372A (en) Composite layer and fabrication method thereof
EP3978646A1 (en) Method for preparing perovskite solar cell absorbing layer by means of chemical vapor deposition
JP2014534336A (ja) 大気圧プラズマ法によるコーティング作製方法
JP2005235743A (ja) 拡散障壁を有する複合材物品及び該物品を組み込んだ素子
CN105810569A (zh) 一种硫化钨薄膜及其制备方法
KR101264257B1 (ko) 저주파 peald 장비를 이용한 플라스틱 기판용 배리어 필름 제조방법
EP2500167B1 (en) Method for producing moisture-resistant film
KR101287694B1 (ko) 투광성 경질 박막
WO2013188613A1 (en) Gas permeation barrier material
KR20180031982A (ko) 박막 증착장치 및 복합막 증착방법
Leskela et al. Atomic layer deposited protective layers

Legal Events

Date Code Title Description
FG Patent granted

Ref document number: 118014

Country of ref document: FI

MA Patent expired