JP3397501B2 - 研磨剤および研磨方法 - Google Patents

研磨剤および研磨方法

Info

Publication number
JP3397501B2
JP3397501B2 JP05529095A JP5529095A JP3397501B2 JP 3397501 B2 JP3397501 B2 JP 3397501B2 JP 05529095 A JP05529095 A JP 05529095A JP 5529095 A JP5529095 A JP 5529095A JP 3397501 B2 JP3397501 B2 JP 3397501B2
Authority
JP
Japan
Prior art keywords
polishing
film
metal
agent
main component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP05529095A
Other languages
English (en)
Other versions
JPH0883780A (ja
Inventor
泰孝 佐々木
尚史 金子
伸夫 早坂
英明 平林
勝敏 樋口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP05529095A priority Critical patent/JP3397501B2/ja
Priority to KR1019950020275A priority patent/KR100188365B1/ko
Priority to US08/500,753 priority patent/US5770095A/en
Publication of JPH0883780A publication Critical patent/JPH0883780A/ja
Application granted granted Critical
Publication of JP3397501B2 publication Critical patent/JP3397501B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は研磨剤および研磨方法に
関し、特に半導体デバイス製造工程等の微細加工工程等
において使用される研磨剤および研磨方法に関する。
【0002】
【従来の技術】近年、LSIの高集積化、高性能化のた
め、様々な微細加工技術が研究、開発されている。化学
機械的研磨方法(ケミカルメカニカルポリッシング、以
下CMPと省略する)は、そのような厳しい微細化の要
求を満たすために研究されている技術の一つであり、こ
れからの半導体デバイス製造工程、特に、多層配線形成
工程における層間絶縁膜の平坦化、金属プラグ形成、埋
め込み配線形成において必須の技術である。この方法
は、例えば、C.W.Kaantaらや、K.D.Beyer らによって提
案されている(VMIC Conference 1991 p.144 C.W.Kaanta
et.al.,U.S.PatentNo.4944836 Beyer et.al.) 。
【0003】また、LSIの高速化の観点から、従来よ
り上層配線に使用されているAlよりも低い抵抗を有す
るCuが新たな配線材料として検討されている。Cuは
反応性イオンエッチングによる加工が困難であるので、
Cuを配線材料として用いる場合にCMP技術を利用し
た埋め込み配線形成方法を採用することが検討されてい
る。このような埋め込み配線形成方法は、例えば特開平
2−278822号公報等により開示されている。この
公報においては、研磨粒子、遷移金属のキレート塩、お
よびこの塩の溶剤からなる研磨スラリーを用いてCuを
研磨することが開示されている。
【0004】
【発明が解決しようとする課題】しかしながら、上記の
埋め込み配線形成方法によりCuに傷を与えることなく
高速で研磨しようとする場合には、研磨スラリー中にお
けるCuをエッチングする成分の量を多くしてCuのエ
ッチングを強くする必要がある。このようにCuをエッ
チングする成分の量を多くすると、エッチングが等方的
に進行して埋め込んだCuまで溶解してしまい、配線に
ディッシングが発生する。このため、信頼性の高いLS
I配線を形成するのが困難である。
【0005】また、CMP技術を利用したW配線形成に
おいても、ディッシングが発生するので、信頼性の高い
LSI配線を形成するのが困難である。さらに、CMP
技術を利用したW(タングステン)配線形成においても
研磨速度が遅い。
【0006】本発明はかかる点に鑑みてなされたもので
あり、ディッシングの発生を抑制し、高い研磨速度で信
頼性の高い導体膜を形成することができる研磨剤および
研磨方法を提供することを目的とする。
【0007】
【課題を解決するための手段】一般に、凹凸形状を有す
る基体に研磨処理を施すことにより、埋め込み金属配
線、ビア、あるいはコンタクトを形成する場合、被研磨
膜の凸部のみを研磨し、凹部のみに目的とする膜を残存
させることが重要である。この研磨処理を機械的研磨の
みにより行うと、凹部のみに目的とする膜を効率よく残
存させることできる、すなわち高い選択比が得られる
が、機械的研磨は研磨速度が遅く、半導体デバイス製造
工程で必要とされるスループットを確保できない。一
方、この研磨処理を化学的研磨のみにより行うと、研磨
速度は速いが、エッチングが等方的に進むために、凹部
のみに目的とする膜を残存させることができない。そこ
で、本発明者らは、機械的研磨と化学的研磨とを組み合
わせたCMPを詳細に検討して、研磨剤のうち機械的研
磨に寄与する成分と化学的研磨に寄与する成分を明確に
した。そして本発明者らは、この考察に基づいて、目的
とする膜の材料に特定の化学試薬を含有する研磨剤を用
いてCMPを行うことにより、目的とする膜上に保護層
を形成して等方的な化学的研磨を抑制し、この保護層を
被研磨膜の凸部表面において機械的研磨により容易に除
去して、信頼性の高い導体膜を形成することができるこ
とを見出だして本発明をするに至った。
【0008】すなわち、本発明は、表面に凹部を有する
基体上に前記凹部を充填するように金属を主成分とする
材料からなる膜を形成する工程と、前記金属を主成分と
する材料と反応することにより前記膜表面に保護膜を形
成する化学試薬および前記金属を主成分とする材料のエ
ッチング剤を含有する研磨剤を用いた化学機械的研磨方
法により、前記膜を研磨して前記凹部内に導体膜を形成
する工程とを具備することを特徴とする研磨方法を提供
する。
【0009】ここで、基体としては、シリコン基板、T
FT−LCD用のガラス基板、GaAs等の化合物半導
体からなる基板等を用いることができる。基体表面の凹
部は、埋め込み金属配線の配線部、ビア、またはコンタ
クトホールとして用いられる。
【0010】金属を主成分とする材料としては、Cu、
Cu合金、Ag、Ag合金、Al、Al合金、W、W合
金、これらの酸化物、これらの金属に少量の不純物や添
加元素が含まれているもの等を用いることができる。ま
た、この材料と反応することにより保護膜を形成する化
学試薬としては、ベンゾトリアゾール(以下、BTAと
省略する)、BTA誘導体、例えばBTAのベンゼン環
の一つの水素原子をメチル基で置換したもの(トリルト
リアゾール(TTA))等や、これらを含む混合物、チ
オ尿素、アゾール、中でも特にベンズイミダゾール、ト
リアゾール、サリチルアルドキシム、クペロン、エチレ
ンジアミン、イオウを含むアミノ酸、特にシスティン、
p−アミノベンズアルデヒド、ハロ酢酸、チオール類、
例えばドデシルメルカプタン、オクタンホスホン酸等の
ホスホン酸類、グルコースやフルクトース等の単糖類、
およびこれらの物質の誘導体またはそれらの混合物、N
−ベンゾイル−N−フェニルヒドロキシルアミン(以
下、BPAと省略する)、BPA誘導体等を用いること
ができる。また、化学試薬には、疎水部分を有する(疎
水性)有機化合物が好ましい。BTA、BTA誘導体、
例えばBPAのベンゼン環の一つの水素原子をメチル基
で置換したもの等や、これらを含む混合物は、金属を主
成分とする材料がCuまたはCu合金である場合に特に
効果を発揮する。また、BPA、BPA誘導体、これら
の混合物は、金属を主成分とする材料がWまたはW合金
である場合に特に効果を発揮する。
【0011】金属を主成分とする材料のエッチング剤
は、その材料により適宜選択することができる。例え
ば、グリシン等のアミノ酢酸、アミド硫酸、もしくはこ
れらの混合酸と、過酸化水素(H22 )、硝酸、次亜
塩素酸、オゾン水等の酸化剤とを含有するエッチング剤
を用いる。また、硝酸、アンモニア、アンモニウム塩
類、例えば過硫酸アンモニウム、硝酸アンモニウム、塩
化アンモニウム等、クロム酸等の水溶液を用いることも
できる。これらのエッチング剤は、金属を主成分とする
材料がCuまたはCu合金である場合に用いることが特
に好ましい。
【0012】また、金属を主成分とする材料がWまたは
W合金である場合には、エッチング剤としては、過酸化
水素水溶液、硝酸鉄水溶液、フェリシアン化カリウムと
エチレンジアミンとの混合水溶液、フェリシアン化カリ
ウムとリン酸との混合水溶液等を用いることができる。
【0013】金属を主成分とする材料と化学試薬とが反
応して形成される保護膜は、金属のキレート化合物また
は錯体化合物を包含することが好ましい。本発明におい
ては、CMPは上記化学試薬およびエッチング剤を含有
する研磨剤を用いて行ってもよいし、また、第1段階と
して上記エッチング剤を含有し、上記化学試薬を含有し
ない研磨剤を用いて行い、その後第2段階として上記化
学試薬を添加した前記研磨剤を用いて行ってもよい。後
者の場合、CMPにおいて化学試薬を研磨剤に添加する
方法としては、研磨剤供給用のノズルを複数設けてお
き、第1段階において使用する研磨剤用のノズルと、第
2段階において使用する研磨剤用のノズルを区別して用
いる方法や、研磨剤用のノズルとは別のノズルあるいは
研磨定盤に設けた供給口から化学試薬を含む水溶液を供
給して研磨定盤上で研磨剤と混合する方法が挙げられ
る。
【0014】また、本発明においては、研磨剤のうち化
学的研磨に寄与する成分が多いので、研磨剤と被研磨膜
とが接触する部分を加熱することにより、さらに高い研
磨速度を得ることができる。
【0015】また、本発明は、上記研磨方法を達成する
ために、金属を主成分とする材料と反応することによ
り、前記材料からなる膜表面に保護膜を形成する化学試
薬と、前記材料のエッチング剤とを含有することを特徴
とする研磨剤を提供する。ここで、研磨剤としては、ア
ミノ酢酸および/またはアミド硫酸、酸化剤、水および
ベンゾトリアゾールあるいはその誘導体を含有する研磨
剤が好ましい。
【0016】
【作用】本発明は、表面に凹部を有する基体上に前記凹
部を充填するように金属を主成分とする材料からなる膜
を形成し、前記金属を主成分とする材料と反応すること
により前記膜表面に保護膜を形成する化学試薬および前
記金属を主成分とする材料のエッチング剤を含有する研
磨剤を用いた化学機械的研磨方法により、前記膜を研磨
して前記凹部内に導体膜を形成することを特徴としてい
る。
【0017】以下、金属を主成分とする材料がCu系材
料であり、化学試薬がBTAである例を用いて本発明の
作用を詳細に説明する。Cu膜にBTAを接触させた場
合、Cu膜表面のCuまたはCu膜上に形成された自然
酸化膜のCuOもしくはCu2 OとBTAとが反応して
Cu(I)−BTAあるいはCu(II)−BTAで表さ
れるCuキレート化合物からなる膜がCu膜または自然
酸化膜上に強固に形成される。この膜は、Cuを腐食さ
せるような雰囲気中もしくは溶液中、すなわちCuを高
速でエッチングできる化学腐食域を有するエッチング剤
の存在下において酸化または腐食を抑制する保護膜とし
て作用する。また、この保護膜は、CMPの際に研磨粒
子もしくは研磨パッドと物理的・機械的に接触すること
により容易にしかも均一に除去される。このような保護
膜がCuの酸化または腐食を抑制することは従来より知
られていたが、CMPの際に研磨粒子もしくは研磨パッ
ドと物理的・機械的に接触することにより容易にしかも
均一に除去されることは本発明者らの研究により初めて
明らかになった。
【0018】この保護膜は、図2(A)におけるCu膜
25上全面に形成される。凸部に形成された保護膜は研
磨粒子もしくは研磨パッドとの接触により容易に除去さ
れる。これにより露出したCu膜25の凸部はエッチン
グ剤により高速にエッチングされる。このとき、Cu膜
25の凹部に充填されているCu膜25は表面に保護膜
が形成されているので、ほとんどエッチングされない。
このようにして基体の凹凸形状に追従していたCu膜2
5の段差が小さくなる。その後、Cu膜25の凹部上に
形成された保護膜は、研磨粒子もしくは研磨パッドと接
触することにより除去される。この段階においては、C
u膜25には段差がほとんどない状態であるので、凹部
に充填されているCu膜25が露出してエッチングが進
んでもディッシングは起こらない。その結果、高速でC
uを研磨して凹部のみに効率よくCuを残存させること
ができる。
【0019】なお、上記保護膜を除去する方法として
は、研磨粒子もしくは研磨パッドと接触させる方法の他
に、金属製あるいは樹脂製のブラシを接触させる方法、
研磨剤を所定の圧力で吹き付ける方法が挙げられる。
【0020】一方、金属を主成分とする材料がW系材料
であり、化学試薬がBPAである場合にも上記と同様に
説明することができる。すなわち、W膜にBPAを接触
させた場合、WやWOxとBPAとが反応してW−BP
Aで表されるWキレート化合物からなる膜がW膜上に強
固に形成される。この膜は、Wを腐食させるような雰囲
気中もしくは溶液中、すなわちWを高速でエッチングで
きる化学腐食域を有するエッチング剤の存在下において
酸化または腐食を抑制する保護膜として作用する。ま
た、この保護膜は、CMPの際に研磨粒子もしくは研磨
パッドと物理的・機械的に接触することにより容易にし
かも均一に除去される。
【0021】
【実施例】以下、本発明を図面を参照して具体的に説明
する。 (実施例1)図1は本発明の実施例において使用する研
磨装置を示す概略図である。図中11は回転可能な真空
チャックホルダを示す。真空チャックホルダ11には、
被研磨基板12がその被研磨面を研磨パッド13と対向
するようにして真空チャックされている。研磨パッド1
3は、真空チャックホルダ11の下方に配置された回転
可能な研磨定盤14上に取り付けられている。また、図
示しない研磨剤タンクに接続された研磨剤供給配管15
の吐出部が研磨パッド13近傍まで延出されている。こ
の研磨剤供給配管15は、研磨剤16の供給量を制御す
る手段を備えている。なお、研磨パッド13には、樹脂
含浸不織布からなるものを用いた。
【0022】図2(A)は、本実施例で使用する被研磨
基板12を示す断面図である。この被研磨基板12は次
のようにして作製する。まず、シリコン基板21上に厚
さ1μm程度のシリコン酸化膜22を形成し、その上に
直流マグネトロンスパッタリング法により厚さ約10n
mの炭素膜23を形成する。次いで、通常のフォトリソ
グラフィー工程および反応性イオンエッチング工程によ
り、炭素膜23およびシリコン酸化膜22をパターニン
グして、シリコン酸化膜22に幅0.4〜10μm、深
さ0.4μmの配線用溝および接続配線用開孔等を形成
する。次いで、直流マグネトロンスパッタリング法によ
り厚さ50nmの窒化チタン膜24を形成し、引き続き
直流マグネトロンスパッタリング法により厚さ約600
nmのCu膜25を形成する。図2(A)に示すよう
に、CMP直前のCu膜25は基体であるシリコン酸化
膜22に設けられた段差に追従しており凹凸形状を有す
る。
【0023】このようにして作製した被研磨基板12を
図1に示す研磨装置を用いてCMPを行い、配線用溝お
よび接続配線用開孔以外の余剰なCu膜25を除去して
Cuの埋め込み配線およびCuプラグを形成した。CM
Pに使用した研磨剤は、0.12モル/リットルのグリ
シン(C252 N)水溶液と0.44モル/リット
ルの過酸化水素水(H22 )との混合溶液(Cuのエ
ッチング剤)に、研磨粒子としてシリカ粒子(平均粒径
30nm)を5.3重量%で分散させ、さらに0.00
1モル/リットルのベンゾトリアゾール(C65
3 )(化学試薬)を添加してなるものである。また、研
磨条件は、研磨圧力300gf/cm2 、研磨定盤14
および真空チャックホルダ11の回転数100rpmと
し、研磨定盤の温度を室温25℃で一定になるようにし
た。
【0024】CMPの結果、研磨速度は約120nm/
分であり、非常に高速であった。また、Cu埋め込み配
線およびCuプラグを形成するために、Cu膜25と共
にCu膜25の凸部の上に設けられている窒化チタン膜
24も除去しなければならない。窒化チタン膜24の研
磨速度はCu膜25の研磨速度より遅く約30nm/分
であるが、本発明の作用として前述したように、Cu膜
25の研磨が窒化チタン膜24の研磨よりも僅かに進ん
だ時点で窒化チタン膜24部分と比較してCu膜25の
部分は凹部となるので、Cu膜25の表面上に保護膜が
形成されてCu膜の研磨がそれ以上進まない。このた
め、窒化チタン膜24も良好に除去することができた。
また、CMP後のCu埋め込み配線およびCuプラグの
表面を走査型電子顕微鏡で観察したところ、図2(B)
に示すように、CMPにより発生したと思われる傷等は
全く見られなかった。
【0025】なお、CMPにおいてCu表面は水と接触
するので、Cu膜25の表面にはCu、CuO、Cu2
O、Cu(OH)x等が混在すると考えられるが、BT
Aはいずれの物質ともキレート化合物を形成して保護膜
を設ける。
【0026】次に、BTAを添加した研磨剤を用いて、
Cuに対する研磨速度の温度依存性を調べた。このと
き、研磨圧力は400gf/cm2 とし、研磨定盤およ
び真空チャックホルダの回転数は共に60rpmとし
た。研磨速度の対数を縦軸、温度の逆数(1/T)を横
軸にしてプロットした結果を図3に示す。図3から明ら
かなように、温度約0℃から約100℃までにおいて、
研磨速度の対する温度の逆数(1/T)とは、ほぼ一直
線上にプロットされ、その傾きは負となる。指数に比例
している。したがって、研磨速度は主としてCMP中に
起こる何らかの化学反応によって律速されており、物理
的・機械的な要素にはほとんど影響されないと考えられ
る。このことは、Cu膜25表面に形成される保護膜
が、CMPにおいて研磨粒子もしくは研磨パッドによる
物理的・機械的作用により容易に除去されることを意味
する。
【0027】また、BTAの添加量に対する研磨速度お
よびエッチング速度の変化について調べた。その結果を
図4に示す。図4のグラフにおいて横軸はグリシン0.
12モル/リットルに対するBTAの添加量を表し、縦
軸は研磨速度およびエッチング速度を表す。図4から明
らかなように、BTAを添加しない場合には、研磨速度
は約350nm/分、エッチング速度は約45nm/分
と高いが、BTAを添加していくと研磨速度およびエッ
チング速度は共に指数関数的に減少する。ただし、研磨
速度はBTA0.1モル/リットルでほぼ飽和している
と考えられる。また、Cu膜25を保護するために必要
なBTAの量は、0.001モル/リットル以下のごく
わずかな量でよいことが分かった。
【0028】次に、BTAを0.001モル/リットル
添加した研磨剤を用いて研磨速度の圧力(荷重)依存性
について調べた。このとき、研磨定盤の温度は25℃で
一定になるようにし、研磨定盤および真空チャックホル
ダの回転数は共に60rpmとした。その結果を図5に
示す。図5から明らかなように、研磨速度は研磨圧力に
対して比例関係にあり、その直線は図5のグラフの原点
を通っていると思われる。すなわち、通常のCMPにお
いて適用される研磨圧力の範囲では、研磨速度は研磨圧
力に律速されている、言い換えるとCu膜25表面の保
護膜が研磨粒子もしくは研磨パッドにより物理的・機械
的作用で除去される速度に律速されていると考えられ
る。したがって、研磨圧力を高くすることにより、研磨
速度を図3に示す各温度での研磨速度の値まで上げるこ
とが可能である。
【0029】次に、ディッシング量の配線幅依存性につ
いて調べた。その結果を図6に示す。ここでは、凸部の
Cu膜25および窒化チタン膜24をすべて除去した時
を研磨終了時とした。図6から明らかなように、Cu埋
め込み配線のディッシング値は、配線幅が10μmの配
線中央部で約150nmであり、実用上ほぼ問題のない
程度であった。また、研磨時間をさらに延長しても、デ
ィッシング値はほとんど増加しなかったことを考慮する
と、Cu膜25上に形成された保護膜が効果的に機能し
ていることが分かる。
【0030】本実施例においては、被研磨膜としてCu
膜を用いた場合について説明しているが、Cuに少量の
不純物や添加元素が含有されている場合や、被研磨膜と
してCu以外の金属、例えばAg、Al、W等の膜やこ
れらを主成分とした膜を用いた場合においても同様の効
果が得られる。
【0031】また、本実施例においては、研磨粒子とし
てシリカ粒子のみを用いた場合について説明している
が、研磨粒子としてシリカ粒子と同等あるいはそれ以上
の硬度を有するアルミナ粒子、酸化チタン粒子、酸化ジ
ルコニウム粒子、酸化セリウム粒子、炭化珪素粒子、ダ
イヤモンド粒子等を用いた場合においても同様の効果が
得られる。
【0032】また、本実施例においては、エッチング剤
としてグリシン水溶液および過酸化水素水の混合溶液を
用い、化学試薬としてBTAを用いた場合について説明
しているが、エッチング剤としてアミド硫酸もしくはア
ミノ酢酸とアミド硫酸の混合酸と、過酸化水素(H2
2 )、硝酸、次亜塩素酸、オゾン水等の酸化剤とからな
るもの、硝酸アンモニウム、塩化アンモニウム、クロム
酸等を用いた場合においても同様の効果が得られる。ま
た、化学試薬としては、エッチングする膜材料に応じて
この材料とキレート化合物や錯体化合物を形成する試
薬、例えばBTAの各種誘導体、これらを含む混合物、
チオ尿素、チオ尿素誘導体、クペロン、ベンズイミダゾ
ール、トリアゾール、サリチルアルドキシム、エチレン
ジアミン、システィン、p−アミノベンズアルデヒド、
ハロ酢酸、ドデシルメルカプタン、ホスホン酸類、グル
コースやフルクトース等の単糖類等を用いた場合におい
ても同様の効果が得られる。特に、BTAまたはBTA
の各種誘導体は、硫酸水溶液や塩酸水溶液等を用いる場
合に有効である。
【0033】また、本実施例においては、研磨パッドに
は樹脂含浸不織布からなる研磨パッドを用いたが、同程
度の硬度を有するものであれば良く、例えば、ポリエス
テル、ポリエーテル等の不織布、発砲ポリウレタンパッ
ド、またはそれら2種類以上の異なるパッドを張り合わ
せた研磨パッド等を用いてもよい。なお、上記した各種
物質の誘導体としては、水素部分がアルキル基等に置き
変わったものが特に好ましい。 (比較例1)研磨剤として、0.12モル/リットルの
グリシン水溶液と0.44モル/リットルの過酸化水素
水との混合溶液(Cuのエッチング剤)に、研磨粒子と
してシリカ粒子(平均粒径30nm)を5.3重量%で
分散させたもの、すなわち実施例で使用した研磨剤にお
いてBTAを添加していないものを用いること以外は実
施例と同様にして図2(A)に示す被研磨基板12にC
MPを行った。
【0034】CMPの結果、研磨速度は約250nm/
分であり高速であるが、ディッシング値が実施例の場合
に比べて非常に大きかった。すなわち、最小線幅の0.
4μm配線幅においてはディッシング量が約300nm
であり、5μm以上の配線幅においては配線用溝内のC
uはほとんど消失していた。また、研磨時間をさらに延
長すると、配線用溝および接続配線用開孔内のすべての
Cuが消失した。 (実施例2)図7(A)は、本実施例で使用する被研磨
基板12を示す断面図である。この被研磨基板12は次
のようにして作製する。まず、シリコン基板21上に厚
さ1μm程度のシリコン酸化膜22を形成。次いで、通
常のフォトリソグラフィー工程および反応性イオンエッ
チング工程により、シリコン酸化膜22をパターニング
して、シリコン酸化膜22に幅0.4〜10μm、深さ
0.4μmの配線用溝および接続配線用開孔等を形成す
る。次いで、その上に、直流マグネトロンスパッタリン
グ法により厚さ約20nmのチタン膜31および厚さ約
70nmの窒化チタン膜24を形成し、引き続き六フッ
化タングステン(WF6 )ガスおよび水素ガスの混合ガ
スを用いた熱CVD法により、厚さ約600nmのブラ
ンケットW膜32を形成する。図7(A)に示すよう
に、CMP直前のW膜32は基体であるシリコン酸化膜
22に設けられた段差に追従しており凹凸形状を有す
る。
【0035】このようにして作製した被研磨基板12を
図1に示す研磨装置を用いてCMPを行い、配線用溝お
よび接続配線用開孔以外の余剰なW膜32を除去してW
の埋め込み配線およびWプラグを形成した。CMPに使
用した研磨剤は、5体積%の過酸化水素水溶液に、研磨
粒子としてアルミナ粒子(平均粒径50nm)を1.0
重量%で分散させ、さらに0.4g/リットルのN−ベ
ンゾイル−N−フェニルヒドロキシルアミン(化学試
薬)を添加してなるものである。また、研磨条件は、研
磨圧力300gf/cm2 、研磨定盤14および真空チ
ャックホルダ11の回転数100rpmとし、研磨定盤
の温度を室温25℃で一定になるようにした。
【0036】CMPの結果、研磨速度は約300nm/
分であり、非常に高速であった。また、W埋め込み配線
およびWプラグを形成するために、W膜32と共にチタ
ン膜31および窒化チタン膜24も除去しなければなら
ない。チタン膜31および窒化チタン膜24の研磨速度
はW膜25とほとんど同じ約300nm/分であったた
め、高速に除去することができた。また、CMP後のW
埋め込み配線およびWプラグ、並びに露出したシリコン
酸化膜の表面を走査型電子顕微鏡で観察したところ、図
3に示すように、CMPにより発生したと思われる傷等
は全く見られなかった。
【0037】なお、CMPにおいてW表面には、W、W
Ox等が混在すると考えられるが、BPAはいずれの物
質ともキレート化合物を形成して保護膜を設ける。次
に、ディッシング量の配線幅依存性について調べた。そ
の結果を図8に示す。ここでは、凸部のW膜32、チタ
ン膜31および窒化チタン膜24をすべて除去した時を
研磨終了時とした。図8から明らかなように、W埋め込
み配線のディッシング値は、配線幅が10μmの配線中
央部で約50nmであり、実用上ほぼ問題のない程度で
あった。また、研磨時間をさらに延長しても、ディッシ
ング値はほとんど増加しなかったことを考慮すると、W
膜32上に形成された保護膜が効果的に機能しているこ
とが分かる。
【0038】本実施例においては、被研磨膜としてW膜
を用いた場合について説明しているが、Wに少量の不純
物や添加元素が含有されている場合においても同様の効
果が得られる。
【0039】さらに、上記実施例では、被研磨体とし
て、W膜をブランケット状に形成する場合において説明
しているが、WF6 ガスとSiH4 ガスとの混合ガス、
もしくはこの混合ガスにH2 ガスを添加したガスを用い
てW膜の選択成長を行う場合に対しても本発明は適用可
能である。すなわち、例えばコンタクトホールやビアホ
ールを有する層間絶縁膜の底に露呈するシリコン層やT
iN層、TiSi等のシリサイド層等の上に選択的にW
膜を成長させる場合において、選択性の崩れにより、絶
縁膜表面にW粒子が成長することがあるが、上記実施例
の研磨方法を用いれば、このW粒子を選択的に除去する
ことが可能である。
【0040】また、本実施例においては、研磨粒子とし
てアルミナ粒子のみを用いた場合について説明している
が、研磨粒子としてアルミナ粒子と同等あるいはそれ以
上の硬度を有するシリカ粒子、酸化チタン粒子、酸化ジ
ルコニウム粒子、酸化セリウム粒子、炭化珪素粒子、ダ
イヤモンド粒子等を用いた場合においても同様の効果が
得られる。
【0041】また、本実施例においては、エッチング剤
として過酸化水素水溶液を用い、化学試薬としてBPA
を用いた場合について説明しているが、エッチング剤と
して硝酸鉄水溶液、フェリシアン化カリウムとエチレン
ジアミンとの混合水溶液、フェリシアン化カリウムとリ
ン酸との混合水溶液等を用いた場合においても同様の効
果が得られる。また、化学試薬としては、エッチングす
る膜材料に応じてこの材料とキレート化合物や錯体化合
物を形成する試薬、例えばBPAの各種誘導体等を用い
た場合においても同様の効果が得られる。
【0042】また、本実施例においては、研磨パッドに
は樹脂含浸不織布からなる研磨パッドを用いたが、同程
度の硬度を有するものであれば良く、例えば、ポリエス
テル、ポリエーテル等の不織布、発砲ポリウレタンパッ
ド、またはそれら2種類以上の異なるパッドを張り合わ
せた研磨パッド等を用いてもよい。なお、上記した各種
物質の誘導体としては、水素部分がアルキル基(メチル
基、エチル基等)に置き変わったものが特に好ましい。 (比較例2)研磨剤として、5体積%の過酸化水素水溶
液に、研磨粒子としてアルミナ粒子(平均粒径50n
m)を1.0重量%で分散させたもの、すなわち実施例
で使用した研磨剤においてBPAを添加していないもの
を用いること以外は実施例2と同様にして図7(A)に
示す被研磨基板12にCMPを行った。
【0043】CMPの結果、研磨速度は約350nm/
分であり高速であるが、ディッシング値が実施例2の場
合に比べて非常に大きかった。すなわち、図9に示すよ
うに、最小線幅の0.4μm配線幅においてはディッシ
ング量が約200nmであり、さらに、熱CVD時に形
成される配線中央部のW膜の合わせ目に沿ってエッチン
グが進行し、配線に穴33が開いた状態になった。 (実施例3)本発明の研磨方法においては、研磨中に金
属、例えばCuの表面に、化学試薬、例えばBTAと金
属との化合物(Cu−BTA)からなる保護膜が形成さ
れる。この場合、保護膜が機械的に除去された時点で研
磨剤中のエッチング成分によりCuがエッチングされ
る。この場合、Cuのエッチング速度の活性化エネルギ
ーEaeは比較的大きく、Cuの研磨速度の活性エネルギ
ーEapは比較的小さい。これは、研磨においては、化学
的作用だけでなく機械的作用も含まれるからである。し
たがって、Eae>Eapの関係が成り立つ。このため、研
磨速度/エッチング速度の比の値は低温ほど大きくなる
ので、ディッシング低減の観点からは低温でCMPを行
った方が有利になる。
【0044】そこで、本実施例では、CMPを低温で行
う場合について説明する。CMPを低温、例えば20℃
以下で行う場合には、研磨定盤、研磨パッド、および研
磨剤のうち少なくとも一つを冷却してもよく、始めに通
常の温度(常温)で第一段階の研磨を行い、続けて低
温、例えば20℃以下で第二段階の研磨を行ってもよ
い。
【0045】図2(A)に示す被研磨基板12を図1に
示す研磨装置を用いてCMPを行い、配線用溝および接
続配線用開孔以外の余剰なCu膜25を除去してCuの
埋め込み配線およびCuプラグを形成した。CMPに使
用した研磨剤は、0.12モル/リットルのグリシン
(C252 N)水溶液と0.44モル/リットルの
過酸化水素水(H22 )との混合溶液(Cuのエッチ
ング剤)に、研磨粒子としてシリカ粒子(平均粒径30
nm)を5.3重量%で分散させ、さらに0.001モ
ル/リットルのベンゾトリアゾール(C653
(化学試薬)を添加してなるものである。また、研磨条
件は、研磨圧力400gf/cm2 、研磨定盤14およ
び真空チャックホルダ11の回転数60rpmとした。
【0046】このときのCuの研磨速度およびエッチン
グ速度の温度依存性を調べた。その結果を図10に示
す。なお、温度は約−2℃から約100℃まで変化させ
た。図10から明らかなように、研磨速度およびエッチ
ング速度は、温度の逆数(1/T)のexponential(指
数) に比例した。これらのグラフの傾きから研磨速度の
活性化エネルギーEapおよびエッチング速度の活性化エ
ネルギーEaeをそれぞれ求めると、Eapが約0.7eV
であり、Eaeが約0.9eVであった。それぞれのグラ
フの傾きは負であり、Eap<Eaeであることから、研磨
速度/エッチング速度の比の値は低温ほど大きくなる。
したがって、CMPの温度が低温であるほど、Cu配線
のディッシング量が減少する。
【0047】次に、研磨温度を室温(24.0℃)に設
定してCMPを行った場合と、研磨温度を14.0℃に
設定してCMPを行った場合のそれぞれのディッシング
量の配線幅依存性について調べた。その結果を図11に
示す。ここでは、凸部上のCu膜25および窒化チタン
膜24をすべて除去した時を研磨終了時とした。図10
から明らかなように、Cu埋め込み配線のディッシング
値は、配線幅が10μmの配線中央部で約100nmで
あり、実用上ほぼ問題のない程度であった。
【0048】次に、研磨温度を室温(24.0℃)に設
定してCMPを行った場合と、研磨温度を14.0℃に
設定してCMPを行った場合の配線幅5μmのディッシ
ング量の研磨時間依存性について調べた。その結果を図
12に示す。図12から明らかなように、低温でCMP
を行うことにより、オーバーポリッシング時のデッィシ
ング量の増加を抑制することができる。
【0049】また、CMP後のCu埋め込み配線および
Cuプラグの表面を走査型電子顕微鏡で観察したとこ
ろ、図2(B)に示すように、CMPにより発生したと
思われる傷等は全く見られなかった。
【0050】本実施例においては、被研磨膜としてCu
膜を用いた場合について説明しているが、Cuに少量の
不純物や添加元素が含有されている場合や、被研磨膜と
してCu以外の金属、例えばAg、Al、W等の膜やこ
れらを主成分とした膜を用いた場合においても同様の効
果が得られる。
【0051】また、本実施例においては、研磨粒子とし
てシリカ粒子のみを用いた場合について説明している
が、研磨粒子としてシリカ粒子と同等あるいはそれ以上
の硬度を有するアルミナ粒子、酸化チタン粒子、酸化ジ
ルコニウム粒子、酸化セリウム粒子、炭化珪素粒子、ダ
イヤモンド粒子等を用いた場合においても同様の効果が
得られる。
【0052】また、本実施例においては、エッチング剤
としてグリシン水溶液および過酸化水素水の混合溶液を
用い、化学試薬としてBTAを用いた場合について説明
しているが、エッチング剤としてアミド硫酸もしくはア
ミノ酢酸とアミド硫酸の混合酸と、過酸化水素(H2
2 )、硝酸、次亜塩素酸、オゾン水等の酸化剤とからな
るもの、アンモニア、硝酸アンモニウム、塩化アンモニ
ウム、クロム酸等を用いた場合においても同様の効果が
得られる。また、化学試薬としては、エッチングする膜
材料に応じてこの材料とキレート化合物や錯体化合物を
形成する試薬、例えばBTAの各種誘導体、これらを含
む混合物、チオ尿素、チオ尿素誘導体、クペロン、ベン
ズイミダゾール、トリアゾール、サリチルアルドキシ
ム、エチレンジアミン、システィン、p−アミノベンズ
アルデヒド、ハロ酢酸、ドデシルメルカプタン、ホスホ
ン酸類、グルコースやフルクトース等の単糖類等を用い
た場合においても同様の効果が得られる。特に、BTA
またはBTAの各種誘導体は、硫酸水溶液や塩酸水溶液
等を用いる場合に有効である。
【0053】また、本実施例においては、研磨パッドに
は樹脂含浸不織布からなる研磨パッドを用いたが、同程
度の硬度を有するものであれば良く、例えば、ポリエス
テル、ポリエーテル等の不織布、発砲ポリウレタンパッ
ド、またはそれら2種類以上の異なるパッドを張り合わ
せた研磨パッド等を用いてもよい。なお、上記した各種
物質の誘導体としては、水素部分がアルキル基等に置き
変わったものが特に好ましい。 (実施例4)図13は本発明の研磨方法に使用する研磨
装置の一部を示す概略図である。この研磨装置において
は、研磨定盤14内に冷媒循環路41が設けられてい
る。この冷媒循環路41内に水、エチレングリコール等
の冷媒を通流させることにより、研磨定盤14および研
磨パッド13の温度を調節することができる。研磨定盤
の主要部分の材質はグラスファイバーであり、金属材料
と比較して熱容量が小さく、熱伝導率が高いので、迅速
な温度調節が可能である。冷媒循環路41は2系統の冷
媒冷却装置(冷却器42,43)に接続されており、途
中の切り替えスイッチ44で随時、冷媒の循環経路を切
り替えることができるようになっている。さらに、冷却
器42および43には、それぞれ研磨剤供給系統45お
よび46がそれぞれ接続されており、供給する研磨剤の
温度を調節できるようになっている。また、研磨定盤1
4の上方には、冷却された純水を研磨定盤14上に吹き
付けるジェットノズル47が配置されている。
【0054】上記構成を有する研磨装置を用いて、二段
階の研磨、すなわち第一の研磨(室温研磨)および第二
の研磨(低温研磨)でCMPを行った。なお、冷却器4
2の設定温度を24.0℃とし、冷却器43の設定温度
を14.0℃とすることにより、研磨剤供給系統45お
よびその内部の研磨剤の温度を24.0℃に温度調節
し、研磨剤供給系統46およびその内部の研磨剤の温度
を14.0℃に温度調節した。また、ジェットノズル4
7内部の純水の温度も14.0℃に温度調節した。
【0055】まず、切り替えスイッチ44により研磨定
盤14の冷媒循環路41を冷却器42に接続し、研磨剤
供給系統45を用いて、研磨温度を24.0℃に設定
し、実施例3と同様にしてCMPを行った。このとき、
研磨時間は、研磨終了時間の約80%とした。その結
果、研磨終了時間が2分37秒であったので、第一の研
磨の研磨時間は2分5秒であった。
【0056】第一の研磨の後、研磨剤供給系統45を停
止し、研磨定盤14の冷媒循環路41を切り替えスイッ
チ44により冷却器43に接続し、急速に研磨定盤14
内に14.0℃の冷却水を循環させると共に、ジェット
ノズル47から冷却された純水を噴射することにより、
研磨定盤14を冷却した。研磨定盤14上の研磨パッド
13表面の温度が約14℃になった時点で、研磨剤供給
系統46を用いて、研磨温度を14.0℃に設定し、実
施例3と同様にしてCMPを行った。この第二の研磨に
より、第一の研磨で残存した部分を全て研磨した。この
とき、第二の研磨の研磨時間は2分24秒であった。
【0057】次に、研磨されたCu配線におけるディッ
シング量の配線幅依存性を調べた。その結果を図14に
示す。図14から明らかなように、室温、低温の二段階
のCMPにおいても、低温でのCMPと同程度のディッ
シング抑制効果が得られる。
【0058】
【発明の効果】以上説明した如く本発明の研磨剤および
研磨方法によれば、表面に凹部を有する基体上に前記凹
部を充填するように金属を主成分とする材料からなる膜
を形成し、前記金属を主成分とする材料と反応すること
により前記膜表面に保護膜を形成する化学試薬および前
記金属を主成分とする材料のエッチング剤を含有する研
磨剤を用いた化学機械的研磨方法により、前記膜を研磨
して前記凹部内に導体膜を形成するので、実用上問題の
ない程度にディッシングや傷の発生を抑制しながら、信
頼性の高い導体膜を高速で形成することができる。
【図面の簡単な説明】
【図1】本発明の研磨方法に使用される研磨装置を示す
概略説明図。
【図2】(A),(B)は本発明の研磨方法の一実施例
を説明するための断面図。
【図3】本発明の研磨方法における研磨温度と研磨速度
との関係を示すグラフ。
【図4】本発明の研磨方法におけるBTAの添加量に対
する研磨速度およびエッチング速度の変化を示すグラ
フ。
【図5】本発明の研磨方法における研磨圧力と研磨速度
との関係を示すグラフ。
【図6】本発明の研磨方法における配線幅とディッシン
グ量との関係を示すグラフ。
【図7】(A),(B)は本発明の研磨方法の他の実施
例を説明するための断面図。
【図8】本発明の研磨方法における配線幅とディッシン
グ量との関係を示すグラフ。
【図9】従来の研磨方法を説明するための断面図。
【図10】本発明の研磨方法における研磨速度およびエ
ッチング速度の温度依存性を示すグラフ。
【図11】本発明の研磨方法における配線幅とディッシ
ング量との関係を示すグラフ。
【図12】本発明の研磨方法におけるディッシング量と
研磨時間との関係を示すグラフ。
【図13】本発明の研磨方法に使用される研磨装置の一
部を示す概略図。
【図14】本発明の研磨方法における配線幅とディッシ
ング量との関係を示すグラフ。
【符号の説明】
11…真空チャックホルダ、12…被研磨基板、13…
研磨パッド、14…研磨定盤、15…研磨剤供給配管、
16…研磨剤、21…シリコン基板、22…シリコン酸
化膜、23…炭素膜、24…窒化チタン膜、25…Cu
膜、31…チタン膜、32…W膜、41…冷媒循環路、
42,43…冷却器、44…切り替えスイッチ、45,
46…研磨剤供給系統、47…ジェットノズル。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 平林 英明 神奈川県横浜市磯子区新磯子町33番地 株式会社東芝生産技術研究所内 (72)発明者 樋口 勝敏 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝多摩川工場内 (56)参考文献 特開 平2−278822(JP,A) 特開 昭52−57033(JP,A) 実開 昭60−56460(JP,U) 英国特許1198312(GB,B) (58)調査した分野(Int.Cl.7,DB名) H01L 21/304

Claims (12)

    (57)【特許請求の範囲】
  1. 【請求項1】 表面に凹部を有する基体上に、前記凹部
    を充填するように金属を主成分とする材料からなる膜を
    形成する工程と、 前記金属を主成分とする材料と反応することにより前記
    膜表面に保護膜を形成する化学試薬と、前記金属を主成
    分とする材料のエッチング剤とを含有する研磨剤を用い
    た化学機械的研磨方法により、前記膜を研磨して前記凹
    部内に導体膜を形成する工程と、 を具備し、前記エッチング剤がアミノ酢酸および/また
    はアミド硫酸、酸化剤、および水を含有したことを特徴
    とする研磨方法。
  2. 【請求項2】 前記金属がCuまたはCu合金である請
    求項1記載の研磨方法。
  3. 【請求項3】 前記化学試薬が少なくともベンゾトリア
    ゾールまたはその誘導体を包含する請求項2記載の研磨
    方法。
  4. 【請求項4】 前記エッチング剤として、Cuを主成分
    とする材料に対するエッチング速度が10nm/分以上
    となるように、アミノ酢酸および/またはアミド硫酸と
    酸化剤との混合比率を調整してなるエッチング剤を用い
    る請求項2記載の研磨方法。
  5. 【請求項5】 前記エッチング剤中のアミノ酢酸および
    /またはアミド硫酸の濃度に対する前記化学試薬の濃度
    がモル比で1/1000〜3/100の範囲である請求
    項2または3記載の研磨方法。
  6. 【請求項6】 表面に凹部を有する基体上に、前記凹部
    を充填するように金属を主成分とする材料からなる膜を
    形成する工程と、 前記金属を主成分とする材料と反応することにより前記
    膜表面に保護膜を形成する化学試薬と、前記金属を主成
    分とする材料のエッチング剤とを含有する研磨剤を用い
    た化学機械的研磨方法により、前記膜を研磨して前記凹
    部内に導体膜を形成する工程と、 を具備し、前記金属がWまたはW合金であり、前記化学
    試薬が少なくともN−ベンゾイル−N−フェニルヒドロ
    キシルアミンまたはその誘導体を包含したことを特徴と
    する研磨方法。
  7. 【請求項7】 表面に凹部を有する基体上に、前記凹部
    を充填するように金属を主成分とする材料からなる膜を
    形成する工程と、 前記金属を主成分とする材料のエッチング剤を含有する
    研磨剤を用いた化学機械的研磨方法により前記膜を研磨
    する工程と、 前記金属を主成分とする材料と反応することにより前記
    膜表面に保護膜を形成する化学試薬を加えた前記研磨剤
    を用いた化学機械的研磨方法により前記膜を研磨して前
    記凹部内に導体膜を形成する工程と、 を具備し、前記エッチング剤がアミノ酢酸および/また
    はアミド硫酸、酸化剤、および水を含有したことを特徴
    とする研磨方法。
  8. 【請求項8】 前記金属がCuまたはCu合金である請
    求項7記載の研磨方法。
  9. 【請求項9】 前記化学試薬が少なくともベンゾトリア
    ゾールまたはその誘導体を包含する請求項8記載の研磨
    方法。
  10. 【請求項10】 金属を主成分とする材料と反応するこ
    とにより、前記材料からなる膜表面に保護膜を形成する
    化学試薬と、前記材料のエッチング剤とを含有し、前記
    エッチング剤がアミノ酢酸および/またはアミド硫酸、
    酸化剤、および水を含有したことを特徴とする研磨剤。
  11. 【請求項11】 アミノ酢酸および/またはアミド硫
    酸、酸化剤、水およびベンゾトリアゾールあるいはその
    誘導体を含有することを特徴とする研磨剤。
  12. 【請求項12】 表面に凹部を有する基体上に、前記凹
    部を充填するように金属を主成分とする材料からなる導
    電性膜を形成する工程と、 前記金属を主成分とする材料と反応することにより前記
    導電性膜の表面に保護膜を形成する化学試薬と、前記金
    属を主成分とする材料のエッチング剤とを含有する研磨
    剤を施しつつ、研磨定盤に取り付けられた研磨パッドを
    用いて、前記導電性膜を化学機械的研磨方法により研磨
    する工程とを具備し、前記研磨を、前記研磨パッド、前
    記研磨定盤、および前記研磨剤からなる群から選ばれた
    少なくとも1つを冷却しつつ行い、前記エッチング剤が
    アミノ酢酸および/またはアミド硫酸、酸化剤、および
    水を含有したことを特徴とする研磨方法。
JP05529095A 1994-07-12 1995-03-15 研磨剤および研磨方法 Expired - Lifetime JP3397501B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP05529095A JP3397501B2 (ja) 1994-07-12 1995-03-15 研磨剤および研磨方法
KR1019950020275A KR100188365B1 (ko) 1994-07-12 1995-07-11 연마제 및 그것을 이용한 연마방법
US08/500,753 US5770095A (en) 1994-07-12 1995-07-11 Polishing agent and polishing method using the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP6-159898 1994-07-12
JP15989894 1994-07-12
JP05529095A JP3397501B2 (ja) 1994-07-12 1995-03-15 研磨剤および研磨方法

Publications (2)

Publication Number Publication Date
JPH0883780A JPH0883780A (ja) 1996-03-26
JP3397501B2 true JP3397501B2 (ja) 2003-04-14

Family

ID=26396186

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05529095A Expired - Lifetime JP3397501B2 (ja) 1994-07-12 1995-03-15 研磨剤および研磨方法

Country Status (3)

Country Link
US (1) US5770095A (ja)
JP (1) JP3397501B2 (ja)
KR (1) KR100188365B1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088209A (ja) * 2005-09-22 2007-04-05 Fujifilm Corp 貴金属用研磨液、及び、化学的機械的研磨方法
WO2007123235A1 (ja) 2006-04-24 2007-11-01 Hitachi Chemical Co., Ltd. Cmp用研磨液及び研磨方法
CN101525563B (zh) * 2008-03-03 2011-04-13 盟智科技股份有限公司 用于后研磨清洁剂的腐蚀抑制剂
WO2011122415A1 (ja) 2010-03-29 2011-10-06 旭硝子株式会社 研磨剤、研磨方法および半導体集積回路装置の製造方法
US8883031B2 (en) 2009-08-19 2014-11-11 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US6187214B1 (en) * 1996-05-13 2001-02-13 Universidad De Seville Method and device for production of components for microfabrication
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6126853A (en) 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
AU2792797A (en) * 1997-05-26 1998-12-30 Hitachi Limited Polishing method and semiconductor device manufacturing method using the same
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US5935871A (en) * 1997-08-22 1999-08-10 Motorola, Inc. Process for forming a semiconductor device
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
JPH1187287A (ja) * 1997-09-05 1999-03-30 Fujitsu Ltd 基板の平坦化研磨方法
JPH11111656A (ja) * 1997-09-30 1999-04-23 Nec Corp 半導体装置の製造方法
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6362101B2 (en) * 1997-11-24 2002-03-26 United Microelectronics Corp. Chemical mechanical polishing methods using low pH slurry mixtures
TW408503B (en) * 1997-12-06 2000-10-11 United Microelectronics Corp Manufacture of the charge storage node
JPH11176773A (ja) * 1997-12-12 1999-07-02 Toshiba Corp 研磨方法
JP3147072B2 (ja) 1998-02-26 2001-03-19 日本電気株式会社 半導体装置の製造方法
JP3374901B2 (ja) * 1998-02-27 2003-02-10 日本電気株式会社 半導体装置
US6432828B2 (en) 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
EP1086484A4 (en) * 1998-04-10 2003-08-06 Ferro Corp PASTE FOR THE CHEMOMECHANICAL POLISHING OF METAL SURFACES
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6051500A (en) * 1998-05-19 2000-04-18 Lucent Technologies Inc. Device and method for polishing a semiconductor substrate
US6475407B2 (en) 1998-05-19 2002-11-05 Showa Denko K.K. Composition for polishing metal on semiconductor wafer and method of using same
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
KR100507868B1 (ko) * 1998-06-29 2005-11-22 주식회사 하이닉스반도체 반도체장치의 배선 형성방법
TW430946B (en) * 1998-07-22 2001-04-21 United Microelectronics Corp Dual damascene process
JP2000040679A (ja) 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
CA2342332A1 (en) * 1998-08-31 2000-03-09 Hiroki Terazaki Abrasive liquid for metal and method for polishing
TW442967B (en) * 1998-09-04 2001-06-23 Nanya Technology Corp Surface tip rounding method of the lower electrode of capacitor
JP2000183003A (ja) 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
JP3144635B2 (ja) * 1998-10-13 2001-03-12 日本電気株式会社 半導体装置の製造方法
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
JP2002528903A (ja) * 1998-10-23 2002-09-03 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 活性剤溶液を含有し、化学機械的に磨くためのスラリーシステム
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP4095731B2 (ja) 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
US6140239A (en) * 1998-11-25 2000-10-31 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6627553B1 (en) * 1998-11-27 2003-09-30 Showa Denko K.K. Composition for removing side wall and method of removing side wall
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
JP2000160139A (ja) * 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6136714A (en) * 1998-12-17 2000-10-24 Siemens Aktiengesellschaft Methods for enhancing the metal removal rate during the chemical-mechanical polishing process of a semiconductor
JP4812734B2 (ja) * 1998-12-28 2011-11-09 日立化成工業株式会社 金属用研磨液材料
EP1833085A1 (en) * 1998-12-28 2007-09-12 Hitachi Chemical Company, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
JP2000237952A (ja) 1999-02-19 2000-09-05 Hitachi Ltd 研磨装置および半導体装置の製造方法
US6117250A (en) * 1999-02-25 2000-09-12 Morton International Inc. Thiazole and thiocarbamide based chemicals for use with oxidative etchant solutions
US6455751B1 (en) * 1999-03-03 2002-09-24 The Regents Of The University Of California Oxidizer gels for detoxification of chemical and biological agents
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6451698B1 (en) * 1999-04-07 2002-09-17 Koninklijke Philips Electronics N.V. System and method for preventing electrochemical erosion by depositing a protective film
JP3792433B2 (ja) * 1999-04-19 2006-07-05 シャープ株式会社 光又は放射線検出素子ならびに二次元画像検出器の製造方法
JP2000311876A (ja) 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
JP2000315666A (ja) 1999-04-28 2000-11-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6589872B1 (en) * 1999-05-03 2003-07-08 Taiwan Semiconductor Manufacturing Company Use of low-high slurry flow to eliminate copper line damages
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
WO2000074128A1 (fr) * 1999-06-01 2000-12-07 Tokyo Electron Limited Procede de fabrication de dispositif a semiconducteur et appareil de fabrication associe
DE19927286B4 (de) * 1999-06-15 2011-07-28 Qimonda AG, 81739 Verwendung einer Schleiflösung zum chemisch-mechanischen Polieren einer Edelmetall-Oberfläche
TW486514B (en) * 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6358119B1 (en) 1999-06-21 2002-03-19 Taiwan Semiconductor Manufacturing Company Way to remove CU line damage after CU CMP
US6573173B2 (en) 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6274478B1 (en) 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US20010054706A1 (en) * 1999-07-19 2001-12-27 Joseph A. Levert Compositions and processes for spin etch planarization
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
WO2001012741A1 (en) * 1999-08-13 2001-02-22 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
CN1161826C (zh) * 1999-08-26 2004-08-11 日立化成工业株式会社 化学机械研磨用研磨剂及研磨方法
US6376381B1 (en) * 1999-08-31 2002-04-23 Micron Technology, Inc. Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
JP4505891B2 (ja) * 1999-09-06 2010-07-21 Jsr株式会社 半導体装置の製造に用いる化学機械研磨用水系分散体
US6620725B1 (en) 1999-09-13 2003-09-16 Taiwan Semiconductor Manufacturing Company Reduction of Cu line damage by two-step CMP
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
JP4264781B2 (ja) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US6503418B2 (en) * 1999-11-04 2003-01-07 Advanced Micro Devices, Inc. Ta barrier slurry containing an organic additive
US6123088A (en) 1999-12-20 2000-09-26 Chartered Semiconducotor Manufacturing Ltd. Method and cleaner composition for stripping copper containing residue layers
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
JP3450247B2 (ja) 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
JP3490038B2 (ja) 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
JP3869608B2 (ja) 2000-01-25 2007-01-17 Necエレクトロニクス株式会社 防食剤
TW490718B (en) * 2000-01-25 2002-06-11 Toshiba Corp Semiconductor device and the manufacturing method thereof
US6355075B1 (en) 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US6599837B1 (en) 2000-02-29 2003-07-29 Agere Systems Guardian Corp. Chemical mechanical polishing composition and method of polishing metal layers using same
SG122739A1 (en) * 2000-03-03 2006-06-29 Chartered Semiconductor Mfg Improved chemical agent additives in copper cmp slurry
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
JP2001269859A (ja) * 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6376377B1 (en) 2000-04-03 2002-04-23 Taiwan Semiconductor Manufacturing Company Post chemical mechanical polish (CMP) planarizing substrate cleaning method employing enhanced substrate hydrophilicity
US6471884B1 (en) 2000-04-04 2002-10-29 Cabot Microelectronics Corporation Method for polishing a memory or rigid disk with an amino acid-containing composition
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
AU2001247109A1 (en) * 2000-04-27 2001-11-12 Nutool, Inc. Conductive structure for use in multi-level metallization and process
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6478936B1 (en) * 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
JP2001345297A (ja) 2000-05-30 2001-12-14 Hitachi Ltd 半導体集積回路装置の製造方法及び研磨装置
US6976905B1 (en) 2000-06-16 2005-12-20 Cabot Microelectronics Corporation Method for polishing a memory or rigid disk with a phosphate ion-containing polishing system
US7396806B2 (en) * 2000-06-16 2008-07-08 Kao Corporation Semiconductor cleaner comprising a reducing agent, dispersant, and phosphonic acid-based chelant
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
JP3837277B2 (ja) 2000-06-30 2006-10-25 株式会社東芝 銅の研磨に用いる化学機械研磨用水系分散体及び化学機械研磨方法
JP2002050595A (ja) 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
JP2002075927A (ja) * 2000-08-24 2002-03-15 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
JP2002110592A (ja) * 2000-09-27 2002-04-12 Sony Corp 研磨方法および研磨装置
US6508953B1 (en) 2000-10-19 2003-01-21 Ferro Corporation Slurry for chemical-mechanical polishing copper damascene structures
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
KR100396883B1 (ko) * 2000-11-23 2003-09-02 삼성전자주식회사 화학기계적 연마용 슬러리 및 이를 이용한 구리 금속배선제조방법
JP3768401B2 (ja) 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3816743B2 (ja) 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP2002164307A (ja) 2000-11-24 2002-06-07 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
JP3825246B2 (ja) 2000-11-24 2006-09-27 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3768402B2 (ja) 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6866763B2 (en) * 2001-01-17 2005-03-15 Asm Nutool. Inc. Method and system monitoring and controlling film thickness profile during plating and electroetching
TW570856B (en) 2001-01-18 2004-01-11 Fujitsu Ltd Solder jointing system, solder jointing method, semiconductor device manufacturing method, and semiconductor device manufacturing system
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
JP2002324772A (ja) 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法及び製造装置
US20030104770A1 (en) 2001-04-30 2003-06-05 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
US20040159050A1 (en) * 2001-04-30 2004-08-19 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
US6489240B1 (en) * 2001-05-31 2002-12-03 Advanced Micro Devices, Inc. Method for forming copper interconnects
JP4945857B2 (ja) 2001-06-13 2012-06-06 Jsr株式会社 研磨パッド洗浄用組成物及び研磨パッド洗浄方法
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
SG144688A1 (en) 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6511906B1 (en) * 2001-08-30 2003-01-28 Micron Technology, Inc. Selective CMP scheme
JP4803625B2 (ja) 2001-09-04 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2003077921A (ja) 2001-09-04 2003-03-14 Nec Corp 半導体装置の製造方法
SG115405A1 (en) * 2001-09-17 2005-10-28 Inst Of Microelectronics Method for reducing dishing in chemical mechanical polishing
JP3899456B2 (ja) 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
JPWO2003038883A1 (ja) 2001-10-31 2005-02-24 日立化成工業株式会社 研磨液及び研磨方法
WO2004111157A1 (ja) 2003-06-13 2004-12-23 Hitachi Chemical Co., Ltd. 金属用研磨液及び研磨方法
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
JP2003257910A (ja) * 2001-12-28 2003-09-12 Fujikoshi Mach Corp 基板における銅層の研磨方法
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US20030176151A1 (en) * 2002-02-12 2003-09-18 Applied Materials, Inc. STI polish enhancement using fixed abrasives with amino acid additives
US6821309B2 (en) * 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
JP3749867B2 (ja) * 2002-03-08 2006-03-01 株式会社東芝 アルミニウム系金属用研磨液および半導体装置の製造方法
EP1881524B1 (en) 2002-04-30 2010-06-02 Hitachi Chemical Co., Ltd. Polishing slurry and polishing method
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
TWI282360B (en) 2002-06-03 2007-06-11 Hitachi Chemical Co Ltd Polishing composition and polishing method thereof
US6825120B1 (en) 2002-06-21 2004-11-30 Taiwan Semiconductor Manufacturing Company Metal surface and film protection method to prolong Q-time after metal deposition
JP2004071674A (ja) 2002-08-02 2004-03-04 Nec Electronics Corp 半導体装置の製造方法
JP4010903B2 (ja) 2002-08-02 2007-11-21 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP4083502B2 (ja) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4205914B2 (ja) 2002-08-27 2009-01-07 株式会社ルネサステクノロジ 半導体装置の製造方法及び製造装置
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
WO2004090937A2 (en) * 2003-04-10 2004-10-21 Technion Research & Development Foundation Ltd Copper cmp slurry composition
US20070131563A1 (en) * 2003-04-14 2007-06-14 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
JP4041785B2 (ja) 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
TWI347969B (en) * 2003-09-30 2011-09-01 Fujimi Inc Polishing composition
ATE463838T1 (de) * 2003-09-30 2010-04-15 Fujimi Inc Polierzusammensetzung und polierverfahren
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
TW200521217A (en) * 2003-11-14 2005-07-01 Showa Denko Kk Polishing composition and polishing method
TWI288046B (en) * 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
JP2005294798A (ja) * 2004-03-08 2005-10-20 Asahi Glass Co Ltd 研磨剤および研磨方法
JP2005268664A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP2005268666A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP4316406B2 (ja) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
JP4644434B2 (ja) 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド 研磨用組成物
JP2006086462A (ja) * 2004-09-17 2006-03-30 Fujimi Inc 研磨用組成物およびそれを用いた配線構造体の製造法
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP2006135072A (ja) * 2004-11-05 2006-05-25 Fujimi Inc 研磨方法
US20060108325A1 (en) * 2004-11-19 2006-05-25 Everson William J Polishing process for producing damage free surfaces on semi-insulating silicon carbide wafers
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
WO2006068328A1 (en) 2004-12-22 2006-06-29 Showa Denko K.K. Polishing composition and polishing method
US20060163206A1 (en) * 2005-01-25 2006-07-27 Irina Belov Novel polishing slurries and abrasive-free solutions having a multifunctional activator
WO2006112519A1 (ja) * 2005-04-14 2006-10-26 Showa Denko K.K. 研磨組成物
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7939482B2 (en) * 2005-05-25 2011-05-10 Freescale Semiconductor, Inc. Cleaning solution for a semiconductor wafer
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
DE112006002323T5 (de) 2005-09-02 2008-07-10 Fujimi Incorporated, Kiyosu Poliermittel
JP5026710B2 (ja) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド 研磨用組成物
JP2007088258A (ja) 2005-09-22 2007-04-05 Fujifilm Corp 金属研磨液及びそれを用いる研磨方法
JP2007095843A (ja) * 2005-09-27 2007-04-12 Fujifilm Corp 研磨方法
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
US20070147551A1 (en) * 2005-12-26 2007-06-28 Katsumi Mabuchi Abrasive-free polishing slurry and CMP process
TWI343945B (en) 2005-12-27 2011-06-21 Hitachi Chemical Co Ltd Slurry for metal polishing and polishing method of polished film
EP1813656A3 (en) 2006-01-30 2009-09-02 FUJIFILM Corporation Metal-polishing liquid and chemical mechanical polishing method using the same
US7902072B2 (en) 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US8778217B2 (en) 2006-07-05 2014-07-15 Hitachi Chemical Company, Ltd. Polishing slurry for CMP, and polishing method
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
TWI437083B (zh) 2006-07-28 2014-05-11 Showa Denko Kk 研磨組成物
SG139699A1 (en) * 2006-08-02 2008-02-29 Fujimi Inc Polishing composition and polishing process
TW200817497A (en) * 2006-08-14 2008-04-16 Nippon Chemical Ind Polishing composition for semiconductor wafer, production method thereof, and polishing method
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
KR101418626B1 (ko) 2007-02-27 2014-07-14 히타치가세이가부시끼가이샤 금속용 연마액 및 연마방법
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
JP4618267B2 (ja) * 2007-04-12 2011-01-26 株式会社日立製作所 半導体装置の製造方法
JP2008280229A (ja) * 2007-04-13 2008-11-20 Hitachi Chem Co Ltd 表面修飾二酸化ケイ素粒子の製造法及び研磨液
JP2007221170A (ja) * 2007-05-18 2007-08-30 Hitachi Chem Co Ltd 金属用研磨液の調製方法
JP2008288398A (ja) 2007-05-18 2008-11-27 Nippon Chem Ind Co Ltd 半導体ウェハーの研磨用組成物、その製造方法、及び研磨加工方法
WO2008151918A1 (en) * 2007-06-12 2008-12-18 Basf Se A process for polishing patterned and unstructured surfaces of materials and an aqueous polishing agent to be used in the said process
CN102352187B (zh) 2007-07-05 2015-03-18 日立化成株式会社 金属膜用研磨液及研磨方法
WO2009017095A1 (ja) 2007-07-30 2009-02-05 Hitachi Chemical Co., Ltd. 金属用研磨液及び研磨方法
JP4730358B2 (ja) * 2007-09-03 2011-07-20 Jsr株式会社 半導体装置の製造に用いる化学機械研磨用水系分散体
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
JP2008034864A (ja) * 2007-09-11 2008-02-14 Jsr Corp 化学機械研磨用水系分散体
JP5326296B2 (ja) * 2007-10-24 2013-10-30 日立化成株式会社 Cmp用研磨液
JP2009164186A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
JP2009164188A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
JP5403924B2 (ja) 2008-02-29 2014-01-29 富士フイルム株式会社 金属用研磨液、および化学的機械的研磨方法
US20110027997A1 (en) * 2008-04-16 2011-02-03 Hitachi Chemical Company, Ltd. Polishing liquid for cmp and polishing method
JP5826986B2 (ja) * 2008-05-01 2015-12-02 Jsr株式会社 化学機械研磨用水系分散体および化学機械研磨方法
US8506661B2 (en) * 2008-10-24 2013-08-13 Air Products & Chemicals, Inc. Polishing slurry for copper films
CN102484061B (zh) 2009-09-02 2015-08-19 诺发***有限公司 降低的各向同性蚀刻剂材料消耗及废料产生
WO2011083475A1 (en) 2010-01-07 2011-07-14 Technion Research & Development Foundation Ltd. Sorbate-containing compositions for use in copper chemical mechanical planarization
JP5587620B2 (ja) * 2010-01-25 2014-09-10 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
US8586481B2 (en) * 2010-06-01 2013-11-19 Applied Materials, Inc. Chemical planarization of copper wafer polishing
JP5141792B2 (ja) 2010-06-29 2013-02-13 日立化成工業株式会社 Cmp研磨液及び研磨方法
JP5404673B2 (ja) * 2011-02-25 2014-02-05 株式会社東芝 Cmp装置、研磨パッド及びcmp方法
CN103562337A (zh) * 2011-03-30 2014-02-05 福吉米株式会社 研磨用组合物和研磨方法
CN102304327A (zh) * 2011-07-05 2012-01-04 复旦大学 一种基于金属Co的抛光工艺的抛光液
US10262885B2 (en) * 2012-08-31 2019-04-16 Semiconductor Technologies & Instruments Pte Ltd Multifunction wafer and film frame handling system
JPWO2014069457A1 (ja) 2012-11-02 2016-09-08 株式会社フジミインコーポレーテッド 研磨用組成物
JP6132641B2 (ja) * 2013-04-19 2017-05-24 三菱電機株式会社 半導体モジュールの電極構造およびその製造方法
US8974692B2 (en) 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
US9962801B2 (en) 2014-01-07 2018-05-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for performing chemical mechanical planarization
JP6318744B2 (ja) * 2014-03-18 2018-05-09 東京エレクトロン株式会社 半導体装置の製造方法
US11311967B2 (en) * 2014-08-19 2022-04-26 Lumileds Llc Sapphire collector for reducing mechanical damage during die level laser lift-off
KR102572643B1 (ko) * 2015-05-13 2023-08-31 루미리즈 홀딩 비.브이. 다이 레벨의 레이저 리프트-오프 중에 기계적 손상을 줄이기 위한 사파이어 수집기
WO2023232682A1 (en) 2022-05-31 2023-12-07 Basf Se Composition, its use and a process for cleaning substrates comprising cobalt and copper

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1565349A (en) * 1975-10-20 1980-04-16 Albright & Wilson Aluminium polishing compositions
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088209A (ja) * 2005-09-22 2007-04-05 Fujifilm Corp 貴金属用研磨液、及び、化学的機械的研磨方法
WO2007123235A1 (ja) 2006-04-24 2007-11-01 Hitachi Chemical Co., Ltd. Cmp用研磨液及び研磨方法
CN101525563B (zh) * 2008-03-03 2011-04-13 盟智科技股份有限公司 用于后研磨清洁剂的腐蚀抑制剂
US8883031B2 (en) 2009-08-19 2014-11-11 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
US9318346B2 (en) 2009-08-19 2016-04-19 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
WO2011122415A1 (ja) 2010-03-29 2011-10-06 旭硝子株式会社 研磨剤、研磨方法および半導体集積回路装置の製造方法

Also Published As

Publication number Publication date
US5770095A (en) 1998-06-23
JPH0883780A (ja) 1996-03-26
KR100188365B1 (ko) 1999-06-01
KR960005828A (ko) 1996-02-23

Similar Documents

Publication Publication Date Title
JP3397501B2 (ja) 研磨剤および研磨方法
KR100302671B1 (ko) 화학기계적연마용조성물및화학기계적연마방법
US6800218B2 (en) Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
EP1137056B1 (en) Abrasive liquid for metal and method for polishing
US6750128B2 (en) Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US5840629A (en) Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US7232514B2 (en) Method and composition for polishing a substrate
KR100351655B1 (ko) 구리 또는 구리 합금 집적 회로 금속화 층을 연마하기 위한 화학적-기계적 평탄화 슬러리
JP4510374B2 (ja) 金属cmpのための研磨組成物
US6783432B2 (en) Additives for pressure sensitive polishing compositions
WO2003015981A2 (en) Improved chemical mechanical polishing compositions for metal and associated materials and method of using same
US20110294293A1 (en) Chemical planarization of copper wafer polishing
CA2335034A1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrates
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
JP4816836B2 (ja) 金属用研磨液及びそれを用いた研磨方法
US8841216B2 (en) Method and composition for chemical mechanical planarization of a metal
US20050103743A1 (en) Slurry and use thereof for polishing
TWI294456B (ja)
US8697577B2 (en) Method and composition for chemical mechanical planarization of a metal or a metal alloy
WO2008151918A1 (en) A process for polishing patterned and unstructured surfaces of materials and an aqueous polishing agent to be used in the said process
JP2001127019A (ja) 金属用研磨液及びそれを用いた基板の研磨方法
JP3353831B2 (ja) Cmpスラリー、研摩方法及びcmpツール
JP2003188120A (ja) 金属用研磨液及び研磨方法
CN111378367A (zh) 一种化学机械抛光液
JP5429104B2 (ja) 金属用研磨液及びそれを用いた研磨方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080214

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090214

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100214

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100214

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110214

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120214

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120214

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130214

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140214

Year of fee payment: 11

EXPY Cancellation because of completion of term