JP3165938B2 - ガス処理装置 - Google Patents

ガス処理装置

Info

Publication number
JP3165938B2
JP3165938B2 JP17984593A JP17984593A JP3165938B2 JP 3165938 B2 JP3165938 B2 JP 3165938B2 JP 17984593 A JP17984593 A JP 17984593A JP 17984593 A JP17984593 A JP 17984593A JP 3165938 B2 JP3165938 B2 JP 3165938B2
Authority
JP
Japan
Prior art keywords
processing
case
gas
processing chamber
atmosphere
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP17984593A
Other languages
English (en)
Other versions
JPH0778766A (ja
Inventor
誠志 村上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP17984593A priority Critical patent/JP3165938B2/ja
Priority to KR1019940014586A priority patent/KR100260119B1/ko
Priority to US08/265,139 priority patent/US5462603A/en
Priority to GB9412704A priority patent/GB2279366B/en
Priority to SG1996002937A priority patent/SG46325A1/en
Priority to TW083105820A priority patent/TW280940B/zh
Publication of JPH0778766A publication Critical patent/JPH0778766A/ja
Application granted granted Critical
Publication of JP3165938B2 publication Critical patent/JP3165938B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、ガス処理装置に関す
る。
【0002】
【従来の技術】半導体製造工程では、シリコンなどの半
導体ウエハ(以下「ウエハ」という)上に集積回路を形
成するために、CVD(Chemical Vapor
Deposition)やスパッタリングなどの成膜
処理が行われる。このような成膜処理では薄膜をウエハ
上に均一に処理するためにウエハの全面を所定の温度に
均一に加熱維持することが重要な技術となっている。
【0003】ウエハを加熱する方法としては大別してヒ
ータによる加熱とランプなどのエネルギー線を用いる方
法とがあり、このうち例えば抵抗発熱体よりなるヒータ
を用いた成膜装置は従来次のように構成されている。図
5は従来装置を示す図であり、真空チャンバよりなる処
理室1の下部には、ウエハ載置台10が支持体10aに
支持されて配設されており、このウエハ載置台10は、
抵抗発熱体11の両面側に絶縁板12、13を重ね合わ
せると共に上側の絶縁板12の表面にウエハ載置面をな
すグラファイト板14が積重されて構成されている。更
に抵抗発熱体11からは、給電線15が引き出され、こ
の給電線15は例えば図示しないシースワイヤに囲まれ
て処理室1の外に引き出されている。また抵抗発熱体1
1にはシースワイヤの中に熱電対を収納してなるシース
熱電対16が接触されている。
【0004】このような成膜処理装置では、処理室1内
を排気管17より排気して所定の真空度に維持しながら
ガス供給部18より処理ガスを供給すると共に、抵抗発
熱体11に給電線15を介して給電し、シース熱電対1
6の温度検出値にもとづいてヒータの温度つまりウエハ
Wの温度が所定の温度になるように制御している。
【0005】また上述のような加熱方法の他に、抵抗発
熱線をセラミックスの中に埋設してセラミックスヒータ
を構成し、このセラミックスヒータにウエハを載置して
加熱する方法や、処理室の外に加熱ランプを配設し、石
英板よりなる透過窓を通して加熱ランプの輻射熱により
ウエハを加熱する方法などが知られている。
【0006】
【発明が解決しようとする課題】ところで、処理ガスと
して腐食性のガスを用いたりあるいは処理ガスの反応に
より腐食性のガスが生成される場合がある。例えばハロ
ゲン化ガスを用いた場合には気相反応により腐食性の強
いハロゲンガスが生成され、しかもプロセス中は高温と
なってハロゲンガスの腐食性は極めて強くなるため、処
理ガス雰囲気にさらされている給電線15や端子部ある
いは抵抗発熱体11自体が腐食し、抵抗値が増加してヒ
ータに所定の電流を流せなくなったり、断線に至ること
もあった。
【0007】また腐食の問題の他にも給電線15の端子
部間に導電性の膜が付着してショートを起こすこともあ
った。この結果図5に示す成膜装置では抵抗発熱体11
に安定して電力を供給することができなくなって、ウエ
ハWの温度が不安定になり、膜厚の面内均一性が悪くな
るなどの問題点があった。
【0008】一方熱電対についても次のような問題があ
った。即ち熱電対は処理室1内の雰囲気にさらされてい
るが、そのレスポンスは真空度によって変わるため、例
えば処理ガスの供給により圧力が大きく変化したときに
温度がオーバショートしてしまうなど成膜初期時の温度
が不安定になり、安定した成膜処理が行えないという欠
点がある。
【0009】そしてまたセラミックスヒータを用いた場
合には、抵抗発熱体が直接処理ガス雰囲気にさらされな
いので上述の欠点は緩和されるが、シースワイヤとセラ
ミックス体とを直接接合すると割れが起こるので、端子
部分は露出しており、やはり同様の問題が起こる。更に
セラミックスは熱容量が非常に大きくて急加熱、急冷却
ができないことから、作業中はヒータをオンの状態のま
まにしており、このためウエハWは室温から急激に加熱
されることになり、ウエハWの熱ダメージが大きいし、
搬送アームが歪みやすいという問題もある。
【0010】そしてまた加熱ランプ方式についてはタン
グステン膜などの金属膜の成膜に利用されることが多い
が、石英板(光透過窓)に金属膜が付着するとその部分
が輻射熱を吸収してまわりの部分よりも高温になり、こ
のため熱歪みによって石英板が割れるという問題があ
る。
【0011】本発明は、このような事情のもとになされ
たものであり、その目的は、加熱手段に安定して電力を
供給し、これにより被処理体に対して安定した処理を行
うことのできるガス処理装置を提供することにある。
【0012】
【課題を解決するための手段】本発明は、処理室内に配
置された被処理体を加熱手段により加熱しながら処理ガ
スにより処理するガス処理装置において、内部空間が処
理室内の雰囲気に対して気密に隔離されると共に処理室
の外に連通し、光透過性の材質よりなる被処理体載置面
を備えたケースと、このケース内に、被処理体載置面と
対向するように配置された加熱手段と、前記処理室の外
部から処理室内の雰囲気に触れることなく前記ケース内
に導入されて前記加熱手段に接続された給電線と、前記
ケース内に不活性ガスを供給するための不活性ガス供給
手段と、を備えてなることを特徴とする。本発明では、
前記不活性ガス供給手段に代えて、あるいは不活性ガス
供給手段を設ける構成に加えて前記ケース内の圧力を調
整するための圧力調整手段を設けてもよい。更にまた大
気側からケース内に導入された温度測定用の熱電対を設
けてもよい。
【0013】
【作用】加熱手段や給電線が処理ガスと接触することが
ないため、処理ガスとして腐食性のガスを使用しても加
熱手段や給電線が腐食しないし、端子間に導電性の膜が
付着してショートするといったこともなく、加熱手段に
安定して電力を供給できる。
【0014】そしてケース内は高温になるが、ここを不
活性ガス雰囲気とすれば、例えば抵抗発熱体や給電線の
酸化が抑えられる。ケースの内部空間を大気側に対して
も気密に隔離し、ケース内の圧力と処理室内の雰囲気の
圧力との差を小さくするようにすればケースとして小さ
な耐圧のものを用いることができる。また熱電対を処理
室内の雰囲気から気密に隔離することにより熱電対のレ
スポンスが処理室内の圧力の変化に対して影響を受ける
ことがなく、従って温度制御が安定する。
【0015】
【実施例】図1は本発明をCVD装置に適用した実施例
の全体構成を示す図、図2及び図3はこの実施例の要部
を示す図である。図中2は気密構造の例えばアルミニウ
ムよりなる処理室であり、この処理室2の上部には所定
の処理ガスを当該処理室2の中に供給するためのガス供
給部21が配設されている。
【0016】前記処理室2の底部には排気管22が接続
されており、真空ポンプ23により処理室2内を所定の
真空度に維持できるようになっている。前記処理室2の
側壁には、図示しないロードロック室との間を開閉する
ためのゲートバルブG1、G2が設けられている。
【0017】前記処理室2の底部には、前記ガス供給部
21と対向するように例えば石英よりなるケース3が配
設されている。このケース3は、図2及び図3に示すよ
うに上面が被処理体載置面例えばウエハ載置面31とし
て構成された第1の円筒部32と、この第1の円筒体3
2の下部側に内部空間が当該円筒体32と連通するよう
に接続された第2の円筒体33と、この第2の円筒体3
3の下端に形成されたフランジ部34と、を備え、第2
の円筒体33の下端は開口しているが、他の部分は外部
に対して閉じた構造に作られている。そしてフランジ部
34の下面は処理室2の底壁にOリング35を介して接
合固定され、これによりケース3の内部空間が処理室2
内の雰囲気(処理雰囲気)と気密に隔離される。また処
理室2の底面において第2の円筒体33の下端と対向す
る領域に孔部24aが形成されており、この孔部24a
を塞ぐように、蓋板24が取り付けられている。
【0018】前記ケース3の第1の円筒体31内には、
加熱手段例えば抵抗発熱体4、絶縁板41及び反射板4
2が各々スロット部材40に支持されて上からこの順に
配列して設けられている。抵抗発熱体4は、例えばタン
グステン、モリブデン、タンタルあるいはニッケルクロ
ム合金などより構成されると共に、例えば渦巻き状、S
字状、円板状など任意の形状に構成できるが、図示の例
では円板状に成形されており、ウエハ載置面31の直ぐ
真下に位置している。前記反射板42は抵抗発熱体4か
らの輻射熱を反射して処理室2の底部の温度上昇を抑え
るためのものであり、例えば金属ミラー板により構成さ
れる。ただし図3では図示の便宜上絶縁板41及び反射
板42は省略してある。
【0019】前記抵抗発熱体4には、例えば2本の給電
線5A、5Bが接続されており、これら給電線5A、5
Bは蓋板24を通って処理室2の外部の電源部25(図
1参照)に接続されている。また抵抗発熱体4の下面側
には熱伝導率の大きい材質よりなる小さな環状突起61
が設けられており、この環状突起61に温度測定用の熱
電対6が密入されている。この熱電対6は第2の円筒体
33の内部空間から前記蓋板24を通って処理室2の外
部の温度制御部62(図1参照)に接続されている。
【0020】前記抵抗発熱体4については、複数の加熱
領域を形成して夫々独立に温度調整できるように分割し
てもよく、この場合には各加熱領域に共通なコモンの給
電線と、分割された抵抗発熱体4に対応する給電線とを
用いると共に、各加熱領域毎に熱電対を用いればよい。
【0021】また蓋板24には、不活性ガス供給手段で
ある不活性ガス供給管43及び不活性ガス排気管44が
接続されている。不活性ガス供給管43は例えばN2 ガ
スを供給するための不活性ガス供給源45に接続される
と共に不活性ガス排気管44は図示しない工場排気ダク
トに接続され、ケース3内が不活性ガス雰囲気とされる
ようになっている。
【0022】前記ケース3の第1の円筒体32には、周
方向に3等分した各位置において、当該円筒体32の上
面(ウエハ載置面)から下面に亘って伸びるように細い
筒状体71が接合されており、この筒状体71の内部空
間は、円筒体32の上面及び下面に夫々形成された穴7
2、73を通じてケース3の外に連通する一方ケース3
の内部空間3とは気密に区画されている。これら筒状体
71の内部空間には、リング状のリフト台74に植設さ
れたウエハWのリフトピン75がウエハ載置面31より
突出、没入できるように昇降自在に挿入されている。リ
フト台74は、処理室2の底壁から処理室2内に気密に
突入されたリフト軸76を介して昇降機構77に連結さ
れている。
【0023】次に上述実施例の作用について述べる。先
ず被処理体であるウエハWがゲートバルブG1を介して
図示しない搬送手段により処理室2内に搬入され、昇降
機構77によりウエハ載置面31より突出した状態にお
かれている3本のリフトピン75の上に受け渡された
後、このリフトピン75が降下することによりウエハ載
置面31の上に載置される。一方電源部25から給電線
5A、5Bを介して抵抗発熱体4に給電され当該抵抗発
熱体4が発熱する。抵抗発熱体4の熱は輻射熱として、
石英よりなるウエハ載置面31を通ってウエハWに伝熱
される。このとき不活性ガス供給管43及び不活性ガス
排気管44を介してケース3内に例えばN2 ガスが通流
される。
【0024】そして熱電対6が抵抗発熱体4の発熱に応
じた温度を検出し、温度制御部62に温度測定値が入力
されて抵抗発熱体4の電力制御つまりウエハWの温度制
御が行われる。更にガス供給部21を介して処理室2内
に処理ガス例えばWF6 とH2 が所定の流量で供給さ
れ、真空ポンプ23により排気管22を介して排気する
ことにより所定の真空度に維持し、ウエハWの表面に例
えばタングステンが成膜される。
【0025】上述実施例によれば、内部空間が処理室2
内の雰囲気に対して気密に隔離されたケース3を用い、
このケース3の中に抵抗発熱体4及び給電線5A、5B
を収納しているため、処理ガスとして腐食性のガスを使
用しても抵抗発熱体4や給電線5A、5Bが腐食しない
ので腐食による抵抗値の増加や断線のおそれがない。ま
た給電線5A、5Bの端子部間に導電性の膜が付着して
ショートするといったこともなく、この結果抵抗発熱体
11に安定して電力を供給することができ、ウエハWに
対して安定した成膜処理を行うことができる。
【0026】そしてケース3内は高温になるが、ケース
3内を不活性ガス雰囲気としているため抵抗発熱体4や
端子部の酸化が抑えられ、抵抗値の増加や断線を防止で
きる。
【0027】またウエハ載置面31に例えば金属膜が付
着しても、ウエハ載置面31にはウエハWが載置されて
いるので、金属膜付着部分における局所的な昇温が抑え
られるので石英が割れるおそれもない。そしてまた急加
熱、急冷却が可能なので処理室2内からウエハWを搬出
した後ヒータをオフにして、次のウエハWが搬入された
ときにヒータをオンにする温度制御が可能であり、その
ような制御を行うことによりウエハWを室温から徐々に
処理温度まで昇温し、処理温度から徐々に降温すること
ができるためウエハWの熱的ストレスを抑えることがで
きる。
【0028】更に熱電対6も処理室2内の雰囲気から気
密に隔離されているため、熱電対6のレスポンスが処理
室2内の圧力の変化に影響を受けることがない。従って
例えば処理ガスの供給時に処理室2内の圧力が大きく変
化しても温度測定値が安定するため、安定した温度制御
を行うことができる。
【0029】更にまたケース3の第2の円筒体33は第
1の円筒体32に比べて径が小さく、これによりケース
3下部への伝熱が少ないのでケース3と処理室2の底壁
とをシールしているOリング35に対する熱の悪影響が
少ない。
【0030】ここで図4は本発明の他の実施例を示す図
である。この実施例では、ケース3の内部空間を大気側
に気密に隔離するように、ケース3の下端開口部に連続
して気密構造の筐体80を設け、この筐体80内に給電
線5A、5B及び熱電対6並びに2本の圧力調整管8
1、82を大気側から気密に貫通させている。そして一
方の圧力調整管81はバルブV1を介して図示しない空
気供給源に接続されると共に、他方の圧力調整管82は
バルブV2を介して真空ポンプ83に接続されている。
【0031】このような実施例によれば、処理室2内を
大気に開放しているときには一方の圧力調整管81より
空気をケース3内に導入してケース3内を大気圧とし、
また処理室2内を所定の真空度に減圧する場合には他方
の圧力調整管82よりケース3内を真空排気して両雰囲
気の圧力差を小さくすることができ、従ってケース3と
して耐圧の小さなものを用いることができる。この例で
は圧力調整管81、82及び図示しない空気供給源並び
に真空ポンプ83は圧力調整手段を構成している。
【0032】以上においてケース3は、石英以外の材質
で構成してもよいし、例えばウエハ載置面31のみ石英
を用い、他の部分については金属で作るなどの構成であ
ってもよい。また被処理体としてはウエハに限らずLC
D基板などであってもよいし、ガス処理についてはエッ
チング処理やアッシング処理などであってもよい。なお
加熱手段としては加熱ランプを用いてもよい。
【0033】
【発明の効果】請求項1の発明によれば、内部空間が処
理室内の雰囲気に対して気密に隔離されたケースを用
い、この中に加熱手段や給電線を配置しているため、加
熱手段や給電線の腐食あるいは端子部間のショートとい
ったおそれがなく、従って被処理体に対して安定した処
理を行うことができる。またケース内を不活性ガス雰囲
気としているので加熱手段や端子部の酸化が抑えられ
る。請求項2または3の発明によれば、ケースの内部空
間の圧力を調整できるため、ケース内と処理室内の雰囲
気との圧力差を小さくすることにより、ケースとして小
さな耐圧のものを用いることができる。更に請求項4の
発明によれば、熱電対のレスポンスが処理室内の圧力の
変化に対して影響を受けることがないため、温度制御が
安定する。
【図面の簡単な説明】
【図1】本発明の実施例の全体構成を示す縦断側面図で
ある。
【図2】本発明の実施例の要部を示す断面図である。
【図3】本発明の実施例の要部を示す分解斜視図であ
る。
【図4】本発明の他の実施例の要部を示す縦断側面図で
ある。
【図5】従来のCVD装置を示す縦断側面図である。
【符号の説明】
2 処理室 3 ケース 31 ウエハ載置面 4 抵抗発熱体 43 不活性ガス供給管 45 不活性ガス排気管 5A、5B 給電線 6 熱電対 75 リフトピン 81、82 圧力調整管 83 真空ポンプ
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) C23C 16/00 - 16/56 H01L 21/205 H01L 21/22 H01L 21/265 H01L 21/3065 H01L 21/31

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 処理室内に配置された被処理体を加熱手
    段により加熱しながら処理ガスにより処理するガス処理
    装置において、 内部空間が処理室内の雰囲気に対して気密に隔離される
    と共に処理室の外に連通し、光透過性の材質よりなる被
    処理体載置面を備えたケースと、 このケース内に、被処理体載置面と対向するように配置
    された加熱手段と、 前記処理室の外部から処理室内の雰囲気に触れることな
    く前記ケース内に導入されて前記加熱手段に接続された
    給電線と、前記ケース内に不活性ガスを供給するための不活性ガス
    供給手段と 、を備えてなることを特徴とするガス処理装
    置。
  2. 【請求項2】 処理室内に配置された被処理体を加熱手
    段により加熱しながら処理ガスにより処理するガス処理
    装置において、 内部空間が処理室内の雰囲気及び大気側に対して気密に
    隔離されると共に処理室の外に連通し、光透過性の材質
    よりなる被処理体載置面を備えたケースと、 このケース内に、被処理体載置面と対向するように配置
    された加熱手段と、 前記処理室の外部から処理室内の雰囲気に触れることな
    く前記ケース内に導入されて前記加熱手段に接続された
    給電線と、 前記ケース内の圧力を調整するための圧力調整手段と、
    を備えてなることを特徴とする ガス処理装置。
  3. 【請求項3】 前記ケース内に不活性ガスを供給するた
    めの不活性ガス供給手段を設けたことを特徴とする請求
    項2記載のガス処理装置。
  4. 【請求項4】 大気側からケース内に導入された温度測
    定用の熱電対を備えてなることを特徴とする請求項1、
    請求項2または請求項3記載のガス処理装置。
JP17984593A 1993-06-24 1993-06-24 ガス処理装置 Expired - Lifetime JP3165938B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP17984593A JP3165938B2 (ja) 1993-06-24 1993-06-24 ガス処理装置
KR1019940014586A KR100260119B1 (ko) 1993-06-24 1994-06-24 반도체 처리장치
US08/265,139 US5462603A (en) 1993-06-24 1994-06-24 Semiconductor processing apparatus
GB9412704A GB2279366B (en) 1993-06-24 1994-06-24 Semiconductor processing apparatus
SG1996002937A SG46325A1 (en) 1993-06-24 1994-06-24 Semiconductor processing apparatus
TW083105820A TW280940B (ja) 1993-06-24 1994-06-27

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17984593A JP3165938B2 (ja) 1993-06-24 1993-06-24 ガス処理装置

Publications (2)

Publication Number Publication Date
JPH0778766A JPH0778766A (ja) 1995-03-20
JP3165938B2 true JP3165938B2 (ja) 2001-05-14

Family

ID=16072914

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17984593A Expired - Lifetime JP3165938B2 (ja) 1993-06-24 1993-06-24 ガス処理装置

Country Status (6)

Country Link
US (1) US5462603A (ja)
JP (1) JP3165938B2 (ja)
KR (1) KR100260119B1 (ja)
GB (1) GB2279366B (ja)
SG (1) SG46325A1 (ja)
TW (1) TW280940B (ja)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07111244A (ja) * 1993-10-13 1995-04-25 Mitsubishi Electric Corp 気相結晶成長装置
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
TW275132B (en) * 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
JPH08302474A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置の加熱装置
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
US5700725A (en) * 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP3586031B2 (ja) * 1996-03-27 2004-11-10 株式会社東芝 サセプタおよび熱処理装置および熱処理方法
US5709772A (en) * 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
US6046439A (en) * 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
GB9622177D0 (en) 1996-10-24 1996-12-18 Xaar Ltd Passivation of ink jet print heads
KR100246963B1 (ko) * 1996-11-22 2000-03-15 윤종용 반도체 제조장치의 웨이퍼 홀더용 스테이지
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
KR100418519B1 (ko) * 1997-07-03 2004-04-21 삼성전자주식회사 확산설비 구조 및 가스 제어 방법
JPH11176902A (ja) * 1997-12-10 1999-07-02 Oki Electric Ind Co Ltd 半導体製造装置及びその製造方法
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
JP3333135B2 (ja) * 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6224678B1 (en) 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modified thermocouple mounting bushing and system including the same
US6139640A (en) * 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
US6206966B1 (en) * 1998-09-30 2001-03-27 The Regents Of The University Of California Pedestal substrate for coated optics
KR20000027189A (ko) * 1998-10-27 2000-05-15 윤종용 반도체 장치 제조를 위한 증착설비의 가스공급장치
DE69931278T2 (de) * 1998-11-13 2007-03-29 Mattson Technology Inc., Fremont Vorrichtung und verfahren zur thermischen behandlung von halbleitersubstraten
US6087632A (en) * 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6151794A (en) * 1999-06-02 2000-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for heat treating an object
US6307184B1 (en) * 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
US6406545B2 (en) 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
TW476983B (en) * 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
US6303906B1 (en) * 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US20010035403A1 (en) 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
ATE275760T1 (de) * 2000-06-02 2004-09-15 Ibiden Co Ltd Heizplatteneinheit
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
US6838115B2 (en) 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
JP5246985B2 (ja) 2000-09-29 2013-07-24 東京エレクトロン株式会社 熱処理装置
JP2002134592A (ja) * 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd 熱処理装置および熱処理方法
JP4009100B2 (ja) * 2000-12-28 2007-11-14 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
JP4690368B2 (ja) * 2000-12-28 2011-06-01 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
US6576572B2 (en) 2000-12-28 2003-06-10 Schott Lithotec Ag Method of heating a substrate using a variable surface hot plate for improved bake uniformity
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
KR20020080954A (ko) * 2001-04-18 2002-10-26 주성엔지니어링(주) 냉벽 화학기상증착 방법 및 장치
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
EP1293587A1 (en) * 2001-09-14 2003-03-19 Kabushiki Kaisha Kobe Seiko Sho Vacuum coating apparatus with central heater
JP2003100855A (ja) 2001-09-27 2003-04-04 Shin Etsu Handotai Co Ltd シリコン単結晶ウェーハ処理装置、シリコン単結晶ウェーハおよびシリコンエピタキシャルウェーハの製造方法
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
KR20030040119A (ko) * 2001-11-14 2003-05-22 아네르바 가부시키가이샤 발열체 cvd 장치 및 이것을 이용한 발열체 cvd 방법
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP2003253449A (ja) * 2002-02-27 2003-09-10 Sumitomo Electric Ind Ltd 半導体/液晶製造装置
JP3840990B2 (ja) * 2002-03-05 2006-11-01 住友電気工業株式会社 半導体/液晶製造装置
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
EP1540259A2 (en) 2002-09-10 2005-06-15 FSI International, Inc. Thermal process station with heated lid
JP3887291B2 (ja) * 2002-09-24 2007-02-28 東京エレクトロン株式会社 基板処理装置
US6935638B2 (en) * 2003-02-21 2005-08-30 Blue29, Llc Universal substrate holder for treating objects in fluids
JP4251887B2 (ja) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 真空処理装置
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7718930B2 (en) 2003-04-07 2010-05-18 Tokyo Electron Limited Loading table and heat treating apparatus having the loading table
JP4347295B2 (ja) * 2003-04-18 2009-10-21 株式会社日立国際電気 半導体製造装置および半導体装置の製造方法
US6860944B2 (en) * 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
WO2005017984A1 (ja) * 2003-08-18 2005-02-24 Tokyo Electron Limited 基板保持構造物および基板処理装置
JP4602662B2 (ja) * 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
JP2006128559A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 基板処理システム
US8197638B2 (en) * 2004-03-26 2012-06-12 Hitachi Kokusai Electric Inc. Semiconductor manufacturing device and method for manufacturing semiconductor devices
JP4710255B2 (ja) * 2004-03-26 2011-06-29 ウシオ電機株式会社 加熱ステージ
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
KR100601956B1 (ko) * 2004-06-28 2006-07-14 삼성전자주식회사 자기장의 변화를 이용한 온도측정장치
JP4486489B2 (ja) * 2004-12-22 2010-06-23 東京エレクトロン株式会社 処理方法及び処理装置
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
WO2007025565A1 (en) * 2005-09-01 2007-03-08 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
US20090045164A1 (en) * 2006-02-03 2009-02-19 Freescale Semiconductor, Inc. "universal" barrier cmp slurry for use with low dielectric constant interlayer dielectrics
US20090301867A1 (en) * 2006-02-24 2009-12-10 Citibank N.A. Integrated system for semiconductor substrate processing using liquid phase metal deposition
JP5041736B2 (ja) * 2006-06-09 2012-10-03 キヤノントッキ株式会社 基板加熱装置及び基板加熱方法
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
KR101315412B1 (ko) * 2006-09-27 2013-10-07 엘아이지에이디피 주식회사 기판증착기 및 이를 이용한 증착방법
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US20110266274A1 (en) * 2006-11-27 2011-11-03 Toshiki Ebata Quartz encapsulated heater assembly
JP5029257B2 (ja) 2007-01-17 2012-09-19 東京エレクトロン株式会社 載置台構造及び処理装置
JP5014080B2 (ja) * 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
JP5476726B2 (ja) * 2009-01-30 2014-04-23 住友電気工業株式会社 半導体製造装置用ウエハ保持体、及びそれを備えた半導体製造装置
JP2011054838A (ja) * 2009-09-03 2011-03-17 Tokyo Electron Ltd 載置台構造及び処理装置
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
JP2011222931A (ja) * 2009-12-28 2011-11-04 Tokyo Electron Ltd 載置台構造及び処理装置
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP5732284B2 (ja) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20120085747A1 (en) * 2010-10-07 2012-04-12 Benson Chao Heater assembly and wafer processing apparatus using the same
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10000847B2 (en) * 2014-09-24 2018-06-19 Applied Materials, Inc. Graphite susceptor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102441541B1 (ko) * 2017-11-09 2022-09-08 주식회사 미코세라믹스 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69007733T2 (de) * 1989-05-08 1994-09-29 Philips Nv Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck.
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
WO1993013241A1 (en) * 1991-12-23 1993-07-08 Genus, Inc. Purge gas in wafer coating area selection

Also Published As

Publication number Publication date
KR100260119B1 (ko) 2000-07-01
GB2279366B (en) 1996-12-18
TW280940B (ja) 1996-07-11
US5462603A (en) 1995-10-31
GB9412704D0 (en) 1994-08-17
SG46325A1 (en) 1998-02-20
GB2279366A (en) 1995-01-04
JPH0778766A (ja) 1995-03-20
KR950001878A (ko) 1995-01-04

Similar Documents

Publication Publication Date Title
JP3165938B2 (ja) ガス処理装置
US6537422B2 (en) Single-substrate-heat-processing apparatus for semiconductor process
KR100687378B1 (ko) 고온 다층 합금 히터 어셈블리 및 관련 방법
US7256370B2 (en) Vacuum thermal annealer
JP3881908B2 (ja) プラズマ処理装置
US6066836A (en) High temperature resistive heater for a process chamber
US5591269A (en) Vacuum processing apparatus
JP4953572B2 (ja) マルチゾーン抵抗ヒータ
KR0129663B1 (ko) 에칭 장치 및 방법
US5446824A (en) Lamp-heated chuck for uniform wafer processing
KR100630794B1 (ko) 처리 장치
US8110045B2 (en) Processing equipment for object to be processed
US20110222038A1 (en) Substrate processing apparatus and substrate placing table
JP2003500827A (ja) マルチゾーン抵抗ヒータ
JP7186719B2 (ja) インサイチュの半導体処理チャンバ温度装置
KR20010051530A (ko) 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
JP2001525997A (ja) 処理装置
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
US7723649B2 (en) Vacuum thermal annealer
KR20010079718A (ko) 고속 열처리 리액터용 소형 게이트 밸브
JP2002327274A (ja) 成膜装置
EP4189733A1 (en) Wafer edge temperature correction in batch thermal process chamber
JP3446772B2 (ja) 載置台および減圧処理装置
JP4503714B2 (ja) 高温抵抗式ヒーター
JP2002334819A (ja) 熱処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100309

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130309

Year of fee payment: 12